WO2001096955A2 - A method and apparatus for etching metal layers on substrates - Google Patents

A method and apparatus for etching metal layers on substrates Download PDF

Info

Publication number
WO2001096955A2
WO2001096955A2 PCT/US2001/019282 US0119282W WO0196955A2 WO 2001096955 A2 WO2001096955 A2 WO 2001096955A2 US 0119282 W US0119282 W US 0119282W WO 0196955 A2 WO0196955 A2 WO 0196955A2
Authority
WO
WIPO (PCT)
Prior art keywords
substrate
processing chamber
watts
processing
metal layer
Prior art date
Application number
PCT/US2001/019282
Other languages
French (fr)
Other versions
WO2001096955A3 (en
Inventor
Briggitte Stoehr
Michael Welch
Melissa J. Buie
Original Assignee
Applied Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials, Inc. filed Critical Applied Materials, Inc.
Priority to EP01946420A priority Critical patent/EP1290495A2/en
Priority to JP2002511020A priority patent/JP2004503829A/en
Priority to US10/024,958 priority patent/US20030003374A1/en
Publication of WO2001096955A2 publication Critical patent/WO2001096955A2/en
Publication of WO2001096955A3 publication Critical patent/WO2001096955A3/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F4/00Processes for removing metallic material from surfaces, not provided for in group C23F1/00 or C23F3/00
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/80Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers

Definitions

  • the present invention relates to the fabrication of integrated circuits and to the fabrication of photomasks useful in the manufacture of integrated circuits.
  • circuit densities have placed additional demands on processes used to fabricate semi-conductor devices.
  • the widths of vias, contacts and other features, as well as the dielectric materials between them decrease to sub-micron dimensions, whereas the thickness of the dielectric layers remains substantially constant, with the result that the aspect ratios for the features, i.e., their height divided by width, increases.
  • Reliable formation of high aspect ratio features is important to the success of sub- micron technology and to the continued effort to increase circuit density and quality of individual substrates and die.
  • High aspect ratio features are conventionally formed by patterning a surface of a substrate to define the dimensions of the features and then etching the substrate to remove material and define the features.
  • the dimensions of the features are required to be formed within certain parameters, which are typically defined as the critical dimensions of the features. Consequently, reliable formation of high aspect ratio features with desired critical dimensions requires precise patterning and subsequent etching of the substrate.
  • Photolithography is a technique used to form precise patterns on the substrate surface and then the patterned substrate surface is etched to form the desired device or features.
  • Photolithography techniques use light patterns and photoresist materials deposited on a substrate surface to develop precise patterns on the substrate surface prior to the etching process.
  • a photoresist is applied on the layer to be etched, and the features to be etched in the layer, such as contacts, vias, or interconnects, are defined by exposing the photoresist to a pattern of light through a photolithographic photomask which corresponds to the desired configuration of features.
  • a light source emitting ultraviolet (UV) light may be used to expose the photoresist to chemically alter the composition of the photoresist.
  • the exposed photoresist material is removed by a chemical process to expose the underlying substrate material.
  • the exposed underlying substrate material is then etched to form the features in the substrate surface while the retained photoresist material remains as a protective coating for the unexposed underlying substrate material.
  • Photolithographic photomasks typically include a substrate made of an optically transparent silicon based material, such as quartz (i.e., silicon dioxide, Si0 2 ), having an opaque light-shielding layer of metal, typically chromium, patterned on the surface of the substrate.
  • the metal layer is patterned to form features that define the pattern and correspond to the dimensions of the features to be transferred to the substrate.
  • conventional photomasks are fabricated by first depositing a thin layer of metal on a substrate comprising an optically transparent silicon based material, such as quartz, and depositing a photoresist layer on the thin metal layer.
  • the photomask is the patterned using conventional laser or electron beam patterning equipment to define the critical dimensions for the features in the photoresist.
  • the metal layer is then etched to remove the metal material not protected by the patterned photoresist to form features; thereby exposing the underlying silicon based material and forming a photomask.
  • Photomasks formed with features defined by the critical dimensions allow light to pass therethrough relatively unchanged in a precise pattern onto the substrate surface.
  • etching processes such as wet etching, tend to etch isotropically, which can result in an undercut phenomenon to occur below the patterned metal layer on a photomask.
  • the undercut phenomenon can produce patterned features on the photomask that are not uniformly spaced nor do the features have desired straight, vertical sidewalls, thereby losing the critical dimensions of the features.
  • the isotropic etching of the features may overetch the sidewalls of features in high aspect ratios, resulting in the loss of the critical dimensions of the features.
  • Features formed without the desired critical dimensions in the metal layer can detrimentally affect light passing therethrough and result in less than desirable patterning by the photomask in subsequent photolithographic processes.
  • Plasma etch processing known as dry etch processing or dry etching
  • dry etch processing provides an alternative to wet etching and provides a more anisotropic etch than wet etching processes.
  • the dry etching process has been shown to produce less undercutting and improve the retention of the critical dimensions of the photomask features with straighter sidewalls and flatter bottoms.
  • a plasma of etching gases such as methane and hydrochloric acid, oxidizing gases, such as oxygen, and inert gases, such as helium, are used to etch the metal layers formed on the substrate.
  • conventional dry etch chemistry tends to produce an overabundance of etching radicals, which make controlling the etch of the metal layer feature difficult and often results in an over-etching or imprecise etching of the critical dimensions of the metal layer features.
  • the conventional dry etch chemistry can remove photoresist material at a higher rate than expected in comparison to the etch rate of the underlying material. The higher removal rate of the material of the photoresist can result in prematurely removing material from the sidewalls of the patterned photoresist features as the metal features are being etched.
  • Premature removal of the photoresist material from the patterned photoresist layer may result in a loss of the critical dimensions of the patterned photoresist features, which may correspond to in a loss of critical dimensions of the features formed in the metal layer defined by the patterned photoresist layer.
  • the loss of critical dimensions of the features formed in the metal layer can detrimentally affect the light passing therethrough and produce numerous patterning and subsequent etching defects in the substrate patterned by the photomask.
  • the loss of critical dimensions of the photomask features can result in insufficient photolithographic performance, and if the loss of critical dimensions is severe enough, the failure of the photomask and/or subsequently etched device.
  • a method for processing a substrate including positioning the substrate on a support member in a processing chamber, wherein the substrate has a metal layer formed thereon and is maintained at a temperature of about 150°C or less, introducing a processing gas comprising (i) one or more hydrogen containing fluorocarbons, (ii) an oxygen containing gas, and (iii) a chlorine containing gas into the processing chamber, delivering power to the processing chamber to generate a plasma, and etching exposed portions of the metal layer.
  • a method for processing a substrate having a conformal metal layer formed on a silicon based substrate with a patterned photoresist material deposited on the metal layer, the method including positioning the substrate on a support member in a processing chamber having a coil, introducing a processing gas comprising (i) one or more hydrogen containing fluorocarbons having the formula C X H Y F Z , where x is an integer from 1 to 5, y is an integer from 1 to 8, and z is an integer from 1 to 8, (ii) an oxygen containing gas, (iii) a chlorine containing gas, and (iv) an inert gas, supplying a source RF power of about 700 watts or less to the coil to generate a plasma in the processing chamber, and etching exposed portions of the metal layer.
  • a processing gas comprising (i) one or more hydrogen containing fluorocarbons having the formula C X H Y F Z , where x is an integer from 1 to 5, y is an integer from 1 to 8, and
  • a method for selectively etching a metal layer on a substrate including positioning the substrate within a processing chamber, wherein the substrate is maintained at a temperature of about 150°C or less, introducing a processing gas comprising (i) one or more hydrogen containing fluorocarbons selected from the group of CHF 3 , CH 3 F, CH 2 F 2 , C 2 HF 5 , C 2 H 4 F 2 , and combinations thereof, (ii) oxygen, (iii) chlorine, and (iv) helium, delivering power to the processing chamber by supplying a source RF power of about 700 Watts or less to a coil to generate a plasma and supplying a bias power to the support member of about 50 Watts or less, and etching exposed portions of the metal layer.
  • a processing gas comprising (i) one or more hydrogen containing fluorocarbons selected from the group of CHF 3 , CH 3 F, CH 2 F 2 , C 2 HF 5 , C 2 H 4 F 2 , and combinations thereof, (ii
  • a method for processing a substrate including positioning the substrate on a support member in a processing chamber, wherein the substrate has a metal layer formed thereon and is maintained at a temperature of about 150°C or less, introducing a processing gas comprising (i) hydrochloric acid, (ii) an oxygen containing gas, and (iii) a chlorine containing gas into the processing chamber, delivering power to the processing chamber by supplying a source RF power of about 700 Watts or less, and etching exposed portions of the metal layer.
  • Figure 1 is a schematic side view of one embodiment of an etching chamber
  • Figure 2 is a flow chart illustrating one embodiment of a sequence for processing a substrate according to one embodiment of the invention
  • Figures 3A-3E are cross sectional views showing an etching sequence of one embodiment of the invention.
  • an inductively coupled plasma etch chamber such as a Decoupled Plasma Source, or DPSTM, chamber manufactured by Applied Materials, Inc., of Santa Clara,
  • FIG. 1 is a schematic cross sectional view of one embodiment of a
  • the processing chamber 10 generally includes a cylindrical sidewall or chamber body 12, an energy transparent dome ceiling 13 mounted on the body 12, and a chamber bottom 17.
  • An inductive coil 26 is disposed around at least a portion of the dome 13.
  • the chamber body 12 and the chamber bottom 17 of the processing chamber 10 can be made of a metal, such as anodized aluminum, and the dome 13 can be made of an energy transparent material such as a ceramic or other dielectric material.
  • a substrate support member 16 is disposed in the processing chamber 10 to support a substrate 20 during processing.
  • the support member 16 may by a conventional mechanical or electrostatic chuck with at least a portion of the support member 16 being electrically conductive and capable of serving as a process bias cathode.
  • a plasma zone 14 is defined by the process chamber 10, the substrate support member 16 and the dome 13. Processing gases are introduced into the processing chamber 10 through a gas distributor 22 peripherally disposed about the support member 16. A plasma is formed from the processing gases using a coil power supply 27 which supplies power to the inductor coil 26 to generate an electromagnetic field in the plasma zone 14.
  • the support member 16 includes an electrode disposed therein, which is powered by an electrode power supply 28 and generates a capacitive electric field in the processing chamber 10. Typically, RF power is applied to the electrode in the support member 16 while the body 12 is electrically grounded. The capacitive electric field is transverse to the plane of the support member 16, and influences the directionality of charged species more normal to the substrate 20 to provide more vertically oriented anisotropic etching of the substrate 20.
  • Process gases and etchant byproducts are exhausted from the process chamber 10 through an exhaust system 30.
  • the exhaust system 30 may be disposed in the bottom 17 of the processing chamber 10 or may be disposed in the body 12 of the processing chamber 10 for removal of processing gases.
  • a throttle valve 32 is provided in an exhaust port 34 for controlling the pressure in the processing chamber 10.
  • An optical endpoint measurement device can be connected to the processing chamber 10 to determine the endpoint of a process performed in the chamber.
  • a metal layer such as chromium, which is used to form the mask layer in the photomask is etched to produce features having desired critical dimensions.
  • the processing gas used in etching the metal layer includes (i) one or more hydrogen containing fluorocarbon gases, (ii) an oxygen containing gas, and (iii) a chlorine containing gas.
  • the processing gas may further include an inert gas.
  • Hydrogen containing fluorocarbon gas are advantageously used to form passivating polymer deposits on the surfaces, particularly the sidewalls, of features formed in a patterned photoresist material and etched metal layers. The passivating polymer deposits prevent excessive etching of the features., thereby producing features having desired critical dimensions.
  • the hydrogen containing fluorocarbons have the general formula C X H Y F Z , wherein x is an integer from 1 to 5 of carbon atoms, y is an integer from 1 to 8 of hydrogen atoms, and z is an integer from 1 to 8 of fluorine atoms.
  • Examples of hydrogen containing fluorocarbon gases include CHF 3 , CH 3 F, CH 2 F 2 , C 2 HF 5 , C 2 H 4 F 2 , and combinations thereof.
  • Hydrogen containing fluorocarbon gases having from 1 to 2 atoms of carbon, from 1 to 4 atoms of hydrogen, and from 1 to 5 atoms of fluorine may be used when etching the metal layer.
  • Non-fluorine containing hydrocarbon gases such as methane (CH 4 ), ethane (C 2 H 6 ), and ethene (C 2 H 4 ), may be added to the fluorocarbon gas to further enhance the formation of passivating polymer deposits.
  • the chlorine containing gas of the processing gas is selected from the group of chlorine (Cl 2 ), hydrochloric acid (HCI), silicon tetrachloride (SiCI 4 ), boron trichloride (BCI 3 ), and combinations thereof, and is used to supply highly reactive radicals to etch the metal layer.
  • the addition of an oxygen containing gas, such as oxygen (O 2 ), to the processing gas provides a source of etching radicals which will remove passivating polymer deposits and minimize the formation of passivating polymer deposits.
  • oxygen containing gas can be combined with a carbon containing oxygen based gas or be substituted by the carbon containing oxygen based gas, such as carbon monoxide (CO) and carbon dioxide (CO 2 ), to also provide a source of carbon species which may enhance passivating polymer deposit formation on the features during the etching process.
  • a carbon containing oxygen based gas such as carbon monoxide (CO) and carbon dioxide (CO 2 )
  • CO carbon monoxide
  • CO 2 carbon dioxide
  • the processing gas may also include an inert gas which, when ionized as part of the plasma comprising the processing gas, results in sputtering species to increase the etching rate of the features.
  • the presence of an inert gas as part of the plasma may also enhance dissociation of the processing gas.
  • inert gases include argon (Ar), helium (He), neon (Ne), xenon (Xe), krypton (Kr), and combinations thereof, of which argon and helium are generally used.
  • the substrate is maintained at a temperature of about 150°C or less during processing to minimize heat degradation of materials, such as photoresist materials, deposited on the substrate during the photomask fabrication processes with the processing gases described herein.
  • the substrate temperature is generally maintained between about 50°C and about 150°C during etching of the substrate surface.
  • a temperature between about 80°C and about 100°C may be used to etch the photomask features with minimal heat degradation of material disposed on the substrate surface. It is also believed that the substrate temperature helps regulate the formation of passivating polymer deposits by limiting polymerization reactions during the etching process.
  • the sidewalls of the processing chamber are maintained at a temperature of less than about 70°C and the dome is maintained at a temperature of less than about 80°C to maintain consistent processing conditions and to minimize polymer formation on the surfaces of the processing chamber.
  • a source RF power level of about 700 watts or less is applied to an inductor coil to generate and sustain a plasma of the processing gases during the etching process.
  • a power level between about 200 watts and about 700 watts may be used during the etching process.
  • a power level between about 400 watts and about 500 watts has been observed to provide sufficient plasma of the processing gases sufficient for etching the substrate surface.
  • the recited source RF power levels have been observed to produce sufficient etching radicals and polymerization radicals from the processing gases to etch the exposed metal layer disposed on the substrate while providing a sufficiently low power level compared to prior art metal etch processes to maintain the substrate at temperatures between about 50°C and about 150°C.
  • a bias power of less than about 200 watts is also applied to the substrate to increase directionality of the etching radicals with respect to the surface of the substrate.
  • a bias between about 5 watts and 20 watts has been observed to provide sufficient directionality of etching radicals during the etching process.
  • etching metal layers such as chromium
  • the etching gases may be used to etch other metal layers formed on substrates in semiconductor and photomask manufacturing.
  • FIG. 2 is a flow chart of one embodiment of one process sequence of an etching process.
  • the flow chart is provided for illustrative purposes and should not be construed as limiting the scope of aspects of the invention.
  • a substrate typically comprising a silicon-based material, such as optical quality quartz or molybdenum suicide, is provided to a processing chamber at step 210, such as the DPSTM processing chamber 10 of Figure 1.
  • the substrate is then processed by depositing an opaque, conformal metal layer, typically chromium, on the substrate at step 220.
  • the dimensions of features to be formed in the metal layer are patterned by depositing and pattern etching a first photoresist material to expose the conformal metal layer at step 230.
  • the photoresist materials used in photomask fabrication are usually low temperature photomask materials, which is defined herein as photomask materials that thermally degrade at temperatures below about 250°C.
  • the substrate may then be further processed to etch the silicon-based materials.
  • the silicon-based material of the substrate is prepared for etching by depositing and pattern etching a second photoresist material 250 to expose the substrate.
  • the substrate is then transferred to a DPSTM processing chamber where a processing gas containing fluorocarbon gases is introduced into the processing chamber and a plasma is generated, thereby etching 260 the exposed silicon based material of the substrate.
  • Etching of the silicon based material of the substrate is more fully described in co-pending Patent Application Serial No. 09/625,313, entitled "A Method and Apparatus for Etching Photomasks," filed on July 25, 2000, and incorporated herein by reference to the extent not inconsistent with aspects of the invention.
  • Figures 3A-3E illustrate the composition of the photomask prior to the etching steps as well as further illustrate the process described above in Figure 2.
  • a substrate 300 typically made of optical quality quartz material 310, is introduced into a processing chamber.
  • a metal layer 320 made of chromium is deposited on the quartz material 310 as shown in Figure 3A.
  • the chromium layer may be deposited by conventional methods known in the art, such as by physical vapor deposition (PVD) or chemical vapor deposition (CVD) techniques.
  • PVD physical vapor deposition
  • CVD chemical vapor deposition
  • the chromium layer 320 is typically deposited to a thickness between about 100 and about 200 nanometers (nm) thick, however, the depth of the layer may change based upon the requirements of the manufacturer and the composition of the materials of the substrate or metal layer.
  • the substrate 300 is then transferred to another processing chamber where a layer of photoresist material 330, such as "RISTON,” manufactured by DuPont de Nemours Chemical Company, is deposited upon the chromium layer 320 to a thickness between about 200 and 600 nm thick.
  • the photoresist material 330 is then pattern etched using conventional laser or electron beam patterning equipment to form features 325 which are used to define the dimensions of the features to be formed in the chromium layer 320.
  • the substrate 300 is then transferred to an etch chamber, such as the
  • DPSTM processing chamber 10 described above, and the chromium layer 320 is etched using metal etching techniques known in the art or by new metal etching techniques that may be developed to form features 335 which expose the underlying quartz material 310 as shown in Figure 3C.
  • An exemplary processing regime for etching metal layers on substrates with hydrogen containing fluorocarbon gases described herein is as follows.
  • the substrate is placed on the support member 16, and a processing gas is introduced into the chamber and a plasma is generated to etch the chromium layer 320.
  • the processing gas comprises one or more hydrogen containing fluorocarbons, an oxygen containing gas, a chlorine containing gas, and an inert gas.
  • the processing gas is introduced into the processing chamber at a flow rate between about 50 seem and about 300 seem. For example, a flow rate of about 100 seem may be used during the etching process.
  • the hydrogen containing fluorocarbon gases for example, include CHF 3 , CH 3 F, CH 2 F 2 , C 2 HF 5 , C 2 H 4 F 2 , and combinations thereof, are introduced into the processing chamber at a flow rate between about 1 seem and about 15 seem.
  • a flow rate between about 2 seem and about 7 seem of hydrogen containing fluorocarbon gases may be provided to the processing chamber.
  • the oxygen containing gas comprises, for example, oxygen and is introduced into the processing chamber at a flow rate between about 10 seem and about 100 seem.
  • the oxygen containing gas may also be introduced to the chamber at a flow rate between about 10 seem and about 50 seem.
  • the chlorine containing gas for example, chlorine, is introduced into the processing chamber at a flow rate between about 30 seem and about 150 seem.
  • the chlorine containing gas may also be introduced into the processing chamber at a flow rate between about 40 seem and about 100 seem.
  • the inert gas for example, helium, is introduced into the processing chamber at a flow rate between about 30 seem and about 100 seem.
  • the inert gas may be introduced into the processing chamber at a flow rate between about 60 seem and about 80 seem.
  • the processing chamber pressure is maintained between about 2 milliTorr and about 25 milliTorr.
  • a chamber pressure between about 10 milliTorr and about 20 milliTorr may be maintained during the etching process.
  • the actual flow rates of the compounds comprising the processing gas are dependent upon the volume of the processing chamber and the desired amount of etching required. Thus, aspects described herein should not be limited to the flow rates recited herein.
  • a source RF power between about 200 watts and about 700 watts is applied to an inductor coil to generate and sustain a plasma of the processing gases during the etching process.
  • a bias power between about 5 watts and about 40 watts is applied to the substrate support.
  • the actual source RF power and bias power levels are dependent upon the needed process controls and type of structures being etched. For example, in advanced photoetch masks, smaller features need to be etched and a greater degree of control needs to be exercised over the etching process. The greater degree of control, i.e., the higher precision of the etching process required, is generally exercised by controlling the power levels, typically by reducing the power levels to provide a slower etch, to reach the achieved degree of etching.
  • the substrate temperature is maintained at between about 50°C and about 150°C during the etching process. Additionally, the sidewalls 15 of the processing chamber 10 are maintained at a temperature of about less than about 70°C and the dome is maintained at a temperature of less than about 80°C.
  • the substrate 300 is transferred to a processing chamber, and the remaining photoresist material 330 is usually removed from the substrate 300, such as by an oxygen plasma process, or other photoresist removal technique known in the art.
  • the substrate 300 may be further processed by etching the quartz material 310.
  • the photoresist material 330 is removed and a second photoresist material 340 is applied and patterned to expose the underlying quartz material 310 within' the features 335.
  • the photoresist material is deposited to a depth between about 200 nm and 600 nm thick, but may be of any thickness and may also be of the same thickness as the depth of the features to be etched in the quartz material 310 to form the photomask.
  • the substrate 300 is then etched to form feature 345 in the photoresist layer 340, the metal layer 320, and the quartz material 310.
  • the second photoresist material 340 is removed to form a patterned substrate surface 355.
  • the patterned substrate 300 is then transferred to an etch chamber, such as the DPSTM processing chamber 10, for plasma etching the quartz material 310.
  • the above described processing gas composition and processing regime is believed to provide controllable etching of features, such as vertical and horizontal interconnects, with desired critical dimensions.
  • the etching of the features may be managed by producing and controlling the formation of passivating polymer deposits that condense on the sidewalls and bottom of features. It is believed that the passivating polymer deposits are etched and removed from the surface before the underlying material may be etched, thereby resulting in a decrease in the etching rate of the material underlying the passivating polymer deposits.
  • the plasma etching process is generally anisotropic.
  • the anisotropic process and will remove material deposited on the bottom of the feature at a higher rate than material on the sidewalls of the feature. This results in passivating polymer deposits on the sidewalls of the features being removed at a lower rate than passivating polymer deposits on the bottoms of features.
  • the combination of the anisotropic etch of the plasma etching process and the passivating polymer deposits formed on the sidewalls of features will increase the etch selectivity to the bottom of the feature in contrast to the sidewalls of the feature.
  • An etch process that etches the sidewalls of the features at a slower rate will be less likely to over-etch the sidewalls of the features during the etching process and allow for features to be produced with the desired critical dimensions.
  • the etching process can be further controlled by controlling the composition of the processing gases. It is believed that passivating polymer deposits are generally formed from the hydrogen containing fluorocarbon gases, which provide polymerizable carbon species in the processing gases. For example free carbon, hydrogen, carbon-containing radicals, such as CF 2 , and fluorine containing hydrocarbon radicals, such as CHF, have a tendency to polymerize and form passivating polymer deposits on the surfaces, particularly the sidewalls of the features during the etching process.
  • the hydrogen containing carbon radicals for example CHF from CHF 3 , have a greater tendency than hydrogen free carbon radicals, such as the CF 2 radical, to polymerize, and are less reactive than hydrogen free polymers and are more difficult to remove from the surface of a substrate.
  • Hydrocarbon gases such as methane or ethane, may also be added to the processing gas to provide a carbon source to enhance polymer formation.
  • free fluorine radicals are highly reactive, and the greater the amount of fluorine in the processing gas, the faster the etching rate of passivating polymer deposits formed on the surfaces of features.
  • the formation of passivating polymer deposits can be controlled by selecting the hydrogen, carbon, and fluorine content of the hydrogen containing fluorocarbon gas to increase or decrease polymer formation.
  • the oxygen containing gases such as oxygen
  • the oxygen based gases which contain carbon such as carbon monoxide
  • the oxygen gas enhances the formation of free oxygen species that react with other species to reduce the formation of polymers that deposit on the surfaces of the etched features as passivating deposits.
  • the oxygen containing gases react with some of the radicals of the plasma process, such as CF 2 , to form volatile radicals, such as COF 2 , which are exhausted from the processing chamber.
  • Carbon containing oxygen based gases such as carbon monoxide, can be used to enhance the formation of carbon-containing species that form passivating polymer deposits on the substrate to control the rates of etching.
  • the carbon containing oxygen based gases can react with fluorine species to form volatile species, such as COF 2 and COHF, and reduce the fluorine concentration in the processing chamber and consequently reduce the etching rate by the processing gas.
  • the carbon containing oxygen based gases also provide an additional carbon source that may further enhance polymer formation by the fluorocarbon gas, thereby enhancing the formation of passivating polymer deposits on the sidewalls of the etched features.
  • a carbon containing oxygen based gas can enhance polymer formation to lower the etch rate, while oxygen containing gases, such as oxygen, can be used in processing gases to reduce polymer formation and increase etching of the sidewalls of the features.
  • oxygen containing gases include oxygen (O 2 ) and ozone (0 3 )
  • carbon containing oxygen based gases include carbon monoxide (CO) and carbon dioxide (CO 2 ), of which CO and O 2 are preferably used in processing gas compositions.
  • the chlorine containing gases used in the processing gas as the primary source of highly reactive etchant radicals can also be used to control the etching process.
  • HCI provides hydrogen to the process to convert chromium oxide, which is harder to etch, to chromium metal to increase etching of the metal layer when oxygen is included in the processing gas.
  • the boron in BCI 3 can be incorporated as a polymer source to improve the formation of passivation deposits and reduce etching of the sidewalls of the features.
  • SiCI 4 can react with free fluorine radicals to form volatile SiCl x F Y species that are exhausted from the processing chamber, thereby reducing the fluoride etchant in the processing chamber to provide an improved control of the etching rate.
  • the inert gas forms ionized sputtering species that sputter-off the passivating polymer deposits on the sidewalls of the etched features.
  • the inert gas is also ionized to form ionized metastable states that enhance dissociation of the processing gas.
  • Sufficient inert gas may be added to the processing gas to assist in sputtering the passivating polymer deposits off the substrate to control etching rates, and to control the disassociation rates of the processing gas.
  • the metal layer may be etched with the desired critical dimensions with a processing gas including (i) hydrochloric acid (HCI), (ii) an oxygen containing gas, and (iii) a chlorine containing gas.
  • HCI hydrochloric acid
  • the processing gas may further include an inert gas.
  • the chlorine containing gas of the processing gas is selected from the group of chlorine (Cl 2 ), silicon tetrachloride (SiCI 4 ), boron trichloride (BCI 3 ), and combinations thereof, which is used to supply highly reactive radicals to etch the metal layer.
  • the oxygen containing gas includes oxygen (O 2 ), carbon monoxide (CO), carbon dioxide (C0 2 ), and combinations thereof.
  • the inert gas may include argon (Ar), helium (He), neon (Ne), xenon (Xe), krypton (Kr), and combinations thereof, of which argon and helium are generally used.
  • the etching process using the processing gas including hydrochloric acid may be performed by first introducing the processing gas into the processing chamber at a flow rate between about 50 seem and about 300 seem. For example, a flow rate of about 100 seem may be used during the etching process.
  • the hydrochloric acid may be introduced into the processing chamber at a flow rate between about 30 seem and about 150 seem.
  • the hydrochloric acid may also be introduced into the processing chamber at a flow rate between about 40 seem and about 100 seem.
  • the oxygen containing gas comprises, for example, oxygen, may be introduced into the processing chamber at a flow rate between about 10 seem and about 100 seem.
  • the oxygen containing gas may also be introduced to the chamber at a flow rate between about 10 seem and about 50 seem.
  • the chlorine containing gas for example, chlorine
  • the chlorine containing gas may also be introduced into the processing chamber at a flow rate between about 40 seem and about 100 seem.
  • the inert gas for example, helium, is introduced into the processing chamber at a flow rate between about 30 seem and about 100 seem.
  • the inert gas may be introduced into the processing chamber at a flow rate between about 60 seem and about 80 seem.
  • the processing chamber pressure is maintained between about
  • a source RF power between about 200 watts and about 700 watts is applied to an inductor coil to generate and sustain a plasma of the processing gases during the etching process.
  • a bias power between about 5 watts and about 40 watts is applied to the substrate support.
  • the substrate temperature is maintained at between about 50°C and about 150°C during the etching process.
  • the sidewalls 15 of the processing chamber 10 are maintained at a temperature of about less than about 70°C and the dome is maintained at a temperature of less than about 80°C.
  • the processing gas including hydrochloric acid allows the formation of features with the desired critical dimensions by preventing the chemical breakdown of the photoresist material during etching. It is further believed that the hydrochloric acid prevents the chemical breakdown of the photoresist material by the hydrogen component of the hydrochloric acid performing as a reducing agent to prevent excessive oxidation of the photoresist material by the oxygen containing gas during etching.
  • the hydrogen may also reduce chromium oxide, which is harder to etch, to chromium metal to increase etching of the metal layer when oxygen is included in the processing gas.
  • a photomask including a substrate made of a silicon based material, preferably optical quality quartz with a chromium layer approximately 100 nanometers (nm) thick disposed thereon is introduced into a processing chamber for photoresist deposition.
  • a photoresist such as "RISTON,” manufactured by duPont de Nemours Chemical Company, is deposited upon the chromium photomask and then patterned using conventional laser or electron beam patterning equipment.
  • the photoresist deposited on the etched photomask is between about 200 nm and about 600 nm thick, for example, between about 300 nm and about 570 nm thick, but may be of any thickness desired.
  • the photoresist thickness may be about the same thickness as the chromium layer to be etched to expose the silicon base material underlying the chromium layer.
  • the photomask is placed in an etch chamber such as the DPSTM metal etch chamber described above.
  • the patterned substrate is then placed on the cathode pedestal of the etch chamber, and the chamber was maintained at a pressure of about 10 milliTorr and about 15 milliTorr.
  • a plasma was generated by applying a source RF voltage to the inductor coil at a power level of about 500 Watts.
  • a bias power of 5 Watts was applied to the cathode pedestal.
  • the substrate surface is maintained at a temperature between about 70°C and about 80°C.
  • the chamber walls and dome were cooled to about 70°C to maintain a steady etch processing condition.
  • the etching of the feature occurred under the following gas flows:
  • the total flow rate was between about 100 and about 300 seem, approximately
  • the etching process was performed for a sufficient time to form the features in the metal layer.
  • the photomask described in the above example may also be etched using the processing gas including hydrochloric acid described herein.
  • the patterned substrate described above is placed on the cathode pedestal of the etch chamber, and the chamber was maintained at a pressure of about 15 milliTorr and about 20 milliTorr, such as about 18 milliTorr.
  • a plasma was generated by applying a source RF voltage to the inductor coil at a power level of about 550 Watts.
  • a bias power of 5 Watts was applied to the cathode pedestal.
  • the substrate surface is maintained at a temperature of about 80°C.
  • the chamber walls and dome were cooled to about 70°C to maintain a steady etch processing condition.
  • the etching of the feature occurred under the following gas flows: HCI, at 54 seem
  • the total flow rate was between about 100 and about 300 seem, approximately 210 seem for the above listed processing gases.
  • the etching process was performed for a sufficient time to form the features in the metal layer.

Abstract

Method and apparatus for etching a metal layer disposed on a substrate, such as a photomask, are provided. In one aspect, a method is provided for processing a substrate including positioning the substrate in a processing chamber, introducing a processing gas comprising (i) one or more hydrogen containing fluorocarbons, (ii) an oxygen containing gas, (iii) a chlorine containing gas, and optionally, (iv) an inert gas into the processing chamber, wherein the substrate is maintained at a reduced temperature, and the processing gas is excited into a plasma state at a reduced power level to etch exposed portions of the metal layer disposed on the substrate.

Description

A METHOD AND APPARATUS FOR ETCHING
METAL LAYERS ON SUBSTRATES
CROSS-REFERENCE TO RELATED APPLICATIONS
This application claims benefit of United States provisional patent application serial number 60/211 ,670, filed June 15, 2000, which is herein incorporated by reference.
BACKGROUND OF THE INVENTION
Field of the Invention
The present invention relates to the fabrication of integrated circuits and to the fabrication of photomasks useful in the manufacture of integrated circuits.
Background of the Related Art
Semiconductor device geometries have dramatically decreased in size since such devices were first introduced several decades ago. Since then, integrated circuits have generally followed the two year/half-size rule (often called Moore's Law), which means that the number of devices on a chip doubles every two years. Today's fabrication plants are routinely producing devices having 0.15 μm and even 0.13 μm feature sizes, and tomorrow's plants soon will be producing devices having even smaller geometries.
The increasing circuit densities have placed additional demands on processes used to fabricate semi-conductor devices. For example, as circuit densities increase, the widths of vias, contacts and other features, as well as the dielectric materials between them, decrease to sub-micron dimensions, whereas the thickness of the dielectric layers remains substantially constant, with the result that the aspect ratios for the features, i.e., their height divided by width, increases. Reliable formation of high aspect ratio features is important to the success of sub- micron technology and to the continued effort to increase circuit density and quality of individual substrates and die.
High aspect ratio features are conventionally formed by patterning a surface of a substrate to define the dimensions of the features and then etching the substrate to remove material and define the features. To form high aspect ratio features with a desired ratio of height to width, the dimensions of the features are required to be formed within certain parameters, which are typically defined as the critical dimensions of the features. Consequently, reliable formation of high aspect ratio features with desired critical dimensions requires precise patterning and subsequent etching of the substrate.
Photolithography is a technique used to form precise patterns on the substrate surface and then the patterned substrate surface is etched to form the desired device or features. Photolithography techniques use light patterns and photoresist materials deposited on a substrate surface to develop precise patterns on the substrate surface prior to the etching process. In conventional photolithographic processes, a photoresist is applied on the layer to be etched, and the features to be etched in the layer, such as contacts, vias, or interconnects, are defined by exposing the photoresist to a pattern of light through a photolithographic photomask which corresponds to the desired configuration of features. A light source emitting ultraviolet (UV) light, for example, may be used to expose the photoresist to chemically alter the composition of the photoresist. Generally, the exposed photoresist material is removed by a chemical process to expose the underlying substrate material. The exposed underlying substrate material is then etched to form the features in the substrate surface while the retained photoresist material remains as a protective coating for the unexposed underlying substrate material.
Photolithographic photomasks, or reticles, typically include a substrate made of an optically transparent silicon based material, such as quartz (i.e., silicon dioxide, Si02), having an opaque light-shielding layer of metal, typically chromium, patterned on the surface of the substrate. The metal layer is patterned to form features that define the pattern and correspond to the dimensions of the features to be transferred to the substrate. Generally, conventional photomasks are fabricated by first depositing a thin layer of metal on a substrate comprising an optically transparent silicon based material, such as quartz, and depositing a photoresist layer on the thin metal layer. The photomask is the patterned using conventional laser or electron beam patterning equipment to define the critical dimensions for the features in the photoresist. The metal layer is then etched to remove the metal material not protected by the patterned photoresist to form features; thereby exposing the underlying silicon based material and forming a photomask. Photomasks formed with features defined by the critical dimensions allow light to pass therethrough relatively unchanged in a precise pattern onto the substrate surface.
Conventional etching processes, such as wet etching, tend to etch isotropically, which can result in an undercut phenomenon to occur below the patterned metal layer on a photomask. The undercut phenomenon can produce patterned features on the photomask that are not uniformly spaced nor do the features have desired straight, vertical sidewalls, thereby losing the critical dimensions of the features. Additionally, the isotropic etching of the features may overetch the sidewalls of features in high aspect ratios, resulting in the loss of the critical dimensions of the features. Features formed without the desired critical dimensions in the metal layer can detrimentally affect light passing therethrough and result in less than desirable patterning by the photomask in subsequent photolithographic processes. Plasma etch processing, known as dry etch processing or dry etching, provides an alternative to wet etching and provides a more anisotropic etch than wet etching processes. The dry etching process has been shown to produce less undercutting and improve the retention of the critical dimensions of the photomask features with straighter sidewalls and flatter bottoms. In conventional dry etching processing, a plasma of etching gases, such as methane and hydrochloric acid, oxidizing gases, such as oxygen, and inert gases, such as helium, are used to etch the metal layers formed on the substrate.
However, conventional dry etch chemistry tends to produce an overabundance of etching radicals, which make controlling the etch of the metal layer feature difficult and often results in an over-etching or imprecise etching of the critical dimensions of the metal layer features. Additionally, the conventional dry etch chemistry can remove photoresist material at a higher rate than expected in comparison to the etch rate of the underlying material. The higher removal rate of the material of the photoresist can result in prematurely removing material from the sidewalls of the patterned photoresist features as the metal features are being etched. Premature removal of the photoresist material from the patterned photoresist layer may result in a loss of the critical dimensions of the patterned photoresist features, which may correspond to in a loss of critical dimensions of the features formed in the metal layer defined by the patterned photoresist layer. The loss of critical dimensions of the features formed in the metal layer can detrimentally affect the light passing therethrough and produce numerous patterning and subsequent etching defects in the substrate patterned by the photomask. For etching high aspect ratios of sub-micron features, the loss of critical dimensions of the photomask features can result in insufficient photolithographic performance, and if the loss of critical dimensions is severe enough, the failure of the photomask and/or subsequently etched device.
Therefore, there remains a need for a process and chemistry for etching a metal layer on a substrate, such as a photomask, which produces features with desired critical dimensions in the metal layer.
SUMMARY OF THE INVENTION
Aspects of the invention generally provide methods and related chemistry for etching a metal layer deposited on a silicon substrate, such as a photomask. In one aspect, a method is provided for processing a substrate including positioning the substrate on a support member in a processing chamber, wherein the substrate has a metal layer formed thereon and is maintained at a temperature of about 150°C or less, introducing a processing gas comprising (i) one or more hydrogen containing fluorocarbons, (ii) an oxygen containing gas, and (iii) a chlorine containing gas into the processing chamber, delivering power to the processing chamber to generate a plasma, and etching exposed portions of the metal layer.
In another aspect, a method is provided for processing a substrate having a conformal metal layer formed on a silicon based substrate with a patterned photoresist material deposited on the metal layer, the method including positioning the substrate on a support member in a processing chamber having a coil, introducing a processing gas comprising (i) one or more hydrogen containing fluorocarbons having the formula CXHYFZ, where x is an integer from 1 to 5, y is an integer from 1 to 8, and z is an integer from 1 to 8, (ii) an oxygen containing gas, (iii) a chlorine containing gas, and (iv) an inert gas, supplying a source RF power of about 700 watts or less to the coil to generate a plasma in the processing chamber, and etching exposed portions of the metal layer.
In another aspect, a method is provided for selectively etching a metal layer on a substrate, including positioning the substrate within a processing chamber, wherein the substrate is maintained at a temperature of about 150°C or less, introducing a processing gas comprising (i) one or more hydrogen containing fluorocarbons selected from the group of CHF3, CH3F, CH2F2, C2HF5, C2H4F2, and combinations thereof, (ii) oxygen, (iii) chlorine, and (iv) helium, delivering power to the processing chamber by supplying a source RF power of about 700 Watts or less to a coil to generate a plasma and supplying a bias power to the support member of about 50 Watts or less, and etching exposed portions of the metal layer.
In another aspect, a method is provided for processing a substrate including positioning the substrate on a support member in a processing chamber, wherein the substrate has a metal layer formed thereon and is maintained at a temperature of about 150°C or less, introducing a processing gas comprising (i) hydrochloric acid, (ii) an oxygen containing gas, and (iii) a chlorine containing gas into the processing chamber, delivering power to the processing chamber by supplying a source RF power of about 700 Watts or less, and etching exposed portions of the metal layer.
BRIEF DESCRIPTION OF THE DRAWINGS
So that the manner in which the above recited aspects of the invention are attained and can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to the embodiments thereof which are illustrated in the appended drawings.
It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
Figure 1 is a schematic side view of one embodiment of an etching chamber;
Figure 2 is a flow chart illustrating one embodiment of a sequence for processing a substrate according to one embodiment of the invention; Figures 3A-3E are cross sectional views showing an etching sequence of one embodiment of the invention.
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT
Aspects of the invention will be described below in reference to an inductively coupled plasma etch chamber, such as a Decoupled Plasma Source, or DPS™, chamber manufactured by Applied Materials, Inc., of Santa Clara,
California. Other process chambers may be used to perform the processes of the invention, including, for example, capacitively coupled parallel plate chambers and magnetically enhanced ion etch chambers as well as inductively coupled plasma etch chambers of different designs. Although the processes are advantageously performed with the DPS™ processing chamber, the description in conjunction with the DPS™ processing chamber is illustrative, and should not be construed or interpreted to limit the scope of aspects of the invention. Figure 1 is a schematic cross sectional view of one embodiment of a
DPS™ processing chamber that may be used for performing the processes described herein. The processing chamber 10 generally includes a cylindrical sidewall or chamber body 12, an energy transparent dome ceiling 13 mounted on the body 12, and a chamber bottom 17. An inductive coil 26 is disposed around at least a portion of the dome 13. The chamber body 12 and the chamber bottom 17 of the processing chamber 10 can be made of a metal, such as anodized aluminum, and the dome 13 can be made of an energy transparent material such as a ceramic or other dielectric material. A substrate support member 16 is disposed in the processing chamber 10 to support a substrate 20 during processing. The support member 16 may by a conventional mechanical or electrostatic chuck with at least a portion of the support member 16 being electrically conductive and capable of serving as a process bias cathode. A plasma zone 14 is defined by the process chamber 10, the substrate support member 16 and the dome 13. Processing gases are introduced into the processing chamber 10 through a gas distributor 22 peripherally disposed about the support member 16. A plasma is formed from the processing gases using a coil power supply 27 which supplies power to the inductor coil 26 to generate an electromagnetic field in the plasma zone 14. The support member 16 includes an electrode disposed therein, which is powered by an electrode power supply 28 and generates a capacitive electric field in the processing chamber 10. Typically, RF power is applied to the electrode in the support member 16 while the body 12 is electrically grounded. The capacitive electric field is transverse to the plane of the support member 16, and influences the directionality of charged species more normal to the substrate 20 to provide more vertically oriented anisotropic etching of the substrate 20.
Process gases and etchant byproducts are exhausted from the process chamber 10 through an exhaust system 30. The exhaust system 30 may be disposed in the bottom 17 of the processing chamber 10 or may be disposed in the body 12 of the processing chamber 10 for removal of processing gases. A throttle valve 32 is provided in an exhaust port 34 for controlling the pressure in the processing chamber 10. An optical endpoint measurement device can be connected to the processing chamber 10 to determine the endpoint of a process performed in the chamber.
Exemplary Etch Process
Generally a metal layer, such as chromium, which is used to form the mask layer in the photomask is etched to produce features having desired critical dimensions. The processing gas used in etching the metal layer includes (i) one or more hydrogen containing fluorocarbon gases, (ii) an oxygen containing gas, and (iii) a chlorine containing gas. The processing gas may further include an inert gas. Hydrogen containing fluorocarbon gas are advantageously used to form passivating polymer deposits on the surfaces, particularly the sidewalls, of features formed in a patterned photoresist material and etched metal layers. The passivating polymer deposits prevent excessive etching of the features., thereby producing features having desired critical dimensions.
The hydrogen containing fluorocarbons have the general formula CXHYFZ, wherein x is an integer from 1 to 5 of carbon atoms, y is an integer from 1 to 8 of hydrogen atoms, and z is an integer from 1 to 8 of fluorine atoms. Examples of hydrogen containing fluorocarbon gases include CHF3, CH3F, CH2F2, C2HF5, C2H4F2, and combinations thereof. Hydrogen containing fluorocarbon gases having from 1 to 2 atoms of carbon, from 1 to 4 atoms of hydrogen, and from 1 to 5 atoms of fluorine may be used when etching the metal layer. Non-fluorine containing hydrocarbon gases, such as methane (CH4), ethane (C2H6), and ethene (C2H4), may be added to the fluorocarbon gas to further enhance the formation of passivating polymer deposits.
The chlorine containing gas of the processing gas is selected from the group of chlorine (Cl2), hydrochloric acid (HCI), silicon tetrachloride (SiCI4), boron trichloride (BCI3), and combinations thereof, and is used to supply highly reactive radicals to etch the metal layer. The addition of an oxygen containing gas, such as oxygen (O2), to the processing gas provides a source of etching radicals which will remove passivating polymer deposits and minimize the formation of passivating polymer deposits. Additionally, the oxygen containing gas can be combined with a carbon containing oxygen based gas or be substituted by the carbon containing oxygen based gas, such as carbon monoxide (CO) and carbon dioxide (CO2), to also provide a source of carbon species which may enhance passivating polymer deposit formation on the features during the etching process.
The processing gas may also include an inert gas which, when ionized as part of the plasma comprising the processing gas, results in sputtering species to increase the etching rate of the features. The presence of an inert gas as part of the plasma may also enhance dissociation of the processing gas. Examples of inert gases include argon (Ar), helium (He), neon (Ne), xenon (Xe), krypton (Kr), and combinations thereof, of which argon and helium are generally used. The substrate is maintained at a temperature of about 150°C or less during processing to minimize heat degradation of materials, such as photoresist materials, deposited on the substrate during the photomask fabrication processes with the processing gases described herein. The substrate temperature is generally maintained between about 50°C and about 150°C during etching of the substrate surface. A temperature between about 80°C and about 100°C may be used to etch the photomask features with minimal heat degradation of material disposed on the substrate surface. It is also believed that the substrate temperature helps regulate the formation of passivating polymer deposits by limiting polymerization reactions during the etching process. Additionally, the sidewalls of the processing chamber are maintained at a temperature of less than about 70°C and the dome is maintained at a temperature of less than about 80°C to maintain consistent processing conditions and to minimize polymer formation on the surfaces of the processing chamber.
Generally, a source RF power level of about 700 watts or less is applied to an inductor coil to generate and sustain a plasma of the processing gases during the etching process. A power level between about 200 watts and about 700 watts may be used during the etching process. A power level between about 400 watts and about 500 watts has been observed to provide sufficient plasma of the processing gases sufficient for etching the substrate surface. The recited source RF power levels have been observed to produce sufficient etching radicals and polymerization radicals from the processing gases to etch the exposed metal layer disposed on the substrate while providing a sufficiently low power level compared to prior art metal etch processes to maintain the substrate at temperatures between about 50°C and about 150°C.
Generally, a bias power of less than about 200 watts is also applied to the substrate to increase directionality of the etching radicals with respect to the surface of the substrate. A bias power of less than 50 watts, such as between about 5 watts and about 40 watts, is typically used in the etching process. A bias between about 5 watts and 20 watts has been observed to provide sufficient directionality of etching radicals during the etching process.
It is believed that generating a plasma of the processing gases at reduced power levels and reduced substrate temperatures removes exposed portions of the metal layer without excessive etching of the features to produce the desired critical dimensions. It is also believed that the reduced power levels and reduced substrate temperatures prevent photoresist degradation to produce features in the metal layer substantially having the critical dimensions of the features defined in the photoresist material.
While the following description illustrates one embodiment of a process sequence for etching metal layers, such as chromium, in photomasks fabrication, it is contemplated that the etching gases may be used to etch other metal layers formed on substrates in semiconductor and photomask manufacturing.
Figure 2 is a flow chart of one embodiment of one process sequence of an etching process. The flow chart is provided for illustrative purposes and should not be construed as limiting the scope of aspects of the invention. A substrate, typically comprising a silicon-based material, such as optical quality quartz or molybdenum suicide, is provided to a processing chamber at step 210, such as the DPS™ processing chamber 10 of Figure 1. The substrate is then processed by depositing an opaque, conformal metal layer, typically chromium, on the substrate at step 220.
The dimensions of features to be formed in the metal layer are patterned by depositing and pattern etching a first photoresist material to expose the conformal metal layer at step 230. The photoresist materials used in photomask fabrication are usually low temperature photomask materials, which is defined herein as photomask materials that thermally degrade at temperatures below about 250°C.
Features are then formed in the substrate by etching the conformal metal layer to expose the underlying substrate at step 240. Then the remaining photoresist materials are removed. The substrate may then be further processed to etch the silicon-based materials. The silicon-based material of the substrate is prepared for etching by depositing and pattern etching a second photoresist material 250 to expose the substrate. The substrate is then transferred to a DPS™ processing chamber where a processing gas containing fluorocarbon gases is introduced into the processing chamber and a plasma is generated, thereby etching 260 the exposed silicon based material of the substrate. Etching of the silicon based material of the substrate is more fully described in co-pending Patent Application Serial No. 09/625,313, entitled "A Method and Apparatus for Etching Photomasks," filed on July 25, 2000, and incorporated herein by reference to the extent not inconsistent with aspects of the invention.
Figures 3A-3E illustrate the composition of the photomask prior to the etching steps as well as further illustrate the process described above in Figure 2. A substrate 300, typically made of optical quality quartz material 310, is introduced into a processing chamber. A metal layer 320 made of chromium is deposited on the quartz material 310 as shown in Figure 3A. The chromium layer may be deposited by conventional methods known in the art, such as by physical vapor deposition (PVD) or chemical vapor deposition (CVD) techniques. The chromium layer 320 is typically deposited to a thickness between about 100 and about 200 nanometers (nm) thick, however, the depth of the layer may change based upon the requirements of the manufacturer and the composition of the materials of the substrate or metal layer.
Referring to Figure 3B, the substrate 300 is then transferred to another processing chamber where a layer of photoresist material 330, such as "RISTON," manufactured by DuPont de Nemours Chemical Company, is deposited upon the chromium layer 320 to a thickness between about 200 and 600 nm thick. The photoresist material 330 is then pattern etched using conventional laser or electron beam patterning equipment to form features 325 which are used to define the dimensions of the features to be formed in the chromium layer 320. The substrate 300 is then transferred to an etch chamber, such as the
DPS™ processing chamber 10 described above, and the chromium layer 320 is etched using metal etching techniques known in the art or by new metal etching techniques that may be developed to form features 335 which expose the underlying quartz material 310 as shown in Figure 3C.
An exemplary processing regime for etching metal layers on substrates with hydrogen containing fluorocarbon gases described herein is as follows. The substrate is placed on the support member 16, and a processing gas is introduced into the chamber and a plasma is generated to etch the chromium layer 320. The processing gas comprises one or more hydrogen containing fluorocarbons, an oxygen containing gas, a chlorine containing gas, and an inert gas. The processing gas is introduced into the processing chamber at a flow rate between about 50 seem and about 300 seem. For example, a flow rate of about 100 seem may be used during the etching process. The hydrogen containing fluorocarbon gases, for example, include CHF3, CH3F, CH2F2, C2HF5, C2H4F2, and combinations thereof, are introduced into the processing chamber at a flow rate between about 1 seem and about 15 seem. A flow rate between about 2 seem and about 7 seem of hydrogen containing fluorocarbon gases may be provided to the processing chamber. The oxygen containing gas comprises, for example, oxygen and is introduced into the processing chamber at a flow rate between about 10 seem and about 100 seem. The oxygen containing gas may also be introduced to the chamber at a flow rate between about 10 seem and about 50 seem. The chlorine containing gas, for example, chlorine, is introduced into the processing chamber at a flow rate between about 30 seem and about 150 seem. The chlorine containing gas may also be introduced into the processing chamber at a flow rate between about 40 seem and about 100 seem. The inert gas, for example, helium, is introduced into the processing chamber at a flow rate between about 30 seem and about 100 seem. The inert gas may be introduced into the processing chamber at a flow rate between about 60 seem and about 80 seem. Generally, the processing chamber pressure is maintained between about 2 milliTorr and about 25 milliTorr. A chamber pressure between about 10 milliTorr and about 20 milliTorr may be maintained during the etching process. The actual flow rates of the compounds comprising the processing gas are dependent upon the volume of the processing chamber and the desired amount of etching required. Thus, aspects described herein should not be limited to the flow rates recited herein.
A source RF power between about 200 watts and about 700 watts is applied to an inductor coil to generate and sustain a plasma of the processing gases during the etching process. A bias power between about 5 watts and about 40 watts is applied to the substrate support. The actual source RF power and bias power levels are dependent upon the needed process controls and type of structures being etched. For example, in advanced photoetch masks, smaller features need to be etched and a greater degree of control needs to be exercised over the etching process. The greater degree of control, i.e., the higher precision of the etching process required, is generally exercised by controlling the power levels, typically by reducing the power levels to provide a slower etch, to reach the achieved degree of etching. Thus the processing parameters, such as the power levels recited herein, should not be interpreted or construed as limiting the scope of aspects of the invention. The substrate temperature is maintained at between about 50°C and about 150°C during the etching process. Additionally, the sidewalls 15 of the processing chamber 10 are maintained at a temperature of about less than about 70°C and the dome is maintained at a temperature of less than about 80°C. Referring to Figures 3A-3C, after etching of the chromium layer 320 is completed, the substrate 300 is transferred to a processing chamber, and the remaining photoresist material 330 is usually removed from the substrate 300, such as by an oxygen plasma process, or other photoresist removal technique known in the art. Referring to Figures 3D and 3E, the substrate 300 may be further processed by etching the quartz material 310. In etching the quartz material 310, the photoresist material 330 is removed and a second photoresist material 340 is applied and patterned to expose the underlying quartz material 310 within' the features 335. The photoresist material is deposited to a depth between about 200 nm and 600 nm thick, but may be of any thickness and may also be of the same thickness as the depth of the features to be etched in the quartz material 310 to form the photomask. The substrate 300 is then etched to form feature 345 in the photoresist layer 340, the metal layer 320, and the quartz material 310. The second photoresist material 340 is removed to form a patterned substrate surface 355. The patterned substrate 300 is then transferred to an etch chamber, such as the DPS™ processing chamber 10, for plasma etching the quartz material 310.
The above described processing gas composition and processing regime is believed to provide controllable etching of features, such as vertical and horizontal interconnects, with desired critical dimensions. The etching of the features may be managed by producing and controlling the formation of passivating polymer deposits that condense on the sidewalls and bottom of features. It is believed that the passivating polymer deposits are etched and removed from the surface before the underlying material may be etched, thereby resulting in a decrease in the etching rate of the material underlying the passivating polymer deposits.
Additionally, the plasma etching process is generally anisotropic. The anisotropic process and will remove material deposited on the bottom of the feature at a higher rate than material on the sidewalls of the feature. This results in passivating polymer deposits on the sidewalls of the features being removed at a lower rate than passivating polymer deposits on the bottoms of features. The combination of the anisotropic etch of the plasma etching process and the passivating polymer deposits formed on the sidewalls of features will increase the etch selectivity to the bottom of the feature in contrast to the sidewalls of the feature. An etch process that etches the sidewalls of the features at a slower rate will be less likely to over-etch the sidewalls of the features during the etching process and allow for features to be produced with the desired critical dimensions.
It is further believed that the etching process can be further controlled by controlling the composition of the processing gases. It is believed that passivating polymer deposits are generally formed from the hydrogen containing fluorocarbon gases, which provide polymerizable carbon species in the processing gases. For example free carbon, hydrogen, carbon-containing radicals, such as CF2, and fluorine containing hydrocarbon radicals, such as CHF, have a tendency to polymerize and form passivating polymer deposits on the surfaces, particularly the sidewalls of the features during the etching process. The hydrogen containing carbon radicals, for example CHF from CHF3, have a greater tendency than hydrogen free carbon radicals, such as the CF2 radical, to polymerize, and are less reactive than hydrogen free polymers and are more difficult to remove from the surface of a substrate. Hydrocarbon gases, such as methane or ethane, may also be added to the processing gas to provide a carbon source to enhance polymer formation. Additionally, it has been observed that free fluorine radicals are highly reactive, and the greater the amount of fluorine in the processing gas, the faster the etching rate of passivating polymer deposits formed on the surfaces of features. Thus, the formation of passivating polymer deposits can be controlled by selecting the hydrogen, carbon, and fluorine content of the hydrogen containing fluorocarbon gas to increase or decrease polymer formation.
Further, the oxygen containing gases, such as oxygen, and that the oxygen based gases which contain carbon, such as carbon monoxide, may be used to control the etching rates of the processing gas by controlling the formation and removal of passivating polymer deposits on the substrate. The oxygen gas enhances the formation of free oxygen species that react with other species to reduce the formation of polymers that deposit on the surfaces of the etched features as passivating deposits. For example, the oxygen containing gases react with some of the radicals of the plasma process, such as CF2, to form volatile radicals, such as COF2, which are exhausted from the processing chamber.
Carbon containing oxygen based gases, such as carbon monoxide, can be used to enhance the formation of carbon-containing species that form passivating polymer deposits on the substrate to control the rates of etching. The carbon containing oxygen based gases can react with fluorine species to form volatile species, such as COF2 and COHF, and reduce the fluorine concentration in the processing chamber and consequently reduce the etching rate by the processing gas. The carbon containing oxygen based gases also provide an additional carbon source that may further enhance polymer formation by the fluorocarbon gas, thereby enhancing the formation of passivating polymer deposits on the sidewalls of the etched features. Thus, a carbon containing oxygen based gas can enhance polymer formation to lower the etch rate, while oxygen containing gases, such as oxygen, can be used in processing gases to reduce polymer formation and increase etching of the sidewalls of the features. Examples of oxygen containing gases include oxygen (O2) and ozone (03), and carbon containing oxygen based gases include carbon monoxide (CO) and carbon dioxide (CO2), of which CO and O2 are preferably used in processing gas compositions.
The chlorine containing gases used in the processing gas as the primary source of highly reactive etchant radicals can also be used to control the etching process. For example, HCI provides hydrogen to the process to convert chromium oxide, which is harder to etch, to chromium metal to increase etching of the metal layer when oxygen is included in the processing gas. The boron in BCI3 can be incorporated as a polymer source to improve the formation of passivation deposits and reduce etching of the sidewalls of the features. SiCI4 can react with free fluorine radicals to form volatile SiClxFY species that are exhausted from the processing chamber, thereby reducing the fluoride etchant in the processing chamber to provide an improved control of the etching rate.
Additionally, the inert gas forms ionized sputtering species that sputter-off the passivating polymer deposits on the sidewalls of the etched features. The inert gas is also ionized to form ionized metastable states that enhance dissociation of the processing gas. Sufficient inert gas may be added to the processing gas to assist in sputtering the passivating polymer deposits off the substrate to control etching rates, and to control the disassociation rates of the processing gas. However, excessive flow of inert gas causes excessive sputtering of the photoresist on the substrate, resulting in overetching of the metal layer underlying the photoresist material and over etching of the photoresist material, both of which can result in the loss of critical dimensions of the features formed in the metal layer. In an alternative embodiment, the metal layer may be etched with the desired critical dimensions with a processing gas including (i) hydrochloric acid (HCI), (ii) an oxygen containing gas, and (iii) a chlorine containing gas. The processing gas may further include an inert gas. The chlorine containing gas of the processing gas is selected from the group of chlorine (Cl2), silicon tetrachloride (SiCI4), boron trichloride (BCI3), and combinations thereof, which is used to supply highly reactive radicals to etch the metal layer. The oxygen containing gas includes oxygen (O2), carbon monoxide (CO), carbon dioxide (C02), and combinations thereof. The inert gas may include argon (Ar), helium (He), neon (Ne), xenon (Xe), krypton (Kr), and combinations thereof, of which argon and helium are generally used.
The etching process using the processing gas including hydrochloric acid may be performed by first introducing the processing gas into the processing chamber at a flow rate between about 50 seem and about 300 seem. For example, a flow rate of about 100 seem may be used during the etching process. The hydrochloric acid may be introduced into the processing chamber at a flow rate between about 30 seem and about 150 seem. The hydrochloric acid may also be introduced into the processing chamber at a flow rate between about 40 seem and about 100 seem. The oxygen containing gas comprises, for example, oxygen, may be introduced into the processing chamber at a flow rate between about 10 seem and about 100 seem. The oxygen containing gas may also be introduced to the chamber at a flow rate between about 10 seem and about 50 seem. The chlorine containing gas, for example, chlorine, is introduced into the processing chamber at a flow rate between about 30 seem and about 150 seem. The chlorine containing gas may also be introduced into the processing chamber at a flow rate between about 40 seem and about 100 seem. The inert gas, for example, helium, is introduced into the processing chamber at a flow rate between about 30 seem and about 100 seem. The inert gas may be introduced into the processing chamber at a flow rate between about 60 seem and about 80 seem. Generally, the processing chamber pressure is maintained between about
2 milliTorr and about 25 milliTorr when etching with the processing gas including hydrochloric acid. A chamber pressure between about 10 milliTorr and about 20 milliTorr may be maintained during the etching process. However, The actual flow rates of the compounds comprising the processing gas and the deposition pressure may be varied to perform sufficient etching in chamber containing different volumes and the desired amount of etching required. Thus, the aspects of the invention should not be limited to the flow rates recited herein.
A source RF power between about 200 watts and about 700 watts is applied to an inductor coil to generate and sustain a plasma of the processing gases during the etching process. A bias power between about 5 watts and about 40 watts is applied to the substrate support. The substrate temperature is maintained at between about 50°C and about 150°C during the etching process. Additionally, the sidewalls 15 of the processing chamber 10 are maintained at a temperature of about less than about 70°C and the dome is maintained at a temperature of less than about 80°C.
It is believed that the processing gas including hydrochloric acid allows the formation of features with the desired critical dimensions by preventing the chemical breakdown of the photoresist material during etching. It is further believed that the hydrochloric acid prevents the chemical breakdown of the photoresist material by the hydrogen component of the hydrochloric acid performing as a reducing agent to prevent excessive oxidation of the photoresist material by the oxygen containing gas during etching. The hydrogen may also reduce chromium oxide, which is harder to etch, to chromium metal to increase etching of the metal layer when oxygen is included in the processing gas.
The invention is further described by the following examples that are not intended to limit the scope of the claimed invention.
The Etching Process A photomask including a substrate made of a silicon based material, preferably optical quality quartz with a chromium layer approximately 100 nanometers (nm) thick disposed thereon is introduced into a processing chamber for photoresist deposition. A photoresist, such as "RISTON," manufactured by duPont de Nemours Chemical Company, is deposited upon the chromium photomask and then patterned using conventional laser or electron beam patterning equipment. The photoresist deposited on the etched photomask is between about 200 nm and about 600 nm thick, for example, between about 300 nm and about 570 nm thick, but may be of any thickness desired. The photoresist thickness may be about the same thickness as the chromium layer to be etched to expose the silicon base material underlying the chromium layer. The photomask is placed in an etch chamber such as the DPS™ metal etch chamber described above. The patterned substrate is then placed on the cathode pedestal of the etch chamber, and the chamber was maintained at a pressure of about 10 milliTorr and about 15 milliTorr. A plasma was generated by applying a source RF voltage to the inductor coil at a power level of about 500 Watts. A bias power of 5 Watts was applied to the cathode pedestal. The substrate surface is maintained at a temperature between about 70°C and about 80°C. The chamber walls and dome were cooled to about 70°C to maintain a steady etch processing condition. The etching of the feature occurred under the following gas flows:
CHF3) at 3 seem
Cl2, at 40 seem
O2, at 10-25 seem Helium (He), at 40 seem.
The total flow rate was between about 100 and about 300 seem, approximately
100 seem for the above listed processing gases. The etching process was performed for a sufficient time to form the features in the metal layer.
The photomask described in the above example may also be etched using the processing gas including hydrochloric acid described herein. In this example, the patterned substrate described above is placed on the cathode pedestal of the etch chamber, and the chamber was maintained at a pressure of about 15 milliTorr and about 20 milliTorr, such as about 18 milliTorr. A plasma was generated by applying a source RF voltage to the inductor coil at a power level of about 550 Watts. A bias power of 5 Watts was applied to the cathode pedestal. The substrate surface is maintained at a temperature of about 80°C. The chamber walls and dome were cooled to about 70°C to maintain a steady etch processing condition. The etching of the feature occurred under the following gas flows: HCI, at 54 seem
Cl2, at 81 seem
O2, at 15 seem
Helium (He), at 60 seem.
The total flow rate was between about 100 and about 300 seem, approximately 210 seem for the above listed processing gases. The etching process was performed for a sufficient time to form the features in the metal layer.
While foregoing is directed to the preferred aspects of the invention, other and further aspects of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims

CLAIMS:
1. A method for processing a substrate, comprising: positioning the substrate on a support member in a processing chamber, wherein the substrate has a metal layer formed thereon and is maintained at a temperature of about 150°C or less; introducing a processing gas comprising (i) one or more hydrogen containing fluorocarbons, (ii) an oxygen containing gas, and (iii) a chlorine containing gas into the processing chamber; delivering power to the processing chamber to generate a plasma; and etching exposed portions of the metal layer.
2. The method of claim 1 , wherein the conformal metal layer comprises chromium.
3. The method of claim 1 , wherein the one or more hydrogen containing fluorocarbons have the formula CXHYFZ, where x is an integer from 1 to 5, y is an integer from 1 to 8, and z is an integer from 1 to 8.
4. The method of claim 3, wherein the one or more hydrogen containing fluorocarbons are selected from the group of CHF3, CH3F, CH2F2, C2HF5, C2H4F2, and combinations thereof.
5. The method of claim 1 , wherein the oxygen containing gas is selected from the group of oxygen (O2), carbon monoxide (CO), carbon dioxide (CO2), and combinations thereof.
6. The method of claim 1 , wherein the chlorine containing gas is selected from the group of chlorine (Cl2), hydrochloric acid (HCI), silicon tetrachloride (SiCI4), boron trichloride (BCI3), and combinations thereof.
7. The method of claim 1 , wherein the processing gas further comprises an inert gas selected from the group of helium, argon, xenon, neon, krypton, and combinations thereof.
8. The method of claim 1, wherein the substrate is maintained at a temperature between about 50°C and about 150°C.
9. The method of claim 1 , wherein delivering power to the processing chamber comprises applying a source RF power between about 250 Watts and about 700 Watts.
10. The method of claim 9, further comprising applying a bias power to the support member of about 50 Watts or less.
11. The method of claim 1 , wherein the processing chamber is maintained at a pressure between about 2 milliTorr and about 25 milliTorr.
12. The method of claim 1 , wherein etching the substrate comprises introducing one or more hydrogen containing fluorocarbons selected from the group of CHF3, CH3F, CH2F2, C2HF5, C2H4F2, and combinations thereof, introducing an oxygen containing gas selected from the group of O2, CO, CO2, and combinations thereof, introducing a chlorine containing gas selected from the group of Cl2, HCI, SiCI4, BCI3, and combinations thereof, introducing an inert gas selected from the group of helium, argon, xenon, neon, krypton, and combinations thereof, into a processing chamber, maintaining the processing chamber at a pressure between about 2 milliTorr and about 25 milliTorr, maintaining the substrate at a temperature between about 50°C and about 150°C, and generating a plasma by supplying a source RF power between about 250 Watts and about 700 Watts to the processing chamber.
13. A method for etching a substrate having a conformal metal layer formed on a silicon based substrate with a patterned photoresist material deposited on the metal layer, the method comprising: positioning the substrate on a support member in a processing chamber having a coil; introducing a processing gas comprising (i) one or more hydrogen containing fluorocarbons having the formula CXHYF2, where x is an integer from 1 to 5, y is an integer from 1 to 8, and z is an integer from 1 to 8, (ii) an oxygen containing gas, (iii) a chlorine containing gas, and (iv) an inert gas; supplying a source RF power of about 700 watts or less to the coil to generate a plasma in the processing chamber; and etching exposed portions of the metal layer.
14. The method of claim 13, wherein the metal layer comprises chromium.
15. The method of claim 13, wherein the one or more hydrogen containing fluorocarbons are selected from the group of CHF3, CH3F, CH2F2, C2HF5, C2H4F2, and combinations thereof.
16. The method of claim 13, wherein the substrate is maintained at a temperature between about 50°C and about 150°C.
17. The method of claim 13, wherein the delivering power to the processing chamber comprises applying a source RF power level between about 250 Watts and about 700 Watts to a coil.
18. The method of claim 17, further comprising applying a bias power to the support member of about 50 Watts or less.
19. The method of claim 13, wherein the processing chamber is maintained at a pressure between about 2 milliTorr and about 25 milliTorr.
20. The method of claim 13, wherein the processing chamber is maintained at a pressure between about 2 milliTorr and about 25 milliTorr, the substrate is maintained at a temperature between about 50°C and about 150°C, and the source RF power is delivered at between about 250 Watts and about 700 Watts to the processing chamber.
21. A method for selectively etching a metal layer on a substrate, comprising: positioning the substrate within a processing chamber, wherein the substrate is maintained at a temperature of about 150°C or less; introducing a processing gas comprising (i) one or more hydrogen containing fluorocarbons selected from the group of CHF3, CH3F, CH2F2, C2HF5,
C2H4F2, and combinations thereof, (ii) oxygen, (iii) chlorine, and (iv) helium; delivering power to the processing chamber by supplying a source RF power of about 700 Watts or less to a coil to generate a plasma and supplying a bias power to the support member of about 50 Watts or less; and etching exposed portions of the metal layer.
22. The method of claim 21 , wherein the substrate is maintained at a temperature between about 50°C and about 150°C.
23. The method of claim 21 , wherein the delivering power to the processing chamber comprises applying a source RF power level between about 250 Watts and about 700 Watts.
24. The method of claim 21 , Wherein the bias power is applied at a power level between about 5 Watts and about 40 Watts.
25. The method of claim 21 , wherein the processing chamber is maintained at a pressure between about 2 milliTorr and about 25 milliTorr.
26. The method of claim 13, wherein the processing chamber is maintained at a pressure between about 2 milliTorr and about 25 milliTorr, the substrate is maintained at a temperature between about 50°C and about 150°C, the source RF power is delivered at between about 250 Watts and about 700 Watts to the processing chamber, and the bias power is delivered at between about 5 and about 40 watts to the support member.
25. The method of claim 20, wherein the metal layer comprises chromium.
26. A method for processing a substrate, comprising: positioning the substrate on a support member in a processing chamber, wherein the substrate has a metal layer formed thereon and is maintained at a temperature of about 150°C or less; introducing a processing gas comprising (i) hydrochloric acid, (ii) an oxygen containing gas, and (iii) a chlorine containing gas into the processing chamber; delivering power to the processing chamber by supplying a source RF power of about 700 Watts or less; and etching exposed portions of the metal layer.
27. The method of claim 26, wherein the conformal metal layer comprises chromium.
28. The method of claim 26, wherein the processing gas further comprises an inert gas selected from the group of helium, argon, xenon, neon, krypton, and combinations thereof.
29. The method of claim 26, wherein etching the substrate comprises introducing hydrochloric acid, introducing an oxygen containing gas selected from the group of O2, CO, CO2, and combinations thereof, introducing a chlorine containing gas selected from the group of Cl2, HCI, SiCI4, BCI3, and combinations thereof, introducing an inert gas selected from the group of helium, argon, xenon, neon, krypton, and combinations thereof, into a processing chamber, maintaining the processing chamber at a pressure between about 2 milliTorr and about 25 milliTorr, maintaining the substrate at a temperature between about 50°C and about 150°C, and generating a plasma by supplying a source RF power between about 250 Watts and about 700 Watts to the processing chamber.
30. The method of claim 29, further comprising applying a bias power to the support member of about 50 Watts or less.
PCT/US2001/019282 2000-06-15 2001-06-15 A method and apparatus for etching metal layers on substrates WO2001096955A2 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
EP01946420A EP1290495A2 (en) 2000-06-15 2001-06-15 A method and apparatus for etching metal layers on substrates
JP2002511020A JP2004503829A (en) 2000-06-15 2001-06-15 Method and apparatus for etching a metal layer on a substrate
US10/024,958 US20030003374A1 (en) 2001-06-15 2001-12-18 Etch process for photolithographic reticle manufacturing with improved etch bias

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US21167000P 2000-06-15 2000-06-15
US60/211,670 2000-06-15

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US10/024,958 Continuation-In-Part US20030003374A1 (en) 2001-06-15 2001-12-18 Etch process for photolithographic reticle manufacturing with improved etch bias

Publications (2)

Publication Number Publication Date
WO2001096955A2 true WO2001096955A2 (en) 2001-12-20
WO2001096955A3 WO2001096955A3 (en) 2002-11-28

Family

ID=22787882

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2001/019282 WO2001096955A2 (en) 2000-06-15 2001-06-15 A method and apparatus for etching metal layers on substrates

Country Status (3)

Country Link
EP (1) EP1290495A2 (en)
JP (1) JP2004503829A (en)
WO (1) WO2001096955A2 (en)

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2003021659A1 (en) * 2001-09-04 2003-03-13 Applied Materials, Inc. Methods and apparatus for etching metal layers on substrates
US6534417B2 (en) 2000-05-22 2003-03-18 Applied Materials, Inc. Method and apparatus for etching photomasks
US6960413B2 (en) 2003-03-21 2005-11-01 Applied Materials, Inc. Multi-step process for etching photomasks
EP1679741A1 (en) * 2005-01-08 2006-07-12 Applied Materials, Inc. Method of quartz etching
US7077973B2 (en) 2003-04-18 2006-07-18 Applied Materials, Inc. Methods for substrate orientation
US7115523B2 (en) 2000-05-22 2006-10-03 Applied Materials, Inc. Method and apparatus for etching photomasks
US7183201B2 (en) 2001-07-23 2007-02-27 Applied Materials, Inc. Selective etching of organosilicate films over silicon oxide stop etch layers
CN101054673A (en) * 2006-04-14 2007-10-17 应用材料股份有限公司 Light shield plasma etching method using protective cover
EP1918775A2 (en) * 2006-10-30 2008-05-07 Applied Materials, Inc. Mask etch process
EP1686422A3 (en) * 2005-01-27 2008-07-02 Applied Materials, Inc. Method for photomask plasma etching using a protected mask
US7521000B2 (en) 2003-08-28 2009-04-21 Applied Materials, Inc. Process for etching photomasks
US7575692B2 (en) 2003-04-11 2009-08-18 Hoya Corporation Method for etching chromium thin film and method for producing photomask
US7786019B2 (en) 2006-12-18 2010-08-31 Applied Materials, Inc. Multi-step photomask etching with chlorine for uniformity control
US7955516B2 (en) * 2006-11-02 2011-06-07 Applied Materials, Inc. Etching of nano-imprint templates using an etch reactor
CN111399348A (en) * 2020-04-17 2020-07-10 淮北师范大学 Method for inhibiting collapse and adhesion of photoresist pattern structure

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4780264B2 (en) * 2001-05-16 2011-09-28 信越化学工業株式会社 Method for forming chromium-based photomask
US7829243B2 (en) * 2005-01-27 2010-11-09 Applied Materials, Inc. Method for plasma etching a chromium layer suitable for photomask fabrication

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE2701458A1 (en) * 1976-01-16 1977-07-21 Fuji Photo Film Co Ltd Permanent stable pattern prodn. using silver image as mask - for gas plasma etching of underlying image-forming layer on substrate (NL 19.7.77)
JPS60219748A (en) * 1984-04-16 1985-11-02 Mitsubishi Electric Corp Pattern formation by dry etching
JPS62181433A (en) * 1986-02-04 1987-08-08 Mitsubishi Electric Corp Dry etching method
US5994235A (en) * 1998-06-24 1999-11-30 Lam Research Corporation Methods for etching an aluminum-containing layer

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE2701458A1 (en) * 1976-01-16 1977-07-21 Fuji Photo Film Co Ltd Permanent stable pattern prodn. using silver image as mask - for gas plasma etching of underlying image-forming layer on substrate (NL 19.7.77)
JPS60219748A (en) * 1984-04-16 1985-11-02 Mitsubishi Electric Corp Pattern formation by dry etching
JPS62181433A (en) * 1986-02-04 1987-08-08 Mitsubishi Electric Corp Dry etching method
US5994235A (en) * 1998-06-24 1999-11-30 Lam Research Corporation Methods for etching an aluminum-containing layer

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
PATENT ABSTRACTS OF JAPAN vol. 010, no. 069 (E-389), 18 March 1986 (1986-03-18) & JP 60 219748 A (MITSUBISHI DENKI KK), 2 November 1985 (1985-11-02) *
PATENT ABSTRACTS OF JAPAN vol. 012, no. 024 (E-576), 23 January 1988 (1988-01-23) & JP 62 181433 A (MITSUBISHI ELECTRIC CORP), 8 August 1987 (1987-08-08) *

Cited By (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6534417B2 (en) 2000-05-22 2003-03-18 Applied Materials, Inc. Method and apparatus for etching photomasks
US7115523B2 (en) 2000-05-22 2006-10-03 Applied Materials, Inc. Method and apparatus for etching photomasks
US7183201B2 (en) 2001-07-23 2007-02-27 Applied Materials, Inc. Selective etching of organosilicate films over silicon oxide stop etch layers
US7244672B2 (en) 2001-07-23 2007-07-17 Applied Materials, Inc. Selective etching of organosilicate films over silicon oxide stop etch layers
US7018934B2 (en) 2001-09-04 2006-03-28 Applied Materials, Inc. Methods and apparatus for etching metal layers on substrates
WO2003021659A1 (en) * 2001-09-04 2003-03-13 Applied Materials, Inc. Methods and apparatus for etching metal layers on substrates
US6960413B2 (en) 2003-03-21 2005-11-01 Applied Materials, Inc. Multi-step process for etching photomasks
US7371485B2 (en) 2003-03-21 2008-05-13 Applied Materials, Inc. Multi-step process for etching photomasks
US7575692B2 (en) 2003-04-11 2009-08-18 Hoya Corporation Method for etching chromium thin film and method for producing photomask
US7077973B2 (en) 2003-04-18 2006-07-18 Applied Materials, Inc. Methods for substrate orientation
US7521000B2 (en) 2003-08-28 2009-04-21 Applied Materials, Inc. Process for etching photomasks
US8202441B2 (en) 2003-08-28 2012-06-19 Applied Materials, Inc. Process for etching a metal layer suitable for use in photomask fabrication
US7879510B2 (en) 2005-01-08 2011-02-01 Applied Materials, Inc. Method for quartz photomask plasma etching
EP1679741A1 (en) * 2005-01-08 2006-07-12 Applied Materials, Inc. Method of quartz etching
KR100886514B1 (en) 2005-01-08 2009-03-02 어플라이드 머티어리얼스, 인코포레이티드 Method for quartz photomask plasma etching
KR101095694B1 (en) 2005-01-08 2011-12-20 어플라이드 머티어리얼스, 인코포레이티드 Method for quartz photomask plasma etching
EP1686422A3 (en) * 2005-01-27 2008-07-02 Applied Materials, Inc. Method for photomask plasma etching using a protected mask
US7790334B2 (en) 2005-01-27 2010-09-07 Applied Materials, Inc. Method for photomask plasma etching using a protected mask
CN101054673B (en) * 2006-04-14 2014-04-30 应用材料公司 Light shield plasma etching method using protective cover
CN101054673A (en) * 2006-04-14 2007-10-17 应用材料股份有限公司 Light shield plasma etching method using protective cover
EP1918775A2 (en) * 2006-10-30 2008-05-07 Applied Materials, Inc. Mask etch process
EP1918775A3 (en) * 2006-10-30 2012-06-06 Applied Materials, Inc. Mask etch process
US7955516B2 (en) * 2006-11-02 2011-06-07 Applied Materials, Inc. Etching of nano-imprint templates using an etch reactor
US7786019B2 (en) 2006-12-18 2010-08-31 Applied Materials, Inc. Multi-step photomask etching with chlorine for uniformity control
CN111399348A (en) * 2020-04-17 2020-07-10 淮北师范大学 Method for inhibiting collapse and adhesion of photoresist pattern structure
CN111399348B (en) * 2020-04-17 2023-03-31 淮北师范大学 Method for inhibiting collapse and adhesion of photoresist pattern structure

Also Published As

Publication number Publication date
WO2001096955A3 (en) 2002-11-28
JP2004503829A (en) 2004-02-05
EP1290495A2 (en) 2003-03-12

Similar Documents

Publication Publication Date Title
US8202441B2 (en) Process for etching a metal layer suitable for use in photomask fabrication
US7371485B2 (en) Multi-step process for etching photomasks
US20060163203A1 (en) Methods and apparatus for etching metal layers on substrates
US20040072081A1 (en) Methods for etching photolithographic reticles
TWI391987B (en) Methods for processing a photolithographic reticle
EP1686421B1 (en) Method for plasma etching a chromium layer suitable for photomask fabrication
US7077973B2 (en) Methods for substrate orientation
US6869542B2 (en) Hard mask integrated etch process for patterning of silicon oxide and other dielectric materials
EP1686422B1 (en) Method for photomask plasma etching using a protected mask
EP1290495A2 (en) A method and apparatus for etching metal layers on substrates
EP1918775A2 (en) Mask etch process
JP2006215552A5 (en)
JP2013030778A (en) Method for bilayer resist plasma etch
US6534417B2 (en) Method and apparatus for etching photomasks
US20030003374A1 (en) Etch process for photolithographic reticle manufacturing with improved etch bias
US20040000535A1 (en) Process for etching photomasks
US7115523B2 (en) Method and apparatus for etching photomasks

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): JP US

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE TR

121 Ep: the epo has been informed by wipo that ep was designated in this application
DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
AK Designated states

Kind code of ref document: A3

Designated state(s): JP US

AL Designated countries for regional patents

Kind code of ref document: A3

Designated state(s): AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE TR

ENP Entry into the national phase in:

Ref country code: JP

Ref document number: 2002 511020

Kind code of ref document: A

Format of ref document f/p: F

WWE Wipo information: entry into national phase

Ref document number: 2001946420

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 2001946420

Country of ref document: EP