WO2001043157A1 - Ion implantation ion source, system and method - Google Patents

Ion implantation ion source, system and method Download PDF

Info

Publication number
WO2001043157A1
WO2001043157A1 PCT/US2000/033786 US0033786W WO0143157A1 WO 2001043157 A1 WO2001043157 A1 WO 2001043157A1 US 0033786 W US0033786 W US 0033786W WO 0143157 A1 WO0143157 A1 WO 0143157A1
Authority
WO
WIPO (PCT)
Prior art keywords
ion source
electron
ion
ionization chamber
ionization
Prior art date
Application number
PCT/US2000/033786
Other languages
French (fr)
Inventor
Thomas N. Horsky
John N. Williams
Original Assignee
Semequip, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Semequip, Inc. filed Critical Semequip, Inc.
Priority to JP2001543753A priority Critical patent/JP4820038B2/en
Priority to EP00988056.8A priority patent/EP1245036B1/en
Priority to AU24306/01A priority patent/AU2430601A/en
Priority to EP11008982.8A priority patent/EP2426692A3/en
Priority to PCT/US2001/018822 priority patent/WO2002043803A1/en
Priority to EP01944435A priority patent/EP1347804A4/en
Priority to AU2001266847A priority patent/AU2001266847A1/en
Priority to CNB018198511A priority patent/CN100385605C/en
Priority to JP2002545772A priority patent/JP2004519070A/en
Priority to US10/433,493 priority patent/US7064491B2/en
Publication of WO2001043157A1 publication Critical patent/WO2001043157A1/en
Priority to US10/170,512 priority patent/US7107929B2/en
Priority to US10/825,339 priority patent/US7022999B2/en
Priority to US10/887,425 priority patent/US7112804B2/en
Priority to US10/887,426 priority patent/US7185602B2/en
Priority to US11/174,107 priority patent/US7479643B2/en
Priority to US11/268,005 priority patent/US7838842B2/en
Priority to JP2006054027A priority patent/JP5026711B2/en
Priority to US11/365,719 priority patent/US7609003B2/en
Priority to US11/527,994 priority patent/US7800312B2/en
Priority to US11/647,924 priority patent/US7732787B2/en
Priority to US11/648,366 priority patent/US20080042580A1/en
Priority to US11/648,378 priority patent/US20070170372A1/en
Priority to US11/648,506 priority patent/US20070107841A1/en
Priority to US11/647,801 priority patent/US7394202B2/en
Priority to US11/648,282 priority patent/US7528550B2/en
Priority to US11/940,136 priority patent/US7834554B2/en
Priority to US12/059,608 priority patent/US7838850B2/en
Priority to US12/642,161 priority patent/US8154210B2/en
Priority to US12/776,636 priority patent/US8502161B2/en
Priority to JP2010154813A priority patent/JP5128640B2/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • H01J37/3171Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation for ion implantation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/48Ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J27/00Ion beam tubes
    • H01J27/02Ion sources; Ion guns
    • H01J27/20Ion sources; Ion guns using particle beam bombardment, e.g. ionisers
    • H01J27/205Ion sources; Ion guns using particle beam bombardment, e.g. ionisers with electrons, e.g. electron impact ionisation, electron attachment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/04Arrangements of electrodes and associated parts for generating or controlling the discharge, e.g. electron-optical arrangement, ion-optical arrangement
    • H01J37/08Ion sources; Ion guns
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/2658Bombardment with radiation with high-energy radiation producing ion implantation of a molecular ion, e.g. decaborane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/04Means for controlling the discharge
    • H01J2237/047Changing particle velocity
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/04Means for controlling the discharge
    • H01J2237/049Focusing means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/06Sources
    • H01J2237/063Electron sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/06Sources
    • H01J2237/08Ion sources
    • H01J2237/0812Ionized cluster beam [ICB] sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/06Sources
    • H01J2237/08Ion sources
    • H01J2237/0815Methods of ionisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/06Sources
    • H01J2237/08Ion sources
    • H01J2237/0815Methods of ionisation
    • H01J2237/082Electron beam
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/06Sources
    • H01J2237/083Beam forming
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/06Sources
    • H01J2237/083Beam forming
    • H01J2237/0835Variable cross-section or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/31701Ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/31701Ion implantation
    • H01J2237/31703Dosimetry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/31701Ion implantation
    • H01J2237/31705Impurity or contaminant control
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • H01L21/26513Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors of electrically active species
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures

Definitions

  • the invention provides production-worthy ion sources and methods capable of using new source materials, in particular, heat-sensitive materials such as decaborane (BjoH 14 ), and hydrides and dimer-containing compounds novel to the ion implantation process, to achieve new ranges of performance in the commercial ion implantation of semiconductor wafers.
  • the invention enables shallower, smaller and higher densities of semiconductor devices to be manufactured, particularly in Complementary Metal-Oxide Semiconductor (CMOS) manufacturing.
  • CMOS Complementary Metal-Oxide Semiconductor
  • the invention enables the new ion source to be retrofit into the existing fleet of ion implanters with great capital cost savings.
  • Embodiments of the invention uniquely implant decaborane and the other dopant materials in particularly pure ion beams, enabling a wide range of the needs of a fabrication facility to be met.
  • Various novel constructional, operational and process features that contribute to the cost-effectiveness of the new technology are applicable as well to prior technology of the industry.
  • ion implantation is a key technology in the manufacture of integrated circuits (ICs).
  • ICs integrated circuits
  • ions are implanted into silicon or GaAs wafers to form transistor junctions, and to dope the well regions of the p-n junctions.
  • By selectively controlling the energy of the ions their implantation depth into the target wafer can be selectively controlled, allowing three-dimensional control of the dopant concentrations introduced by ion implantation.
  • the dopant concentrations control the electrical properties of the transistors, and hence the performance of the ICs.
  • a number of dopant feed materials have previously been used, including As, Ar, B, Be, C, Ga, Ge, In, N, P, Sb and Si.
  • the ion implanter is a manufacturing tool which ionizes the dopant-containing feed materials, extracts the dopant ions of interest, accelerates the dopant ions to the desired energy, filters away undesired ionic species, and then transports the dopant ions of interest to the wafer at the appropriate energy for impact upon the wafer.
  • dopant feed material e.g., BF 3 gas
  • Dopant ion species e.g., X
  • Ion energy (e.g., 5 keV)
  • Chemical purity of the ion beam e.g., ⁇ 0.01% energetic contaminants
  • Isotopic purity of the ion beam e.g., ability to discriminate between 113 In
  • Energy purity of the ion beam e.g., ⁇ 2% full width at half maximum, i.e.
  • Total dose e.g., 10 15 atoms/cm 2
  • Uniformity of the dose e.g., ⁇ 1% variation in the implanted density over the totai wafer surface area
  • a typical commercial ion implanter is shown in schematic in Fig. 1.
  • the ion beam I is shown propagating from the ion source 42 through a transport (i.e. "analyzer") magnet 43, where it is separated along the dispersive (lateral) plane according to the mass-to-charge ratio of the ions.
  • a portion of the beam is focused by the magnet 43 onto a mass resolving aperture 44.
  • the aperture size determines which mass-to-charge ratio ion passes downstream, to ultimately impact the target wafer 55, which typically may be mounted on a spinning disk 45.
  • the smaller the mass resolving aperture 44, the higher the resolving power R of the implanter, where R M/ ⁇ M (M being the nominal mass-to-charge ratio of the ion and ⁇ M being the range of mass-to- charge ratios passed by the aperture 44).
  • the beam current passing aperture 44 can be monitored by a moveable Faraday detector 46, whereas a portion of the beam current reaching the wafer position can be monitored by a second Faraday detector 47 located behind the disk 45.
  • the ion source 42 is biased to high voltage and receives gas distribution and power through feedthroughs 48.
  • the source housing 49 is kept at high vacuum by source pump 50, while the downstream portion of the implanter is likewise kept at high vacuum by chamber pump 51.
  • the ion source 42 is electrically isolated from the source housing 49 by dielectric bushing 52.
  • the ion beam is extracted from the ion source 42 and accelerated by an extraction electrode 53.
  • the ion beam impacts the wafer 55 with ion energy E.
  • the ion beam is scanned across a wafer by an electrostatic or electromagnetic scanner, with either a mechanical scan system to move the wafer or another such electrostatic or electromagnetic scanner being employed to accomplish scanning in the orthogonal direction.
  • Fig. 2 shows diagrammatically the "standard” technology for commercial ion sources, namely the "Enhanced Bernas" arc discharge ion source.
  • This type of source is commonly the basis for design of various ion implanters, including high current, high energy, and medium current ion implanters.
  • the ion source a is mounted to the vacuum system of the ion implanter through a mounting flange b which also accommodates vacuum feedthroughs for cooling water, thermocouples, dopant gas feed, N 2 cooling gas, and power.
  • the dopant gas feed c feeds gas, such as the fluorides of a number of the desired dopant species, into the arc chamber d in which the gas is ionized.
  • gas such as the fluorides of a number of the desired dopant species
  • dual vaporizer ovens e /inside of the mounting flange in which solid feed materials such as As, Sb 2 O 3 , and P may be vaporized.
  • the ovens, gas feed, and cooling lines are contained within a water cooled machined aluminum block g .
  • the water cooling limits the temperature excursion of the aluminum block g while the vaporizers, which operate between 100C and 800C, are active, and also counteracts radiative heating by the arc chamber d when the ion source is active.
  • the arc chamber d is mounted to, but designedly is in poor thermal contact with, the aluminum block g .
  • the ion source a employs an arc discharge plasma, which means that it operates by sustaining within a defined chamber volume a generally narrow continuous electric arc discharge between hot filament cathode h, residing within the arc chamber d, and the internal walls of the arc chamber d.
  • the arc produces a narrow hot plasma comprising a cloud of primary and secondary electrons interspersed with ions of the gas that is present. Since this arc can typically dissipate in excess of 300W energy, and since the arc chamber d cools only through radiation, the arc chamber in such Bernas ion sources can reach a temperature of 800C during operation.
  • the gas is introduced to arc chamber d through a low conductance passage and is ionized through electron impact with the electrons discharged between the cathode h and the arc chamber d and, as well, by the many secondary electrons produced by the arc discharge.
  • a substantial, uniform magnetic field i is established along the axis joining the cathode h and an anticathodey by externally located magnet coils, 54 as shown in Fig. 1. This provides confinement of the arc electrons, and extends the length of their paths.
  • the anticathodey (sometimes referred to as a "repeller") located within the arc chamber d but at the end opposite the cathode h is typically held at the same electric potential as the cathode h, and serves to reflect the arc electrons confined by the magnetic field i back toward the cathode h, from which they are repelled back again, the electrons traveling repeatedly in helical paths.
  • the trajectory of the thus-confined electrons results in a cylindrical plasma column between the cathode h and anticathodey.
  • the arc plasma density within the plasma column is typically high, on the order of 10 per cubic centimeter; this enables further ionizations of the neutral and ionized components within the plasma column by charge-exchange interactions, and also allows for the production of a high current density of extracted ions.
  • the cathode h of such a conventional Bernas arc discharge ion source is typically a hot filament or an indirectly-heated cathode which thermionically emits electrons when heated by an external power supply. It and the anticathode are typically held at a voltage V c between 60V and 150V below the potential of the ion source body V a .
  • the hot cathode h also transfers power to the walls of the arc chamber d.
  • the arc chamber d provides a high temperature environment for the dopant arc plasma, which boosts ionization efficiency relative to a cold environment by increasing the gas pressure within the arc chamber d, and by preventing substantial condensation of dopant material on the hot chamber walls.
  • the vaporized material feeds into the arc chamber d with substantial pressure drop through narrow vaporizer feeds k and / , and into plenums m and n.
  • the plenums serve to diffuse the vaporized material into the arc chamber d, and are at about the same temperature as the arc chamber d .
  • Radiative thermal loading of the vaporizers by the arc chamber also typically prevents the vaporizers from providing a stable temperature environment for the solid feed materials contained therein below about 200C.
  • a very significant problem which currently exists in the ion implantation of semiconductors is the limitation of production- worthy ion implantation implanters that prevents effective implanting of dopant species at low (e.g., sub-keV) energies at commercially desired rates.
  • One critically important application which utilizes low- energy dopant beams is the formation of shallow transistor junctions in CMOS manufacturing. As transistors shrink in size to accommodate more transistors per IC according to a vital trend, the transistors must be formed closer to the surface of the target wafer. This requires reducing the velocity, and hence the energy, of the implanted ions, so that they deposit at the desired shallow level.
  • boron a p-type dopant
  • silicon wafers Since boron atoms have low mass, at a given energy for which the implanter is designed to operate, they must have higher velocity and will penetrate deeper into the target wafer than other p-type dopants; therefore there is a need for boron to be implanted at lower energies than other species.
  • Ion implanters are relatively inefficient at transporting low-energy ion beams due to space charge within the ion beam, the lower the energy, the greater the problem.
  • the space charge in low energy beams causes the beam cross-section area (i.e. its "profile") to grow larger as the ions proceed along the beam line (there is "beam blow-up").
  • beam profile exceeds the profile for which the implanter's transport optics have been designed, beam loss through vignetting occurs. For example, at 500eV transport energy, many ion implanters currently in use cannot transport enough boron beam current to be commercially efficient in manufacturing; i.e., the wafer throughput is too low because of low implantation dose rate.
  • known ion sources rely on the application of a strong magnetic field in the source region. Since this magnetic field also exists to some extent in the beam extraction region of the implanter, it tends to deflect such a low- energy beam and substantially degrade the emittance properties of the beam, which further can reduce beam transmission through the implanter.
  • the transport energy of the decaborane ion is ten times that of the dose-equivalent boron ion, and the ion current is one-tenth that of the boron current, the space charge forces responsible for beam blowup and the resulting beam loss can potentially be much reduced relative to monatomic boron implantation.
  • decaborane (B 10 H 14 ) must be used to generate the decaborane ion B JO H X + .
  • Decaborane is a solid material which has a significant vapor pressure, on the order of 1 Torr at 20C, melts at 100C, and decomposes at 350C. To be vaporized through preferred sublimination, it must therefore be vaporized below 100C, and it must operate in a production- worthy ion source whose local environment (walls of the ionization chamber and components contained within the chamber) is below 350C to avoid decomposition.
  • the B ⁇ 0 H 14 molecule since the B ⁇ 0 H 14 molecule is so large, it can easily disassociate (fragment) into smaller components, such as elemental boron or diborane (B 2 H 6 ), when subject to charge-exchange interactions within an arc discharge plasma, hence it is recognized that conventionally operated Bernas arc plasma sources can not be employed in commercial production, and that ionization should be obtained primarily by impact of primary electrons. Also, the vaporizers of current ion sources cannot operate reliably at the low temperatures required for decaborane, due to radiative heating from the hot ion source to the vaporizer that causes thermal instability of the molecules.
  • the vaporizer feed lines k, I can easily become clogged with boron deposits from decomposed vapor as the decaborane vapor interacts with their hot surfaces.
  • the present production-worthy implanter ion sources are incompatible with decaborane ion implantation. Prior efforts to provide a specialized decaborane ion source have not met the many requirements of production-worthy usage.
  • Embodiments of the present invention can enhance greatly the capability of new ion implantation systems and can provide a seamless and transparent upgrade to end- users' existing implanters.
  • aspects of the invention are compatible with current ion implantation technology, such that an ion source constructed according to the invention can be retrofitted into the existing fleet of ion implanters currently installed in expensive fabrication plants.
  • Embodiments of the invention are (1) constructed, sized and arranged such that they fit into the existing ion source space of commercial implanters, and 2) employ a novel control system for the ion source which can physically replace the existing ion source controller, without further modification of the implanter controls and qualified production techniques.
  • an ion source capable of providing ions in commercial ion current levels to the ion extraction system of an ion implanter
  • the ion source comprising an ionization chamber defined by walls enclosing an ionization volume, there being an ion extraction aperture in a side wall of the ionization chamber, the aperture having a length and width sized and arranged to enable the ion current to be extracted from the ionization volume by the extraction system.
  • the invention features a broad beam electron gun constructed, sized and arranged with respect to the ionization chamber to direct an aligned beam of primary electrons through the ionization chamber to a beam dump maintained at a substantial positive voltage relative to the emitter voltage of the electron beam gun.
  • the beam dump is thermally isolated from the ionization chamber or separately cooled.
  • the axis of the beam path of the primary electrons extends in a direction generally adjacent to the aperture, the electron beam having a dimension in the direction corresponding to the direction of the width of the extraction aperture that is about the same as or larger than the width of the aperture, a vaporizer arranged to introduce e.g. decaborane vapor to the ionization volume, and a control system enables control of the energy of the primary electrons so that individual vapor molecules can be ionized principally by collisions with primary electrons from the electron gun.
  • the electron gun is mounted on a support that is thermally isolated from the walls of the ionization chamber.
  • an ion source capable of providing ions of decaborane in commercial ion current levels to the ion extraction system of an ion implanter
  • the ion source comprising an ionization chamber defined by walls enclosing an ionization volume, there being an ion extraction aperture in a side wall of the ionization chamber, arranged to enable the ion current to be extracted from the ionization volume by an extraction system, an electron gun mounted on a support that is outside of and thermally isolated from the walls of the ionization chamber, and constructed, sized and arranged with respect to the ionization chamber to direct a broad beam of primary electrons through the ionization chamber to a beam dump maintained at a substantial positive voltage relative to the emitter voltage of the electron beam gun, the beam dump being located outside of, and thermally isolated from, the ionization chamber, the beam path of the primary electrons extending in a direction adjacent to the ion extraction aperture, a passage arranged
  • an ion source capable of providing ions in commercial ion current levels to the ion extraction system of an ion implanter
  • the ion source comprising an ionization chamber defined by walls enclosing an ionization volume, there being an extraction aperture in a side wall of the ionization chamber that is arranged to enable the ion current to be extracted from the ionization volume by the extraction system
  • an electron gun mounted on a support that is outside of and thermally isolated from the walls of the ionization chamber, and constructed, sized and arranged with respect to the ionization chamber to direct a broad beam of primary electrons through the ionization chamber to a beam dump maintained at a substantial positive voltage relative to the emitter voltage of the electron beam gun
  • the electron beam gun comprising a heated electron emitting surface of predetermined size followed by electron optical elements that enlarge the beam in the ionization chamber relative to the size of the emitting surface of the electron gun, the beam path of the primary electrons
  • a vaporizer is incorporated into the ion source assembly in close proximity to the ionization chamber and communicating with it through a high conductance, preferably along a line of sight path, and is constructed to be controllable over part or all of the range of20C to 200C.
  • the beam dump has an electron-receiving surface larger than the cross-section of the electron beam entering the ionization chamber.
  • the electron gun produces a generally collimated beam, in many instances, preferably the electron gun being generally collimated while transiting the ionization chamber.
  • the beam dump is mounted on a dynamically cooled support, preferably a water- cooled support.
  • the electron gun is mounted on a dynamically cooled support, preferably, a water- cooled support.
  • the electron gun cathode is disposed in a position remote from the ionization chamber.
  • the volume occupied by the electron gun cathode is evacuated by a dedicated vacuum pump.
  • the ion source electron gun includes a cathode and variable electron optics that shape the flow of electrons into a beam of selected parameters, including a general dispersion of the electrons, and a profile matched to the extraction aperture, preferably in many cases the electrons being in a collimated beam within the ionization chamber.
  • the electron gun comprises a high transmission electron extraction stage capable of extracting at least the majority of electrons from an emitter of the gun, the extraction stage followed by a collimator and further electron optic elements, in preferred embodiments the further electron optics comprising an electron zoom lens or electron optics constructed to have the capability to vary the energy and at least one magnification parameter of the electron beam, preferably both linear and angular magnification of the beam and in preferred embodiments the electron optics comprising a five or more element zoom lens.
  • the ion source is constructed, sized and arranged to be retrofit into a pre-existing ion implanter, into the general space occupied by the original ion source for which the implanter was designed.
  • the ion source is constructed and arranged to cause the electron beam to have a profile matched to the opening of the ion extraction aperture, preferably the cross-section being generally rectangular.
  • the electron beam gun of the ion source is an elongated electron gun, in certain embodiments the length of the gun being longer than the length of the ionization path length in the ionization chamber, preferably, e.g. for retrofit installations, the principal direction of the elongated electron gun being arranged generally parallel to the direction in which the ion beam is extracted from the ionization chamber, and an electron mirror is arranged to divert the electron beam to a transverse direction to pass through the ionization volume.
  • the cathode of the elongated electron beam gun is a uniform emitting surface sized smaller than the maximum cross-section of the electron beam passing through the ionization chamber
  • the electron optics include optics arranged to expand the electron beam before it enters the ionization chamber.
  • some of the optics precede the mirror or are downstream of the mirror, and the optics are constructed to vary angular as well as linear magnification.
  • these optics comprise a zoom control to enable variation of the electron energy of the beam.
  • the control system includes a circuit for measuring the current and the intensity of the beam dump.
  • the ion source electron beam gun is constructed to operate with a voltage drop relative to the walls of the ionization chamber between about 20 and 300 or 500 electron volts; preferably, to ionize decaborane, the voltage drop being between 20 and 150 electron volts, higher voltages being useful for providing double charges on selected implant species or for providing ionizing conditions for other feed materials.
  • control system For use with a previously existing ion implanter designed for use with a Bernas arc discharge source having a directly or indirectly heated cathode, the control system includes an operator control screen corresponding to the screen used for the Bernas source, and a translator effectively translates arc current control signals to control signals for the electron gun.
  • the ionization chamber is in thermal continuity with the vaporizer, or with a temperature control device.
  • the vaporizer for decaborane includes a temperature control system, and the ionization chamber is in thermal continuity with the vaporizer, preferably the ionization chamber is defined within a conductive block defining a heat sink that is in thermal continuity with the vaporizer, preferably, the conductive block being in thermal continuity with the vaporizer via one or more conductive gaskets, including a gasket at which the vaporizer may be separated from the remainder of the assembly.
  • the ionization chamber is defined by a removable block disposed in heat transfer relationship to a temperature controlled mounting block, preferably the removable block comprised of graphite, silicon carbide or aluminum.
  • the ion source includes a mounting flange for joining the ion source to the housing of an ion implanter, the ionization chamber being located on the inside of the mounting flange and the vaporizer being removably mounted to the exterior of the mounting flange via at least one isolation valve which is separable from the mounting flange with the vaporizer, enabling the vaporizer charge volume to be isolated by the valve in closed position during handling, preferably there being two isolation valves in series, one unified with and transportable with a removed vaporizer unit, and one constructed to remain with and isolate the remainder of the ion source from the atmosphere.
  • two such vaporizers are provided, enabling one to be absent, while being charged or serviced, while the other operates, or enabling two different materials to be vaporized without maintenance of the ion source, or enabling additional quantities of the same materials to be present to enable a protracted implant run.
  • Opposite walls of the ionization chamber corresponding respectively to the electron beam gun and the beam dump have ports through which electrons pass enroute from the electron beam gun to the beam dump, the spaces in the vicinity of the ports being surrounded by housing and communicating with a vacuum system.
  • the ion source includes a gas inlet via into which compounds such as arsine, phosphene, germane and silane gas can be introduced to the ionization chamber for ionization.
  • the extraction aperture of the ionization chamber for e.g. high current machines, is about 50 mm or more in length and at least about 3.5 mm in width, and the transverse cross sectional area of the electron beam is at least about 30 square mm, preferably, e.g. for decaborane in high current machines, the cross-sectional area of the beam being at least about 60 square mm.
  • the extraction aperture is at least 15 mm in length and at least about 1.5 mm in width, and the transverse cross sectional area of the electron beam is at least about 15 square millimeters.
  • the extraction aperture can be sized 20mm long by 2mm wide, in which case the cross-sectional area of the electron beam can be reduced to a minimum of about 20 square mm.
  • An ion implantation system comprising an ion implanter designed for a first ion source occupying a general design volume, and a second ion source of any of the novel types described above is operativeiy installed in that volume, preferably the electron gun being of elongated form, having its principal direction arranged parallel to the direction the ion beam is extracted from the ionization chamber, and an electron mirror is arranged to divert the electron beam to a transverse direction to pass through the ionization volume.
  • the cathode is sized smaller than the maximum cross-section of the electron beam passing through the ionization chamber
  • the electron optics include optics arranged to expand the electron beam before it enters the ionization chamber, preferably these optics being associated with a zoom control to enable controlled variation of the electron energy.
  • the invention also features methods of employing apparatus having the various features described to ionize decaborane, the mentioned hydrides and other temperature- sensitive materials including indium-, antimony-, and dimer-containing compounds.
  • the methods include using the various methods of control that are described in the preceding description and in the following text.
  • the invention includes the methods described of generating the electron beam, accelerating and collimating the beam, controlling its transverse profile and its energy, and causing it to transit the ionization chamber to create the desired ions while keeping the ionization chamber cool. It also includes the methods of vaporizing the solid materials and cooling the ionization chamber with the vaporizer heat control system as well as controlling the vapor production of the vaporizer by pressure control or by a dual temperature and pressure control that is for instance capable of adjusting for the decreasing volume of the feed material as operation proceeds.
  • Particular aspects of the invention feature methods of providing ions during ion implantation comprising introducing material comprising a gas or heated vapor to a chamber enclosing an ionization volume, the chamber having an extraction aperture, and passing through the ionization volume adjacent the aperture a broad beam of electrons.
  • the broad beam is aligned with a beam dump that is thermally isolated from the chamber, the energy of the electrons being selected to ionize the material.
  • the energy and magnification of the electron beam are controlled with electron zoom optics to ionize the material.
  • the beam is formed and the energy of the electrons is controlled by successively accelerating and decelerating the electrons.
  • the broad electron beam is emitted from a heated emitter surface that is remote from and thermally isolated from the ionization chamber; electrons from an emitter surface are accelerated, collimated and passed through beam-expanding optics before passing through the ionization chamber, and, for vaporizing decaborane, the method includes introducing the decaborane vapors to the ionization chamber, and controlling the broad electron beam parameters to ionize the decaborane and produce a decaborane cu ⁇ ent, or the method includes introducing to the ionization chamber a hydride of a desired species, and ionizing the hydride, in prefe ⁇ ed embodiments the hydride being arsine or phosphene or germane or silane or diborane.
  • an indium-containing compound is employed including introducing the indium compound vapors to the ionization chamber, and controlling the broad electron beam parameters to ionize the indium compound and produce an indium ion cu ⁇ ent, preferably the compound being trimethyl indium.
  • a compound containing antimony is employed including introducing the antimony compound vapors to the ionization chamber, and controlling the broad electron beam parameters to ionize the antimony compound and produce an antimony ion cu ⁇ ent, preferably the compound being antimony oxide (Sb 2 O 5 ).
  • Sb 2 O 5 antimony oxide
  • Other dimer-containing compounds described below are also useful, both for producing dimer ions and monomer ions.
  • a beam dump is employed to receive the electron beam after it transits the ionization volume, including maintaining the beam dump thermally isolated from the chamber and at a voltage potential at least as high as that of the chamber.
  • a magnetic field is applied to constrain the electron beam, e.g. to counteract space-charge effects.
  • the process described is converted to a reflex ionization mode by changing the potential of the beam dump to a substantially lower potential than the walls of the ionization chamber to act as an electron-repelling anticathode, in certain cases the method including applying a magnetic field parallel to the electron beam, or continuing to cool the walls of the ionization chamber while operating in reflex mode.
  • the invention also features the methods of retrofitting the new ion source into the existing fleet of ion implanters, and of controlling the ion source by means of the operator interface of the arc plasma ion source that it replaces.
  • the invention features methods of conducting entire ion implantation processes using the equipment and controls described to form semiconductor devices, in particular shallow source/drains and extensions, and doping of the silicon gates in CMOS fabrication.
  • the invention features methods and apparatus for dual mode operation, both a broad E-Beam mode with the beam aligned with a beam dump at positive potential and a reflex mode, in which the dump is converted to a repeller (anticathode) with optional use of a confining magnetic field, advantageously both conducted with cooled walls to ionize materials such as hydrides that disassociate with elevated temperatures.
  • the invention features applying a magnetic field to constrain the electron beam.
  • an ion source having a member whose surface is exposed to contact of a dopant feed material, including gases, vapors or ions thereof, the relationship of the contact being such that condensation or molecular dissociation will occur if the temperature of the surface of the member is not within a desired operational range, the member being disposed in conductive heat transfer relationship with a second member, the temperature of which is actively controlled.
  • the temperature of the second member can be determined by water-cooling the member with de-ionized water of a given temperature.
  • the second member can be associated with a thermoelectric cooling unit associated with a control system that can activate the unit to maintain the temperature of the surface within said operational range.
  • a heater element is included which is a ⁇ anged to cooperate with the cooling unit to maintain the second member at a temperature.
  • the cooling unit has a surface which forms a thermally conductive interface with an opposed surface of the member.
  • a conductive gas fills gaps at an interface in the conductive path under conditions in which the gas molecules act to transfer heat across the interface by conduction, preferably the conductive gas being fed into channels formed in at least one of the surfaces across which the thermal heat conduction is to occur.
  • the invention also features a control system for the vaporizer which includes an ionization gauge sensitive to a pressure related to a pressure within the ionization chamber.
  • Another aspect of the invention is an ion source which includes an accel-decel electron gun a ⁇ anged to project a beam of electrons through an ionization chamber to ionize gas or vapors in a region adjacent an extraction aperture.
  • a magnetic coil is disposed outside of the ionization chamber, the electron gun is mounted concentrically with the coil, such that the emission axis of the electron gun is aligned to emit electrons into the ionization chamber and the coil, when energized, provides a magnetic field which limits space charge expansion of the electron beam as it transits the ionization chamber.
  • the volume occupied by the electron gun cathode is evacuated by a dedicated vacuum pump.
  • a beam dump at a positive voltage is aligned to receive electrons of the beam that transit the ionization chamber.
  • This accel-decel electron gun is disposed outside of an ionization chamber, the electron gun mounted such that the emission axis of the electron gun is aligned to emit electrons into the ionization chamber.
  • the accel-decel gun has an electron zoom lens.
  • the accel-decel gun is comprised of a high-transmission extraction stage followed by a focusing lens having at least two elements followed by a relatively short, strongly-focusing lens which acts to decelerate the electron beam entering the ionization chamber, preferably the short lens being a multi-aperture lens comprising a series of at least two conducting plates each having an aperture, the voltage on the plates being of respectively decreasing values to decelerate the electrons.
  • the beam deceleration stage of the electron gun focuses the beam in the ionization chamber at a point near mid-length of an elongated aperture, past which the electron beam passes.
  • An embodiment of an ion source incorporating various aspects of the invention is composed oft) a vaporizer, ii) a vaporizer valve, iii) a gas feed, tv) an ionization chamber, v) an electron gun, vi) a cooled mounting frame, and vii) an ion exit aperture. Included are means for introducing gaseous feed material into the ionization chamber, means for vaporizing solid feed materials and introducing their vapors into the ionization chamber, means for ionizing the introduced gaseous feed materials within the ionization chamber, and means for extracting the ions thus produced from an ion exit aperture adjacent to the ionization region.
  • vaporizer means for accelerating and focusing the exiting ions.
  • gas feed means for accelerating and focusing the exiting ions.
  • ionization chamber means for accelerating and focusing the exiting ions.
  • electron gun means for accelerating and focusing the exiting ions.
  • ion exit aperture means for accelerating and focusing the exiting ions.
  • the vaporizer, vaporizer valve, gas feed, ionization chamber, electron gun, cooled mounting frame, and ion exit aperture are all integrated into a single assembly in prefe ⁇ ed embodiments of the novel ion source. I will describe each of these features.
  • the vaporizer is suitable for vaporizing solid materials, such as decaborane (B 10 H 14 ) and TMI (trimethyl indium), which have relatively high vapor pressures at room temperature, and thus vaporize at temperatures below lOOC.
  • solid materials such as decaborane (B 10 H 14 ) and TMI (trimethyl indium)
  • TMI trimethyl indium
  • the temperature range between room temperature and 100C is easily accommodated by embodiments in which the vaporizer is directly associated with a water heat transfer medium, while other prefe ⁇ ed a ⁇ angements accommodate novel material which produce significant vapor pressures in the range up to 200C.
  • solid decaborane has a vapor pressure of about 1 Ton at 20C.
  • the vaporizer of an embodiment of the invention is a machined aluminum block in which resides a sealed crucible containing the solid material to be vaporized, entirely su ⁇ ounded by a closed-circuit water bath, which is itself enclosed by the aluminum block. The bath is held at a well-defined temperature by a closed-loop temperature control system linked to the vaporizer.
  • the closed-loop temperature control system incorporates a PID (Proportional Integral Differential) controller.
  • the PID controller accepts a user-programmable temperature setpoint, and activates a resistive heater (which is mounted to a heater plate in contact with the water bath) to reach and maintain it's setpoint temperature through a thermocouple readback circuit which compares the setpoint and readback values to determine the proper value of cu ⁇ ent to pass through the resistive heater.
  • a water-cooled heat exchanger coil is immersed in the water bath to continually remove heat from the bath, which reduces the settling time of the temperature control system. The temperature difference between the physically separate heater plate and heat exchanger coil provides flow mixing of the water within the bath through the generation of convective cu ⁇ ents.
  • a rotating magnetic mixer paddle can be incorporated into the water bath.
  • a temperature control system is stable from 20C to lOOC.
  • the flow of gas from the vaporizer to the ionization chamber is determined by the vaporizer temperature, such that at higher temperatures, higher flow rates are achieved.
  • the flow of gas from a vaporizer to the ionization chamber is determined by the vaporizer temperature, such that at higher temperatures, higher flow rates are achieved.
  • the vaporizer communicates with the ionization chamber via a relatively high-conductance path between the crucible and the ionization chamber.
  • High-conductance gate valves (large diameter gates with a thin dimensioned housing) are used in the flow path between the vaporizer and source body, so as not to limit this conductance.
  • a relatively low conductance supply path is achieved employing a 5mm diameter, 20cm long conduit, providing a conductance of about 7 x 10 "2 L/s between crucible and ionization chamber. This would require a pressure within the vaporizer of about 2 Ton to establish an ionization chamber pressure of about 4.5 mTo ⁇ .
  • Another embodiment employs an 8mm diameter conduit of the same length, providing a conductance of about 3 x 10 "1 L/s, allowing a pressure within the vaporizer of 0.5 Ton to achieve the same flow rate of material, and hence the same pressure of 4.5 mTo ⁇ within the ionization chamber.
  • the static vapor pressure of a material at a given temperature and the dynamic pressure in the vaporizer crucible during the evolution and transport of vapor out of the crucible during operation are not the same.
  • the steady-state dynamic pressure is lower than the static vapor pressure, the extent depending on the distribution of source material within the vaporizer crucible, in addition to other details of construction.
  • the conductances are made large to accommodate this effect.
  • the added openness of the ionization chamber to the vacuum environment of the source housing due to electron entrance and exit ports into the ionization chamber requires about twice the flow of gaseous material as a conventional Bernas-style source.
  • the conductance be in the range of about 3 x 10 "2 to 3 x 10 "1 L/s, preferably the length of the conduit being no less than 30 cm while its diameter is no less than about 5 mm, the prefe ⁇ ed diameter range being between 5 and 10 mm.
  • the temperature-sensitive materials are protected and a broad range of materials are enabled to be vaporized within a relatively small temperature range.
  • the construction of the vaporizer allows operation at temperatures between 20C and 100C or 200C. Given the high conductance of the vaporizer, and such temperature ranges, I have realized that the wide range of solid source materials that can be accommodated include some materials which have not previously been used in ion implantation due to their relatively low melting point. (It generally being prefe ⁇ ed to produce vapors from material in solid form).
  • An additional advantage of enabling use of only a relatively low pressure of vaporized gas within the crucible is that less material can be required to establish the desired mass flow of gas than in prior designs.
  • a different vaporizer PID temperature controller is employed.
  • the vaporizer PID temperature controller receives the output of an ionization-type pressure gauge which is typically located in the source housing of commercial ion implanters to monitor the sub- atmospheric pressure in the source housing. Since the pressure gauge output is proportional to the gas flow into the ion source, its output can be employed as the controlling input to the PID temperature controller.
  • the PID temperature controller can subsequently raise or diminish the vaporizer temperature, to increase or decrease gas flow into the source, until the desired gauge pressure is attained.
  • these two approaches are combined such that short-term stability of the flow rate is accomplished by temperature programming alone, while long- term stability of the flow rate is accomplished by adjusting the vaporizer temperature to meet a pressure setpoint.
  • the advantage of such a combined approach is that, as the solid material in the vaporizer crucible is consumed, the vaporizer temperature can be increased to compensate for the smaller flow rates realized by the reduced surface area of the material presented to the vaporizer.
  • a fluid heat transfer medium is not used.
  • the crucible is integral with the machined body of the vaporizer, and heating and cooling elements are embedded into the aluminum wall of the vaporizer.
  • the heating element is a resistive or ohmic heater
  • the cooling element is a thermoelectric (TE) cooler.
  • the vaporizer is also encased in thermal insulation to prevent heat loss to the ambient, since the desired vaporizer temperature is typically above room temperature.
  • the heating/cooling elements directly determine the temperature of the walls of the vaporizer, and hence the temperature of the material within the crucible, since the material is in direct contact with the walls of the vaporizer which is e.g. machined of a single piece of aluminum.
  • the same PID temperature controller techniques can be used as in the previously described embodiment, enabling the vaporizer to reach a temperature in excess of 100C, preferably up to about 200C.
  • the vaporizer consists of two mating, but separate components: a vaporizer housing and a crucible.
  • the crucible is inserted into the housing with a close mechanical fit.
  • the surface of the vaporizer housing which makes contact with the crucible contains a pattern of rectangular grooves, into which subatmospheric pressurized conductive gas is introduced.
  • the pressurized gas provides sufficient thermal conductivity between the crucible and the temperature-controlled housing to control the temperature of the crucible surface in contact with decaborane or other solid feed material to be vaporized.
  • This embodiment allows the crucible to be easily replaced during service of the vaporizer.
  • the same PID temperature controller techniques can be used as in the previously described embodiment.
  • the vaporizer while still close to the ionization chamber, communicating with it through a high conductance path, is physically located outside of, and removably mounted to, the main mounting flange of the ion source and the vaporizer communicates through the main mounting flange to the ionization chamber located within the vacuum system.
  • two vaporizers independently detachable from the remainder of the ion source, are provided, enabling one vaporizer to be in use while the other, detached, is being recharged or serviced.
  • Vaporizer valve In the above described vaporizer embodiments, the vapors leave the vaporizer and enter the adjacent ionization chamber of the ion source through an aperture, which is preferably coupled to a thin, high conductance gate valve with a metal seal or other thermally conductive seal placed between the vaporizer and ionization chamber.
  • the gate valve serves to separate the vaporizer from the ionization chamber, so that no vapor escapes from the vaporizer when the valve is shut, but a short, high- conductance line-of-sight path is established between the ionization chamber and vaporizer when the valve is open, thus allowing the vapors to freely enter the ionization chamber.
  • the vaporizer with the valve attached may be removed from the ion source without releasing the toxic vaporizer material contained in the crucible.
  • the ion source may then be sealed by installing a blank flange in the position previously occupied by the vaporizer valve.
  • two isolation valves are provided in series, one associated with the removable vaporizer and one associated with all of the other components of the ion source, with the disconnect interface being located between the two valves.
  • One of the mating valves (preferably, the valve isolating the ion source body) has a small, valved roughing port integrated internal to the valve body, which enables the air trapped in the dead volume between them to be evacuated by a roughing pump after the two valves are mated in a closed position.
  • the vaporizer can be installed with its valve in a closed state after being refilled. It is mated to the closed valve mounted to the ion source in the implanter. The vaporizer valve can then be opened and the vaporizer volume pumped out through the roughing port (along with the gas trapped in the dead volume between the valves). Then the ion source valve can be opened, without requiring venting of the source housing.
  • Gas feed In order to operate with gaseous feed materials, ion implanters typically use gas bottles which are coupled to a gas distribution system. The gases are fed to the ion source via metal gas feed lines which directly couple to the ion source through a sealed VCR or VCO fitting. In order to utilize these gases, embodiments of the ion source of the present invention likewise have a gas fitting which couples to the interior of the ionization chamber and connects to a gas distribution system.
  • the ionization chamber defines the region to which the neutral gas or vapor fed to the source is ionized by electron impact.
  • the ionization chamber is in intimate thermal and mechanical contact with the high conductance vaporizer valve or valves through thermally conductive gaskets, which are likewise in intimate thermal contact with the vaporizer through thermally conductive gaskets. This provides temperature control of the ionization chamber through thermal contact with the vaporizer, to avoid heat generated in the ionization chamber from elevating the temperature of the walls of the chamber to temperatures which can cause decaborane or other low-temperature vaporized materials or gases to break down and dissociate.
  • the ionization chamber as a removable component, (advantageously, in certain instances, a regularly replaced consumable component) is maintained in good heat transfer relationship with a temperature-controlled body, such as a temperature controlled solid metal heat sink having a conventional water cooling medium or being cooled by one or more thermoelectric coolers.
  • a temperature-controlled body such as a temperature controlled solid metal heat sink having a conventional water cooling medium or being cooled by one or more thermoelectric coolers.
  • the iomzation chamber in prefe ⁇ ed embodiments suitable for retrofit installation is sized and constructed to provide an ionization volume, extraction features, and ion optical properties compatible with the properties for which the target implanter to be retrofitted was designed.
  • the ionization chamber is rectangular, made of a single piece of machined aluminum, molybdenum, graphite, silicon carbide or other suitable thermally conductive material. Because contact of the ionization chamber with a fluid transfer medium is avoided in designs presented here, in certain instances the ionization chamber and extraction aperture are uniquely formed of low cost graphite, which is easily machined, or of silicon carbide, neither of which creates risk of transition metals contamination of the implant. Likewise for the low temperature operations (below its melting point) an aluminum construction may advantageously be employed. A disposable and replaceable ionization chamber of machined graphite or of silicon carbide is a particular feature of the invention.
  • the ionization chamber in certain prefe ⁇ ed embodiments is approximately 7.5 cm tall by 5 cm wide by 5 cm deep, approximating the size and shape of commercially accepted Bernas arc discharge ionization chambers.
  • the chamber wall thickness is approximately 1 cm.
  • the ionization chamber has the appearance of a hollow, rectangular five-sided box.
  • the sixth side is occupied by the exit aperture.
  • the aperture can be elongated as are the extraction apertures of Bernas arc discharge ion sources, and located in appropriate position in relation to the ion extraction optics.
  • the flow rate of the gas fed into the ionization chamber is controlled to be sufficient to maintain proper feed gas pressure within the ionization chamber.
  • a pressure between 0.5 mTo ⁇ and 5 mTo ⁇ in the ionization chamber will yield good ionization efficiency for the system being described.
  • the pressure in the source housing is dependent upon the pressure in the ionization chamber.
  • the ion gauge mounted in the source housing typically used in commercial ion implanters to monitor source pressure, will read about lxlO "5 Ton and lxlO "4 Ton, respectively.
  • the flow rate from the vaporizer or gas feed into the ionization chamber required to sustain this pressure is between about 1 seem and 10 seem (standard cubic centimeters per minute).
  • Electron gun For ionizing the gases within the ionization chamber, electrons of controlled energy and generally uniform distribution are introduced into the ionization chamber by a broad, generally collimated beam electron gun as shown in the illustrative figures described below.
  • a high-cunent electron gun is mounted adjacent one end of the ionization chamber, external to that chamber, such that a directed stream of primary energetic electrons is injected through an open port into the ionization chamber along the long axis of the rectangular chamber, in a direction parallel to and adjacent the elongated ion extraction aperture.
  • the cathode of the electron gun is held at an electric potential below the potential of the ionization chamber by a voltage equal to the desired electron energy for ionization of the molecules by the primary electrons.
  • Two ports, respectively in opposite walls of the ionization chamber are provided to pass the electron beam, one port for entrance of the beam as mentioned above, and the second port for exit of the beam from the ionization chamber.
  • the electron beam exits the ionization chamber it is intercepted by a beam dump located just outside of the ionization chamber the beam dump being aligned with the electron entry point, and preferably maintained at a potential somewhat more positive than that of the ionization chamber.
  • the electron beam is of an energy and cu ⁇ ent that can be controUably varied over respective ranges to accommodate the specific ionization needs of the various feed materials introduced into the ionization chamber, and the specific ion cu ⁇ ents required by the ion implant processes of the end- user.
  • the electron gun is constructed to be capable of providing an electron beam energy programmable between 20eV and 500eV.
  • the lowest beam energies in this energy range accommodate selective ionization of a gas or vapor below certain ionization threshold energies, to limit the kinds of end- product ions produced from the neutral gas species.
  • An example is the production of B ⁇ oH x + ions without significant production of B 9 H X + , B 8 H X + , or other lower-order boranes frequently contained in the decaborane cracking pattern when higher electron impact energies are used.
  • the higher beam energies in the energy range of the electron gun are provided to accommodate the formation of multiply-charged ions, for example, As “ “ “ “ from AsH 3 feed gas.
  • multiply-charged ions for example, As “ " "” from AsH 3 feed gas.
  • an electron beam energy between 50eV and 150eV can yield good results.
  • the electron gun is so constructed that the electron beam cunent can be selected over a range of injected electron beam cu ⁇ ents between 0.1 mA and 500 mA, in order to determine the ion cu ⁇ ent extracted from the ion source in accordance with the implant demand.
  • Control of electron cu ⁇ ent is accomplished by a closed-loop electron gun controller which adjusts the electron emitter temperature and the electron gun extraction potential to maintain the desired electron cu ⁇ ent setpoint.
  • the electron emitter, or cathode emits electrons by thermionic emission, and so operates at elevated temperatures.
  • the cathode may be directly heated (by passing an electric cu ⁇ ent through the cathode material), or indirectly heated.
  • Cathode heating by electron bombardment from a hot filament held behind the cathode is an indirect heating technique well-practiced in the art.
  • the cathode may be made of tungsten, tantalum, lanthanum hexaboride (LaB 6 ), or other refractory conductive material. It is realized that LaB 6 offers a particular advantage, in that it emits copious cu ⁇ ents of electrons at lower temperatures than tungsten or tantalum. As discussed further below, the prefe ⁇ ed separate mounting of the electron beam gun, thermally isolated from the ionization chamber, is an advantageous factor in keeping the ionization chamber cool.
  • Electron beam guns having cathodes mounted close to the ionization chamber on a cooled support, which discharge directly into the chamber, are shown in the first two embodiments described below.
  • an elongated electron gun design i.e. typically longer that the length of the ionization chamber transitted by the beam.
  • This enables the heated cathode of the gun to be located quite far from the ionization chamber, completely thermally isolated from it, and enables use of a small highly efficient cathode by combination with telescopic electron optics to achieve the desired broad electron beam and desired electron density across the beam cross section (profile).
  • a zoom lens can advantageously enable variation of the cross-section of the electron beam that transits the ionization chamber to match the size of the selected aperture and beam cu ⁇ ent.
  • the elongated electron gun is mounted parallel to the direction of extraction of the ion beam, with the cathode located near or even outside, beyond the mounting flange of the ion source, and associated at its other end with an electron beam minor that deflects the beam to transit the ionization chamber.
  • the described elongated electron beam gun, with relatively small emitter surface, and associated zoom lens can be a ⁇ anged in line with the direction of transit of the electron through the ionization chamber, no diverting minor being employed.
  • an acceleration-deceleration system aligned with the direction of transit through the iomzation chamber is advantageous in a number of respects, especially when employing an accel-decel system for maximizing the electron flow through the ionization chamber.
  • the electron beam has a significant cross-sectional area, i.e. it is a broad generally collimated beam as it transits the ionization chamber, to the beam dump with which it is aligned.
  • the electron beam within the ionization chamber has a generally rectangular cross section, e.g. in one embodiment approximately 13 mm 6 mm as injected into the ionization chamber, to match with a relatively wide extraction aperture of a high cu ⁇ ent machine, or the rectangular cross section is e.g. of a square cross-section profile for use with a nanower ion extraction aperture.
  • the shape of the injected electron beam can be determined by the shape of the electron optics, e.g.
  • the grid and anode apertures of an electron gun which, for example, may both be approximately 13 mm 6 mm, and also by the shape of the cathode or electron emitter, which, for the first example given, is somewhat larger than the grid and anode apertures, approximately 15 mm x 9 mm.
  • the advantage of generating a generally rectangular electron beam profile is to match the conventionally desired ion beam profile as extracted from the ion source, which is also rectangular.
  • the rectangular exit aperture from which the ion beam is extracted is approximately 50 mm tall by 3.5 mm wide in many high-cu ⁇ ent implanters; in such cases the electron beam (and thus the ions produced by electron impact) can present a profile to the exit aperture within the ionization chamber of approximately 64 mm 13 mm. If the end-user wishes, an enlarged exit aperture may be employed to obtain higher extracted cu ⁇ ents.
  • Bernas ion sources energetic electrons produced by an emitter, located typically internal to the ionization chamber, strike the walls of the chamber to form the basis of an "arc discharge". This provides a substantial heat load which elevates the temperature of the ionization chamber walls.
  • the ionizing electrons i.e the energetic or "primary” electrons
  • the ionization chamber to be conductively cooled, e.g. by the vaporizer, or by a cooled block against which the ionization chamber is mounted in substantial thermal contact, without providing a large heat load on the temperature controller of the vaporizer or block.
  • the electron gun and the electron beam dump are mounted in thermally isolated fashion, preferably either or both being mounted on respective water- cooled parts of a cooled mounting frame. This frame is dynamically cooled, e.g. by high- resistivity, de-ionized water commonly available in commercial ion implanters.
  • the cooled mounting frame is e.g. a water-cooled sheet metal assembly on which the electron gun and the electron beam dump may be mounted.
  • the frame consists of two separate mechanical parts which allow the electron gun and the beam dump to be independently biased. By mounting these two components to this frame, a heat load to the ionization chamber can be substantially avoided.
  • the frame provides a mechanical framework for the thus-mounted components, and in addition the frame and the mounted components can be held at an electric potential different from the potential of the ionization chamber and vaporizer by mounting to the ion source assembly on electrically insulating standoffs.
  • the beam dump is discretely defined and isolated, preferably being removed from direct contact with the ionization chamber, with the electron beam passing through an exit port in the ionization chamber prior to being intercepted by the beam dump.
  • the beam dump can readily be maintained at a potential more positive than the walls of the chamber to retain any secondary electrons released upon impact of primary electrons up on the beam dump.
  • the beam dump cu ⁇ ent can be detected for use in the control system as well as for diagnostics.
  • the voltage on the dump structure can be selectively changed to negative to serve an electron-repeller (anticathode) function, as described below.
  • the distinctly defined beam dump though can be in physical contact with the exit port in such a way that thermal conduction between the cooled beam dump and the exit port is poor e.g., by point contact of discrete elements.
  • Electrical insulation which has thermal insulation properties as well, can be provided to enable a voltage differential to be maintained while preventing heating of the general walls of the ionization chamber.
  • One advantage of this embodiment is a reduced conduction of the source gas out of the ionization chamber, reducing gas usage.
  • the extraction of ions from the ionization chamber is facilitated by an asymmetric relationship of the electron beam axis relative to the central chamber axis, locating the site of ionization closer to the extraction aperture.
  • the electron beam dump may be biased to a negative potential relative to the ionization chamber, e.g. to a voltage approximating that of the cathode potential, in a "reflex geometry" whereby the primary electrons emitted by the electron gun are reflected back into the ionization chamber and to the cathode, and back again repeatedly, i.e. instead of serving as a beam dump, in this mode the dump structure serves as a "repeller", or anticathode.
  • An axial magnetic field may also be established along the direction of the electron beam by a pair of magnet coils external to the ion source, to provide confinement of the primary electron beam as it is reflected back and forth between the cathode and beam dump.
  • This feature also provides some confinement for the ions, which may increase the efficiency of creating certain desired ion products, for example B + from BF 3 feed gas.
  • Such a reflex mode of operation is known per se by those practiced in the art, but is achieved here in a unique multi-mode ion source design capable of efficiently producing e.g. decaborane ions.
  • a novel multimode ion source includes an electron gun for the purposes as described, disposed coaxially within a magnet coil that is associated with the source housing and ionization chamber contained within.
  • Fig. 1 is a diagrammatic view of a prior art ion implanter
  • Fig. 2 is a diagrammatic cross-sectional view of a Bernas arc discharge ion source, illustrative of the ion source for which the implanter of Fig. 1 was designed;
  • Fig. 3 is a longitudinal cross-sectional view of an embodiment of the ion source of the present invention with associated vaporizer;
  • Fig. 3 A is a cross-sectional view, similar to a part of Fig. 3, showing another embodiment of a vaporizer;
  • Fig. 3B illustrates the removable feature of the vaporizer of Fig. 3 A, using a conventional mounting flange while
  • Fig. 3C illustrates detaching the vaporizer and valve from the ion source;
  • Fig. 3D illustrates a two-valve embodiment in which separation of the vaporizer from the ion source can occur between the two valves
  • Fig. 3E illustrates a dual vaporizer embodiment
  • Fig. 3F shows another embodiment of a vaporizer similar to Fig. 3 A, but with a separate crucible and with gas-mediated conduction between vaporizer housing and crucible, and between a heat exchanger and the housing.
  • Fig. 4 is a side cross-sectional view taken on line 4-4 of Fig. 3 while Fig. 4A is a top view taken on line 4A-4A of Fig. 4;
  • Figs. 4B and 4C are views similar to that of Fig. 4, of other a ⁇ angements of the discretely defined electric beam dump;
  • Figs. 4D and 4E side and top views similar respectively to Figs. 4 and 4A, show a conductively cooled ionization chamber assembly having a disposable inner ionization chamber.
  • Fig. 4F is a three dimensional representation of a broad, collimated electron beam and its relation to the ion extraction aperture of the embodiment of Figs. 3 and 4;
  • Fig. 5 is a view similar to Fig. 4F of the relationship of a broad electron beam and ion extraction aperture of nanower dimension;
  • Fig. 6 is a front view of the aperture plate of the ion source of Fig. 3;
  • Fig. 7 is an illustration of an indirectly heated cathode a ⁇ angement
  • Fig. 8 illustrates the ion source of Figs. 3-6 installed in a retrofit volume of a preexisting ion implanter while Fig. 8A illustrates, on a smaller scale, the entire implanter of Fig. 8;
  • Fig. 9 similar to Fig. 8, shows an ion source employing an elongated right angle electron gun and an angled minor while Fig. 9A illustrates the entire implanter into which the embodiment of Fig. 9 is retrofit;
  • Fig. 9B is a view similar to a portion of Fig. 9 on an enlarged scale, illustrating a demountable ionizing chamber directly mounted upon a water-cooled block;
  • Fig. 10 is a side view on an enlarged scale of a prefened embodiment of the elongated electron gun of Fig. 9;
  • Fig. 11 is an enlarged diagram of the extraction stage of the gun of Fig. 10;
  • Fig. 12 illustrates the trajectories of electrons through the extraction stage of Fig. i i;
  • Fig. 13 is a diagrammatic view of a 5-element zoom lens
  • Figs. 13A through 13D illustrate various operating modes of the lens system of Fig. 13;
  • Fig. 14 is a plot of the zoom voltage line
  • Fig. 15 is a diagram of the operator interface of a conventional Bernas arc discharge ion source while Fig. 15A is a similar view of a Bernas source with indirectly heated cathode;
  • Fig. 16 is a view of a Bemas operator interface combined with a novel configurable universal controller that controls a broad E-Beam ion source according to the invention
  • Fig. 16A is a view similar to Fig. 16 of the control system for an elongated E- Beam embodiment of the invention
  • Fig. 16B is a diagram of a prefened embodiment of a temperature control system for the vaporizer of Fig 3 and 3 A;
  • Fig. 17 is a diagrammatic illustration of a semiconductor device, illustrating standard CMOS ion implantation applications.
  • Fig. 18 is a diagram of a high-cunent electron gun incorporated into a prefened embodiment of the ion source, where the optical axis of the electron gun is parallel to the long axis of the ionization chamber, showing the approximate scale and operating voltages of the different elements;
  • Fig. 18A shows the electron optics of the ion source of Fig. 18, where the focusing properties of a double-aperture lens are illustrated by object and image points, and also the detailed mechanical strucmre of the ionization chamber and beam dump are illustrated;
  • Fig. 18B illustrates mounting the ion source of Figs. 18 and 18A into an existing ion implanter, and a special a ⁇ angement of the electron gun and magnet coils.
  • Fig. 19 is a top view of an aperture plate that has provisions for receiving a bias voltage relative to the voltage of the remaining walls of an ionization chamber, while Figs. 19A and 19B, taken on respective lines in Fig. 19, are side views respectively of the inside face of the aperture plate, facing the interior of the ionization chamber and the outside face, directed toward the extraction optics.
  • Fig. 19C is an edge view of an aperture plate illustrating it's mounting to the main body of the ionization chamber by insulating stand offs.
  • Figs. 20A and 20B an side views of the inside face and outside face of an aperture insert plate of another embodiment while Fig. 20C is a side view of an insulator frame into which the insert plate of Figs. 20A and 20B may be mounted.
  • Fig. 3 shows in schematic an embodiment of ion source 1.
  • the vaporizer 2 is attached to the vaporizer valve 3 through an annular thermally conductive gasket 4.
  • the vaporizer valve 3 is likewise attached to the mounting flange 7, and the mounting flange 7 is attached to ionization chamber body 5 by further annular thermally conductive gaskets 6 and 6A. This ensures good thermal conduction between the vaporizer, vaporizer valve, and ionization chamber body 5 through intimate contact via thermally conductive elements.
  • the mounting flange 7 attached to the ionization chamber 5, e.g., allows mounting of the ion source 1 to the vacuum housing of an ion implanter, (see Fig.
  • the exit aperture plate 13 is mounted to the face of the ionization chamber body 5 by metal screws (not shown). Thermal conduction of the ion exit aperture plate 13 to the ionization chamber body 5 is aided by conductive annular seal 14 of metal or a thermally conductive polymer.
  • vaporized gases from the vaporizer 2 can flow through the vaporizer valve 3 to inlet channel 15 into the open volume of the ionization chamber 16. These gases are ionized by interaction with the electron beam transported from the electron gun 12 to the electron beam dump 11. The ions produced in the open volume can then exit the ion source from the exit aperture 37, where they are collected and transported by the ion optics of the ion implanter.
  • the body of vaporizer 2 is made of machined aluminum, and houses a water bath 17 which su ⁇ ounds a crucible 18 containing a solid feed material such as decaborane 19 .
  • the water bath 17 is heated by a resistive heater plate 20 and cooled by a heat exchanger coil 21 to keep the water bath at the desired temperature.
  • the heat exchanger coil 21 is cooled by de-ionized water provided by water inlet 22 and water outlet 23.
  • the temperature difference between the heating and cooling elements provides convective mixing of the water, and a magnetic paddle stiner 24 continuously stirs the water bath 17 while the vaporizer is in operation.
  • a thermocouple 25 continually monitors the temperature of the crucible 18 to provide temperature readback for a PID vaporizer temperature controller (not shown).
  • the ionization chamber body 5 is made of aluminum, graphite, silicon carbide, or molybdenum, and operates near the temperature of the vaporizer 2 through thermal conduction.
  • the ion source can receive gases through gas feed 26, which feeds directly into the open volume of the ionization chamber 16 by an inlet channel 27.
  • Feed gases provided through channel 27 for the ion implantation of semiconductors include AsH 3 , PH 3 , SbF 5 , BF 3 , CO 2 , Ar, N 2 , SiF 4 , and GeF 4j and with important advantages GeH , SiHt, and B 2 H 6 , described below.
  • the vaporizer valve 3 When the gas feed 26 is used to input feed gases, the vaporizer valve 3 is closed.
  • the broad beam electron ionization of the present invention produces a mid-to-low ion cu ⁇ ent, useful for mid-to-low dose implantations.
  • an embodiment capable of switching mode to a reflex geometry, with magnetic field, can be employed.
  • the vaporizer 2 of Fig. 3, or that of Fig. 3 A to be described, can be demounted from the ion source 1 by closing the vaporizer valve 3 and removing the unit at seal 6, (parting line D), compare Figs 3B and 3C. This is useful for recharging the solid feed material in the crucible 18, and for maintenance activities.
  • valve 3 being permanently associated, as before, with removable vaporizer 28 and valve 3A being permanently associated with mounting flange 7, with the demounting plane D disposed between the two valves.
  • the vaporizer 28 is of a different design from that of Fig. 3, while the rest of the ion source is the same as in Fig. 3.
  • vaporizer 28 there is no water bath or water-fed heat exchanger. Instead, the volume occupied by water bath 17 in Fig 3 is occupied by the machined aluminum body
  • thermoelectric (TE) cooler 30 is in direct thermal contact with the vaporizer body 29 to provide conductive cooling.
  • TE thermoelectric
  • a thermally insulating sleeve 31 sunounds the vaporizer 28 to thermally insulate the vaporizer from ambient temperature. If desired, several heater plates 20 and TE coolers
  • the vaporizer 30 can be distributed within the vaporizer body 29 to provide more conductive heating and cooling power, and also to provide a more spatially uniform temperature to the crucible. This construction permits the vaporizer to operate at temperatures in excess of 100C, up to about 200C.
  • Figs. 3B illustrates an embodiment in which successive mounting flanges of the series of vaporizer 28, isolation valve 3 and the ion source 1, are of increasing size, enabling access to each flange for detachment.
  • Mounting flange 70 enables bolt-on of the assembled ion source to the ion source housing, see e.g. Fig. 8.
  • Mounting Flange 7a enables attachment and detachment of the vaporizer 28 and its associated valve 3 from flange 7 at parting line D, see Fig 3C.
  • Mounting Flange 7b enables detachment of the valve 3 from the main body of the vaporizer for maintenance or recharging the vaporizer.
  • the embodiment of Fig 3D has two valves 3 and 3a, valve 3 normally staying attached to the vaporizer and valve 3 a normally attached to ion source mounting flange 7. These enable isolation of both the vaporizer 28 and the ion source 1 before demounting the vaporizer at parting line D.
  • the body of mated valve 3a includes roughing passage 90 connected by valve 92 to roughing conduit 91 by which the space between the valves may be evacuated, and, upon opening valve 3, by which the vaporizer may be evacuated prior to opening valve 3a.
  • the vent line 93, and associated valve 94 enables relief of vacuum within the vaporizer prior to performing maintenance and as well may be used to evacuate and outgas the vaporizer after recharging, to condition it for use.
  • Fig. 3E illustrates a dual vaporizer construction, having the capabilities previously described.
  • the vapor passage 15 in metal block heat sink 5a bifurcates near mounting flange 7, the branches 15' leading to respective demountable vaporizers VAP 1 and VAP 2, each having two isolation valves separable at parting line D.
  • the ionization chamber body 5b is of discrete construction, demountably mounted in intimate heat transfer relationship to temperature controlled mounting block 5 a.
  • Separate coolant passage 66 and 67 telescopically receive so-called squirt tubes which centrally conduct cold, deionized water to the dead end of the passage.
  • the emerging cooled water has its maximum effect at that point, in the outward regions of respectively the mounting block 5 a and the cooled frame 10, the water returns through the annular space defined between the exterior of the squirt tube and the passage in which the tube resides.
  • Fig. 3F shows a vaporizer similar to that of Fig. 3 A, but instead of a one-piece aluminum construction, the body of the vaporizer has two mating, but separate components: a vaporizer housing 29 1 and a crucible 18 1 .
  • the crucible is inserted into the housing 29 ' with a close mechanical fit.
  • the surface of the vaporizer housing which makes contact with the crucible contains a pattern of rectangular grooves, into which pressurized gas (typically at subatmospheric pressure) is introduced through gas inlet 93 '.
  • the pressurized gas provides sufficient thermal conductivity between the crucible 18 1 and the temperature-controlled housing 29 1 to control the temperature of the crucible surface 65 in contact with decaborane or other solid feed material 19 to be vaporized.
  • This embodiment allows the crucible 18 1 to be easily replaced during service of the vaporizer.
  • Gas is also fed into the volume surrounding heat exchanger 21, to promote thermal conduction between the heat exchanger 21 and the housing 29 '.
  • the heat exchanger 21 is shown as a water-fed coil, but may alternatively comprise a TE cooler, such as cooler 30 in Fig. 3A. Referring to Fig.
  • an electron beam 32 is emitted from the cathode 33 and focused by the electron optics 34 to form a broad, collimated beam, consisting of dispersed electrons (preferably generally uniformly dispersed).
  • the electron beam is wider perpendicular to the ion beam axis than it is along that axis.
  • Fig. 4 illustrates the geometry of the ion source with the exit aperture plate 13 removed; the ion beam axis points out of the plane of the paper, see Fig. 4 A.
  • the distribution of ions created by neutral gas interaction with the electron beam co ⁇ esponds generally to the defined profile of the electron beam.
  • the electron beam passes through a rectangular entrance port 35 in the ionization chamber and interacts with the neutral gas within the open volume 16, defined within the ionization chamber body 5.
  • the beam then passes directly through a rectangular exit port 36 in the ionization chamber and is intercepted by the beam dump 11, which is mounted on the water-cooled mounting frame 10.
  • Beam dump 11 is maintained at a positive potential relative to the electron gun, and preferably slightly positive relative to the walls of the ionization chamber as well. Since the heat load generated by the hot cathode 33 and the heat load generated by impact of the electron beam 32 with the beam dump 11 is substantial, their location outside of the ionization chamber open volume 16 prevents their causing dissociation of the neutral gas molecules and ions.
  • the ionization chamber can be effectively cooled by thermal conduction to the vaporizer 2 (Fig. 3) or by conduction to a massive mounting block 5a (Figs. 3E, 9B).
  • the general walls of the ionization chamber can be reliably maintained at a temperature below the dissociation temperature of the neutral gas molecules and ions. For decaborane, this dissociation temperature is about 350C.
  • the ion exit aperture 37 in plate 13, shown in Figs. 4B, 5 and 6, is a generally rectangular aperture, the distribution of ions created adjacent to the aperture by the broad, collimated beam of generally uniformly dispersed electrons should be likewise uniform.
  • an arc plasma is not sustained, but rather the gas is ionized by direct electron-impact ionization by the primary (energetic) electrons, in the absence of containment by any major confining magnetic field.
  • the absence of such magnetic field limits the charge-exchange interactions between the ions and relatively cool secondary electrons as they are not strongly confined as they are in an arc plasma (confined secondary electrons can cause loss of the ions of interest through multiple ionizations).
  • the decaborane ions are generated in the widely distributed electron beam path. This reduces the local ion density relative to other conventional ion sources known in the art.
  • the absence of magnetic field can improve the emittance of the extracted ion beam, particularly at low (e.g., 5 keV) extraction energy.
  • the absence of an arc plasma as in a Bernas source also can improve emittance since there is no plasma potential present in the ionization and extraction region. (I recognize that the presence of an arc plasma potential in conventional plasma-based ion sources introduces a significant random energy component to the ions prior to being extracted, which translates directly into an added angular spread in the extracted ion beam.
  • Fig. 4A shows a top view of the electron exit port 36 in the open volume 16 of ionization chamber body 5, and its proximity to the ion exit aperture 37 in aperture plate 13.
  • the electron beam 32 and electron exit port 36 are situated close to the exit aperture plate 13 and its aperture 37. For example, a separation of between 6mm and 9mm between the edge of the ionization region and the ion extraction aperture can result in good ion extraction efficiency, the efficiency improving with larger width extraction apertures.
  • the broad, collimated electron beam 32 may not fully retain its rectangular profile due to scattering, and also due to space charge forces within the electron beam 32.
  • the electron exit port 36 is sized appropriately in accordance with such design choices to allow passage of the electron beam without significant interception by the general walls of the ionization chamber body 5.
  • port 36 is larger than port 35 so that it is aligned to receive and pass at least most of the residual electron beam.
  • the embodiment of Fig. 4B illustrates a discretely defined beam dump 11 ' which is sized and shaped to fit within port 36' such that its inner, electron receiving surface lies flush with the inner surface of the sunounding end wall of the chamber body 5.
  • Beam dump 11 ' is mounted upon and is cooled by cooled frame 10, as before. As shown, a clearance space c, e.g., of lmm, is maintained between the beam dump structure and the wall of the chamber.
  • the structures are cooperatively shaped as in a labyrinth L s to limit the outflow of the dopant gas or vapor, while maintaining thermal and electrical isolation of the dump structure 11 ' from the walls of the ionization chamber, maintaining electrical isolation of the beam dump 11' while preventing loss of dopant gas or vapor.
  • electrical insulation Z fills the space between the beam dump and the wall of the ionization chamber, maintaining electrical isolation of the beam dump 11 ' while preventing loss of dopant gas or vapor.
  • thermoelectrically or water-cooled outer housing H c defines a space into which a chamber-defining member 5c of heat-conductive and electrically-conductive material is removably inserted with close operational fit.
  • Gas inlets Gj introduce conductive gas of a subatmospheric pressure (e.g., between 0.5 and 5 Ton), that is significantly higher than that of the operational vacuum V 0 within the overall ion source housing 49 which contains the ionization chamber assembly.
  • the conductive gas (for example, N 2 , Ar, or He) is introduced to the interface I f between matching surfaces of the housing and the chamber in regions remote from exposure of the interface to operational vacuum V 0 , and isolated from the vaporizer and process gas feed lines.
  • the cooling gas is fed through an aluminum block or cooled housing and exits between the demountable ionization chamber and the block or housing, at the interface between them, into cooling channels machined into the aluminum block.
  • the cooling channels have the form of linear grooves (e.g., lmm wide by 0.1mm deep) which populate a significant percentage of the surface area between the two mating components. This construction allows the flat mating surfaces (the grooved aluminum surface and the flat surface of the separate ionization chamber) of the two components to mate flush with one another.
  • Simple elastomeric o-rings encompass the surface area which contains the cooling channel grooves, ensuring that the gas confined to the cooling channels is isolated from regions which contain feedthroughs and passages for process gas or vapor within this interface, and also isolates the cooling gas from the ionization volume and from the vacuum housing.
  • the spacing between those surfaces and the pressure of the conductive gas in the interface are so related that the mean-free path of the conductive gas molecules is of the order of or less than the spacing of opposed surface portions at the interface.
  • the conductive gas molecules by thermal motion, conduct heat across the interface from the chamber wall to the su ⁇ ounding cooled housing elements. Any regions of actual physical contact between the solid material of the chamber body and of an outer housing element likewise promotes cooling by conduction. It is to be noted that the mode of conductive gas cooling described here does not depend upon convectional gas flow, but only upon the presence in the interface of the gas molecules. Therefore, in some embodiments, it may be prefened to form seals at the interface to capture the gas, as discussed above, although in other embodiments exposure of the interface at edges of the assembly with leakage to the operational vacuum V 0 can be tolerated just as is the case with respect to cooling of semiconductor wafers as described, e.g., in the King U.S. patent 4,261,762.
  • the cooling housing of the ionization chamber assembly or similar side wall elements of other structures of the ion source are water-cooled in the manner of cooling the mounting frame 10 as described herein.
  • the heat conduction resulting from the inclusion of thermally conductive gasket seals, as well as regions of physical point contact between the matching surfaces of the chamber and housing elements is sufficient to keep the chamber within the desired temperature range, and the conductive gas-cooling feature described is not employed.
  • the temperature of the vaporizer may be controlled by the heat transfer from a disposable crucible to su ⁇ ounding elements via gas conduction at an interface, for operating conditions which require less than, for example, 2W/cm 2 of heat transfer through the gas interface.
  • surfaces of the electron gun, the electron beam dump, the mounting frame and the aperture plate may serve as conductors via a conductive gas interface to temperature-control elements such as the thermoelectrically or water-cooled housing that has been described, as illustrated in Fig. 4E.
  • Figs. 4F and 5 show different sizes of a broad, collimated electron beam passing through the ionization chamber, the profiles of these beams matched in profile to the wide and nanower apertures of the respective ionization chambers of Figs. 4F and 5.
  • Fig. 6 shows the ion exit aperture plate 13 with the axis of the ion beam directed normal to the plane of the paper.
  • the dimensions of the exit aperture plate conform to the dimensions of the ionization chamber within body 5, approximately 7.6 cm tall 5.1 cm wide.
  • the exit aperture plate contains an opening 37 which is approximately 5.1 cm in height, s, by 1.3 cm wide, r, suitable for high cu ⁇ ent implanters, and has a bevel 38 to reduce strong electric fields at its edges. It is matched by a broad, collimated electron beam having width g of 19 mm and depth/, of 6 mm, cross-sectional area of 114 square mm.
  • the aperture of the embodiment of Fig. 5, has similar features but a much nanower width, e.g. a width r 1 , 4 mm, matched by an electron beam of width g' 6 mm and a depth p 1 of 6 mm.
  • Fig. 7 shows the shape of the cathode 33, or electron emitter. In a prefened embodiment, it defines a planar emitting surface, it's dimensions being roughly 15 mm long x 9 mm 3 mm thick. It can be directly heated by passing an electric cunent through it, or it can be indirectly heated, as shown, with an electric cunent flowing through filament 39 via leads 40, heating it to emit thermionic electrons 41. By biasing the filament 39 to a voltage several hundred volts below the potential of cathode 33, thermionic electrons 41 heat the cathode 33 by energetic electron bombardment, as is known in the art.
  • Fig. 8 illustrates the assembly of an ion source according to Fig. 3 A into a retrofit volume 60 of a previously installed ion implanter while Fig. 8 A illustrates the complete ion implanter.
  • the usual gas connections are made enabling dopant gases from sources 1, 2, 3, and 4 in the supply rack 76 of the gas box 70 to be connected via inlet conduit 74 and exhausted via conduit 72 to high vacuum system 78.
  • an extended E-Beam gun is uniquely associated with an ionization chamber.
  • the gun has zoom optics, and comprises the following components: extended housing 79, feedthroughs 80, mounting flanges 81 and 81', cathode 82, extraction stage 83, collimation lens 84, zoom lens 85, and turning stage 87 comprising a 90 degree minor.
  • the long gun housing 79 lies along an axis A' parallel to the direction A of emission of the ion beam from the ion source, and within the retrofit space 60 of the previously installed implanter ion source.
  • the housing extends from the feedthrough terminals 80, resident outside of the mounting flange 7 of the ion source, past a vacuum pump 58, terminating at mounting flange 81' and the main ion source mounting flange 7.
  • the electron beam optics continue alongside the ion source block 5 to a point in registry with the electron inlet port 35 of the ionization volume 16.
  • the feedthroughs comprise appropriate fittings for the power and control lines for the cathode and other stages of the gun, and cooling water inlet and outlet for the housing, which is cooled, at least in the vicinity of the cathode.
  • special cooling of the gun housing is not employed, the remoteness of the cathode, as shown in Fig. 9, ensuring that the ionization chamber 5 s not heated by the cathode, and any necessary cooling for protection of the vaporizer or operating personnel being achieved by conduction to water cooled mounting flanges or the like.
  • the cathode 82 is of relatively small size in comparison to the profile dimension of the largest broad, aligned electron beam that is to transit the ionization volume 16. It is preferably a resistance-heated or indirectly heated, planar cathode emitter plate (such as plate 33 described above in connection with Fig. 7), made of lanthanum hexaboride (LaB 6 ) or of refractory metal such as tantalum or tungsten, to emit a generally uniform stream of electrons to the high voltage electron extraction stage.
  • a resistance-heated or indirectly heated, planar cathode emitter plate such as plate 33 described above in connection with Fig. 7
  • LaB 6 lanthanum hexaboride
  • refractory metal such as tantalum or tungsten
  • the ion source of Fig. 9 is retrofit into vacated volume 60 of a previously installed ion implanter.
  • the compact nature and anangement of the ion source locates the prime heat source, the cathode, remotely from the ionization chamber 16 such that its heat does not contribute to disassociation of the fragile dopant molecules.
  • heat from the ionization chamber is conducted to the vaporizer and is controlled by its temperature control.
  • the vacuum pump 58 in the region of the cathode 82 intercepts back-streaming gas which has escaped from the ionization chamber 16 via the electron inlet port 35.
  • This has the important advantage of protecting the remote cathode 82 from contamination, and enables a very extended cathode life, a feature which is especially important to enable use of the prefe ⁇ ed LaB 6 cathodes, which are particularly sensitive to degradation from chemically active species.
  • the ionization volume 16' is defined by a demountable end module 5b which is mounted with conductive thermal contact on the end of solid mounting block 5a via thermally conductive seal 6".
  • the conductive seal 6" is compressed via metal screws through mating surfaces of the block 5a and the demountable end module 5b.
  • This construction enables the member 5b defining the ionization chamber 16' to be removed from the block 5a and replaced with an unused member, advantageously of disposable construction. It also enables a different, and in some cases more efficient cooling of walls of the ionization chamber 16' than in previous embodiments.
  • the ionization chamber member 5b and exit aperture plate 13 are advantageously constructed from graphite or SiC, which removes altogether the possibility of metals contamination of the wafer due to propagation from the ion source.
  • demountable ionization chambers of graphite and SiC may be formed cheaply, and thus can be discarded during maintenance, being less expensive to be replaced than a one-piece structure.
  • conductively controlling the temperature of the block 5a and the chamber body 5b they have mating smooth surfaces, the surface of the block containing machined cooling channels which admit conductive cooling gas between the block 5a and the chamber body 5b, so that that gas, introduced under vacuum, transfers heat by heat conduction (not convection) in accordance with the above description of Figs. 4D and 4E, and cooling techniques used for the different situation of cooling wafers that are being implanted, see King U.S. Patent 4,261,762.
  • gaskets at the vapor and gas passages prevent mixing of the conductive heat transfer gas, such as argon, with the gas or vapor to be ionized.
  • block 5a is cooled by water passages 24a, either associated with its own thermal control system, Fig 3E, or, as shown, in Fig 9B, associated with the cooling system 24 that cools frame 10 on which the beam dumpl 1 is mounted.
  • water passages 24a either associated with its own thermal control system, Fig 3E, or, as shown, in Fig 9B, associated with the cooling system 24 that cools frame 10 on which the beam dumpl 1 is mounted.
  • the extraction stage 83 is of cylindrical geometry, and comprises a cathode 82, a field shaping grid electrode 100, Wehneldt electrode 101, cylinder lens 102, and anode 103.
  • the grid potential V g is held, for example, at -2V ⁇ V g ⁇ +4V and the anode potential VI is maintained at between about 200 and 1000 volts positive, depending on the desired electron energy at the exit of the extraction stage.
  • the Wehneldt and cylinder potentials, V w and V s are tuned so as to produce electron trajectories through the extraction stage which limit filling of its lenses, and limit the beam angle of the electron trajectories at the output of the extraction stage.
  • the purpose of the extraction stage is to collect the thermionically emitted electrons from the directly heated cathode or from the emitter surface of an indirectly heated cathode, to provide a beam of significantly energized electrons in a beam with a desired regular profile, with a degree of uniformity of electron distribution and collimation that presents a good quality object for the downstream telescopic lens system shown in Fig. 10.
  • Such tuning is shown in Fig.
  • the extraction stage 12 for an extraction stage which was originally developed for low-energy positrons (see I. J. Rosenberg, A. H. Weiss, and K. F. Canter, Physical Review Letters 44, p. 1139, 1980). It is modified and used for forming a broad electron beam as part of the present invention.
  • the original extraction stage described by Rosenberg et al. was essentially a 100% positron transmission stage designed for an extended, 10mm diameter positron emitter.
  • the extraction stage is scaled smaller, e.g. by a factor of 0.5 to accommodate a 5mm diameter cathode electron emitter with the aperture diameter of grid electrode 100 5mm and the sign of the electrode potentials reversed to make the structure suitable for extraction of electrons. With this scale factor, the electron extraction stage is approximately 27mm long, with the cylinder lens diameter being 17.5mm. In Fig. 11, typical dimensions may be:
  • V c any range between -20 to -300 or -500 V, relative to V ch , the potential of the ionization chamber. Relative to V c , then, the other voltage values for instance, may respectively range between:
  • the emitting surface of the cathode 82 is moved forward to lie in the same plane as the grid 100, field shaping provided by the grid aperture not being employed.
  • grid 100 is held at the same potential as cathode 82.
  • Another advantageous embodiment of the extraction stage incorporates a Pierce geometry, in which the grid aperture is coplanar with the cathode, but the shape of the grid is conical, with sides inclined at an angle of 22.5°, conesponding to a cone angle of 135° (see J. R. Pierce, Theory and Design of Electron Beams, 2 nd edition, Van Nostrand, New York, 1954).
  • This electrode shaping advantageously counteracts the effects of electron space charge in the highly populated vicinity of the cathode.
  • the 5mm-diameter, circular thermionic cathode plate is heated to emit an average electron cu ⁇ ent density of about 200 mA/cm 2 from its face having an emitting area of 0.2 cm 2 , yielding 40 mA of electron cunent into the extraction stage.
  • the extraction stage serves as an injection stage for the following lens system which comprises collimating lens 84 followed by zoom lens 85.
  • these lenses comprise 17.5-mm-diameter ("D"), thin-walled metal cylinders, separated by gaps equal to 0.10 D.
  • the collimating lens 84 is an asymmetric einzel lens, that is, it consists of three coaxial cylinders of length 2D, ID, and 2D at voltages VI, VI 2, and V3. VI is not equal to V3 (hence the Einzel lens is "asymmetric"). In general, the three elements (triplet) of each einzel lens acts as a single "thick" lens. In the case of collimating einzel lens 84, V2 > VI, and lens 84 acts as an accelerating lens. VI 2 is varied to adjust the focal length, hence the magnification of the triplet. Lens 84 also acts to limit overfilling of the cylinders by the electron beam, which can produce abe ⁇ ations and beam loss.
  • collimating lens 84 presents an object to the downstream zoom lens 85 with appropriate beam characteristics to enable the zoom lens 85 to produce a collimated, variable-energy beam for passage into the 90° minor 87.
  • a zoom lens accomplishes the function of changing the energy of the electron beam while maintaining the same object and image locations.
  • a typical zoom lens is a three-element lens consisting of concentric hollow metal tubes in series held at voltages vl, vl2, and v2, respectively.
  • the center element is shorter in length than the first and third elements (e.g., see lens 84 in Fig. 18). In this case, vl establishes the entrance energy, and v2 the exit energy.
  • the ratio (v2/vl for acceleration, and vl/v2 for deceleration) is called the "zoom ratio".
  • the value of the center element voltage, vl2 is selected to maintain the focal lengths (and hence the object and image locations, P and Q, respectively) of the lens.
  • Zoom lenses of this type are useable over a limited energy range (the "zoom range").
  • the five-element zoom lens 85 preferably employed in accordance with the present invention and illustrated in Fig. 13 is an extension of this concept. By adding additional lens elements, this compound lens offers the following expanded capabilities versus a three-element lens:
  • It can be operated as a "telescopic" lens, which produces a real image with a well-defined value of P and Q, but with variable linear magnification.
  • AEL1 is a stronger focusing lens (shorter focal length) than AEL2, M>1; and when AEL2 is the stronger focusing lens, M ⁇ 1.
  • the five-element lens can provide variable linear and angular magnification while also allowing zoom control, i.e., varying both energy and magnification.
  • Figs. 13A through 13D show the five- element zoom lens as two three-element lenses, AEL1 and AEL2, in tandem.
  • the electron beam will be at different energies entering and exiting the zoom lens, as previously discussed.
  • Fig. 13A shows the afocal mode, where the electron beam is well-collimated exiting the lens, co ⁇ esponding to an image at infinity. This mode is advantageous for collimating the beam prior to its entrance into a turning stage, such as the 90 degree minor 87 described with reference to Figs. 9, 9B and 10.
  • Fig. 13B shows the beam being focused to an image with unity magnification. This mode is desirable when a high degree of collimation is not necessary, and preservation of the beam characteristics at the object location is desired at the image location, for example, when the object dimension is appropriate for the size of the beam profile in the iomzation chamber when the zoom lens is being used primarily for modifying the energy of the electron beam.
  • FIG. 13C shows the beam being focused to an image smaller than the object, which is appropriate for injection into a minor or into the ionization chamber when counteraction of space charge forces in the electron beam is desired, to prevent the beam from expanding overmuch, as when the zoom action is employed to decelarate the beam.
  • This mode is also advantageous for producing a nanow cross-section electron beam in conjunction with a nanow ion extraction aperture, e.g., in a medium or low cunent ion implanter.
  • Fig. 13D shows the beam being focused to an image larger than the object. This mode is advantageous to expand the electron beam prior to injection into the ionization volume to provide a large cross-section ionization region, as in the case of a wide ion extraction aperture in a high-cu ⁇ ent ion implanter.
  • the lens system can exercise control of linear and angular magnification, energy, and image location over a wide range, more than sufficient for the needs of the present invention.
  • the zoom lens 85 is comprised of two asymmetric einzel lenses in tandem, einzel lenses 104 and 106 in Fig. 10, and AELl and AEL2 in Fig. 13.
  • the zoom lens 85 is a five-element lens, with its center (third) element, 3D length, serving as an element of each of the tandem einzel lenses.
  • Fig. 13 shows an Object and Image for AELl (the Image is an Object for AEL2) which results in a final image at infinity, producing collimated electron trajectories.
  • Zoom lens 85 is capable of being operated as an afocal lens by setting its element voltages such that the second focal point of AELl and the first focal point of AEL2 overlap.
  • the zoom lens 85 is telescopic; parallel electron trajectories entering the lens are also parallel upon exiting.
  • V2 > V3 > V4 the zoom lens advantageously decelerates the electrons over a wide energy range, and can still retain its telescopic properties if the voltage differences, i.e. V23 and V34, are adjusted appropriately.
  • a positron lens structure of the type shown in Fig. 11, is shown in T. N. Horsky, Ph.D. thesis, Brandeis University Dept. of Physics, Semiconductor Surface Structure Determination via Low Energy Positron Diffraction: Cleavage Faces ofCdSe, UMI Pub # 9010666, Chapter 3, 1988.
  • the positron beam entered the zoom lens at 1 keV, and decelerated to a beam energy of 75 eV upon exiting AELl (i.e., within lens element V3).
  • the plot shows how V34 was varied as a function of positron final beam energy to maintain a collimated output, for a final beam energy range between 5 eV and 250 eV.
  • the plot is indicative of plots obtainable with the similar electron beam lens structure presented here.
  • the collimating electron lens 84 is tuned in conjunction with the zoom lens 85 to vary linear magnification as well as final electron beam energy.
  • a variable-energy, variable-diameter electron beam can be generated with the lens system depicted in Fig. 10, with the advantage of copious electron production enhanced by the acceleration geometry, while achieving lower final electron energy appropriate for interaction with dopant feed material, e.g., with decaborane, by use of the deceleration stage.
  • the turning stage 87 can be of various known forms, e.g., two related and coaxial partial cylinders (i.e., a radial cylindrical analyzer), formed into respectively inside and outside sides of an elbow that bends the electron optical axis, the partial cylinder that lies on the inside of the curved axis being maintained at a more positive potential than the partial cylinder lying on the outside of the curved axis. These cooperate to turn the beam 90 degrees according to known electron path bending techniques.
  • two related and coaxial partial cylinders i.e., a radial cylindrical analyzer
  • a minor defined by two flat or cylindrically curved plates i.e., either a parallel plate or cylindrical minor analyzer
  • whose axis is oriented 45° from the zoom axis to result in a 90° deflection at the exit of the minor
  • the described radial cylindrical analyzer be employed with the advantage of achieving two dimensional transformation of the beam to the new path through the ionization space 16 of the ionization chamber 5, thus preserving the pre-established beam profile with high transmission.
  • Limiting aperture 10' is constructed to be replaceable in coordination with replacing the ion extraction aperture, typically the wider the ion extraction aperture, the larger is the conesponding dimension of the selected electron limiting aperture 10'.
  • E-Beam Mode 1 The deceleration capabilities of the zoom system are employed in conjunction with the acceleration capabilities of the preceding collimating lens, to provide an acceleration-deceleration mode of operation.
  • the lens voltages are coordinated to cause the system to zoom down from, e.g., one keV at the entrance to the zoom system to 100 eV at its exit. Because the beam expands due to the deceleration, some electrons of the beam may be lost within the minor, but this is readily acceptable where low cu ⁇ ent, low energy injection into the ionization volume 16 is desired.
  • the system is operable at cu ⁇ ents less than 5 mA at 100 eV, or at higher energies. As the final energy of the electrons goes up, the electron cu ⁇ ent increases.
  • the electron beam in this case can be well collimated and be aligned with a relatively small area beam dump.
  • E-Beam mode 2 In this case, the electrons are transported at high energy throughout the E-Beam gun and minor, and a deceleration stage 88 is interposed between the exit aperture of the minor and the entrance of the ionization volume 16. Because the beam is collimated at high energy, the electron optics perform without detrimental space charge effect, delivering a well-collimated beam sized for the minor.
  • the beam is caused to decelerate abruptly as it enters the ionization chamber, to expand with the electron trajectories confined to a conical, gradually expanding volume.
  • electron cunents of 20 mA or more, for example, may be obtained.
  • the beam can be intercepted by a beam dump 11 of larger area than in mode 1.
  • those electron trajectories which diverge to pass more closely to the aperture are somewhat offset by those which diverge further from the aperture so that total ions extracted along the aperture need not vary in density to an unacceptable degree along the length of the aperture.
  • the beam dump is sized still to align with the somewhat diverging electron paths so that substantially all electrons of the E- Beam from the minor are intercepted by the cooled beam dump.
  • the operation of such systems have numerous advantages under conditions of operation appropriate to producing the ion beams illustrated in different circumstances such as shown in Figs. 4D and 5.
  • the system can produce different size profiles of the broad area beams aligned with the beam dump, and different electron densities suitable for respectively different situations over a wide range of prefe ⁇ ed operation, e.g. over a zoom ratio of 15 to 1.
  • Cost efficiency, space efficiency and thermal advantages especially result by use of a relatively small cathode, while achieving a relatively broad and controlled-energy beam.
  • the system is useful, first with respect to decaborane at electron beam energies of between about 20 to 150 eV, and with many important or novel other species.
  • the different energy regimes up to, e.g.
  • 300 or 500 eV can enable the system to operate, in broad, aligned electron beam mode with respect to all species ? (including the fluorides for small, but highly pure beams).
  • the system can be switched to a reflex ionizing mode for some species (e.g. hydrides and fluorides) using a confining magnetic field. It can also be operated to produce doubly charged phosphorus or arsenic, and triply charged species.
  • the gun of Fig. 18 is similar to that of Fig. 10, but has important differences: 1) instead of the zoom lens 85, a double-aperture lens 88 is employed, which terminates at the entrance port of the ionization chamber and 2) no minor 87 is used, the gun being mounted coaxial with the long axis of the ionization chamber.
  • large-diameter tubes approximately 2.5 cm diameter are used to limit lens filling, and hence beam loss due to abe ⁇ ations.
  • the gun is kept short by using the collimating lens to present the desired beam characteristics to the final double- aperture lens (DAL) for injection into the ionization chamber.
  • DAL final double- aperture lens
  • the tetrode extraction gun 83 in combination with the three-element collimating lens 84 provides sufficient flexibility to control and to properly determine the electron beam characteristics.
  • Ej lOOOeV
  • E f lOOeV
  • the DAL In the presently prefe ⁇ ed design of the DAL, it is comprised of two flat plates with equal diameter circular apertures of diameter D'.
  • the plates (of thickness 0.1 D') are separated by a uniform distance D72, and are constructed of vitrified graphite, silicon carbide, or aluminum to eliminate transition metal contamination due to beam strike on the apertures which could result if tantalum, molybdenum, or stainless steel electrodes were used.
  • one plate of the DAL is tied to V2 and the second plate is tied to V cn , the addition of this lens does not require a further power supply.
  • the DAL serves two useful purposes: 1) it accomplishes deceleration of the electron beam, in a controlled and well-defined manner, to the selected value of E f necessary to maximize ionization efficiency of the particular dopant feed gas of interest, and 2) it provides strong focusing of the electron beam to counteract space charge effects which would otherwise dominate the spreading of the electron trajectories within the ionization volume.
  • the beam should be focused at the center of the tube length.
  • the spreading of the beam is mimmized by focusing the beam at the center of the volume's length.
  • Fig. 18 A An object O' is presented to the DAL by the upstream lens, and a conesponding image I' of this object is produced by the DAL.
  • the electron beam is focused to an image point 3.8 cm from the principal plane of the DAL, approximately in the center of the length of the ionization chamber.
  • the location of this image point can be moved to optimize the performance of the ion source in relation to other operating parameters (for example, the image can be moved further downstream, so that the minimum waist diameter of the beam, i.e. the circle of least confusion, falls near the center of the chamber).
  • a counterbore is provided in the chamber wall to receive the thin aperture plate in such a way as to maintain a uniformly flat profile, to establish a uniform electric field between the aperture plates; 2) the ion extraction aperture 37' is moved closer to the center of the chamber (by up to about 8mm, or 25% of the width of the chamber) for more efficient removal of ions by the extraction field of the extraction optics, and a shorter ion path through the ionization volume which reduces the probability of ion-neutral gas collisions, resulting in an asymmetric location in the chamber of the electron entrance-exit axis; 3) the ion extraction aperture plate is biased to a negative voltage VE (where -25V ⁇ VE ⁇ 0V) with respect to the ionization chamber to further increase the drift velocity of the ions, and hence the maximum obtainable cunent in the resulting ion beam.
  • VE negative voltage
  • biasing of the aperture plate is accomplished by forming it of an insulating material such as boron nitride, coating the exterior and interior surfaces which are exposed to the ions with an electrically conductive material such as graphite, and electrically biasing the conductor.
  • an insulating material such as boron nitride
  • insulator standoffs are employed, see Fig. 19C, to join the electrically conductive extraction aperture plate to the chamber while maintaining its electrical independence.
  • gas loss from the ionization chamber at the edges of the aperture plate can be minimized by interfitting conformation of the edges of the electrically isolated aperture plate and the body of the ionization chamber (involuted design) to effect labyrinth seal effects such as described in relation to Fig.4B.
  • an electrically conductive aperture plate insert is mounted in an electrically insulating frame which holds the aperture plate in place, and provides an electrical contact to the insert.
  • thermoelectric coolers may be associated with the aperture plates to keep them from over-heating.
  • an extension of cooled frame 10 or a separate cooled mounting frame is employed to support the aperture plate.
  • Fig. 18B shows the introduction of the embodiment of Fig. 18 and Fig. 18A into the ion source housing of a retrofitted implanter.
  • the electron gun is mounted at the top, as shown.
  • a new ion source housing is provided, constructed in accordance with typical Bernas ion source considerations, (it can receive a Bemas ion source if ever desired), but the housing is modified at the top to receive the electron gun.
  • the existing ion source housing is modified, e.g. by the removal of the magnet coils 54 and the insertion of a vacuum port at the top of the housing to receive the flange-mounted, vertical electron gun assembly.
  • a small pair of magnet coils is provided, as also shown in Fig. 18B.
  • the electron gun as shown here is mounted coaxially within one of those coils in a space efficient and uniquely cooperative a ⁇ angement.
  • the resultant axial magnetic field can confine the primary electron beam (both within the electron gun and in the ionization chamber) to a nanowed cross-section, to reduce the spreading of the electron beam profile due to space charge, and increasing the maximum amount of useful electron cunent which can be injected into the ionization volume.
  • a magnetic flux density of 70 Gauss will act to confine lOOeV electrons within the ionization volume to a column diameter of about 1 cm. Since the electron emitter of this long electron gun is remote from the ionization chamber, it will not initiate an arc discharge, while, depending on the strength of the external magnetic field, it will provide a low-density plasma within the ionization region.
  • this plasma By controlling this plasma to a low value, multiple ionizations induced by secondary electron collisions with the ions can be controlled to acceptable levels in certain instances. Furthermore, it is realized that the presence of the low-density plasma, in some instances, can enhance the space charge neutrality of the ionization region, and enable higher ion beam cu ⁇ ents to be realized. In a multi-mode embodiment, larger magnets are employed in the relationship shown in Fig. 18B to enable larger magnetic fields to be employed when operating in reflex mode, or when a Bemas arc discharge source is desired to be used.
  • a universal controller for the ion source of the invention uniquely employs the user interface that is used with arc discharge ion sources such as the Bemas and Freeman types.
  • Fig. 15 shows, in diagrammatic form, a typical control system 200 for operating a Bemas type ion source.
  • the operator for such existing machines programs the implanter through an Operator Interface 202 (OI), which is a set of selectable graphical user interfaces (GUI's) that are selectively viewed on a computer screen.
  • GUI's selectable graphical user interfaces
  • Certain parameters of the implanter are controlled directly from the OI, by either manually inputting data or by loading a predefined implant recipe file which contains the desired parameters that will run a specific implant recipe.
  • the available set of GUI's includes controls and monitoring screens for the vacuum system, wafer handling, generation and loading of implant recipes, and ion beam control.
  • a predetermined set of ion source parameters is programmable through the Beam Control Screen of the OI represented in Fig. 15, including user-accessible setpoint values for Arc Cunent, Arc Voltage, Filament Cu ⁇ ent Limit, and Vaporizer Temperature.
  • setpoints for Arc Cunent, Arc Voltage, Filament Cu ⁇ ent Limit, and Vaporizer Temperature.
  • the acmal values of the same parameters are read back and displayed to the operator on the OI by the control system.
  • Beam Screen GUI Many other parameters that relate to the initial set up of the beam for a given implant are programmed and/or displayed through the Beam Screen GUI, but are not considered part of the operator's ion source control. These include beam energy, beam cunent, desired amount of the ion, extraction electrode voltages, vacuum level in the ion source housing, etc.
  • a dedicated Ion Source Controller 204 reads and processes the input (setpoint) values from the OI, provides the appropriate programming signals to the stack of power supplies 206, and also provides read backs from the power supplies to the OI.
  • a typical power supply stack 206 shown in Fig 15, includes power supplies for the Arc, Filament, and Vaporizer Heater, power supplies 208, 210 and 212, respectively.
  • the programming and power generation for the Source Magnet Cu ⁇ ent may be provided in the screen, but is typically provided separate from the Ion Source Controller in many machines of the presently installed fleet.
  • Fig. 15a shows the same elements as Fig. 15, but for a Bernas-style ion source of the kind which uses an indirectly-heated cathode (IHC).
  • Fig. 15a is identical to Fig. 15, except for the addition of a Cathode power supply 211, and its read back voltage and cu ⁇ ent.
  • the additional power supply is necessary because the IHC (indirectly heated cathode element) is held at a positive high voltage with respect to the filament, which heats the IHC by electron bombardment to a temperamre sufficient that the IHC emits an electron cu ⁇ ent equal to the Arc Cu ⁇ ent setpoint value provided through the OI.
  • the arc control is accomplished through a closed-loop control circuit contained within the Ion Source Controller.
  • Fig. 16 shows diagrammatically the functional design of the Electron Beam Ion Source Controller 220 of the present invention.
  • Control of electron cu ⁇ ent from the electron gun directed to the beam dump 36 is accomplished by a closed-loop servo circuit within the controller 220 which adjusts the electron emitter temperature and the electron gun grid potential to maintain the desired electron cu ⁇ ent setpoint.
  • the Controller 220 is designed to be retrofittable into a typical existing implanter, both functionally and mechanically, and to do so with essentially no change to the controls software of the implanter.
  • the Controller electronics 220 and Ion Source Power Supplies 207 occupy a similar physical volume in the gas box as did the existing Bemas Ion Source Controller 204 and Power Supplies 206.
  • the Controller 220 is constructed to accept the existing inputs from the OI 202 and to provide the read backs expected by the OI.
  • the operator can program the Ion Source 1 of the present invention from the OI in the manner to which the operator has long been accustomed, without change.
  • This functionality is accomplished by a configurable Universal Translator circuit board 222 contained within the Controller 220, which accepts analog or digital inputs from the OI 202, and converts these inputs to the appropriate programming signals for the control of the Electron Beam of the ion source 1 of the present invention.
  • This signal processing includes, as appropriate, digital-to-analog conversion, 16 bit digital-to-20 bit-digital conversion, analog-to-digital conversion, signal inversion, and multiplication of the signal by a scale factor, for example, depending upon the type and manufacturer of the installed ion implanter into which the broad, aligned electron beam ion source is to be retrofit.
  • the configurable Universal Translator 222 then processes the read back signals provided by the Electron Beam Power Supplies 207, and reports back to the OI 220 in the digital or analog format expected by the OI.
  • the configurable Universal Translator 222 is also configurable to the specific number and kinds of outputs required by the installed implanter control system, for example to differentiate between a Bemas source and an IHC Bemas source, which requires extra read back channels for cathode voltage and cu ⁇ ent and a different scale factor for the cathode cu ⁇ ent limit setpoint vis-a-vis the Bemas and Freeman ion sources.
  • the configurable Universal Translator 222 accomplishes this by substituting the control variables as indicated in Fig. 16, and as also shown in Table II below, for the case of a directly heated cathode electron gun in the E-Beam ion source of the invention.
  • the two variables in the screen related to cathode voltage and filament cu ⁇ ent are assigned the optional values of anode voltage and cathode heating cu ⁇ ent.
  • the values of its cathode voltage and heating filament cu ⁇ ent can be substituted for the optional values listed.
  • Additional electron beam control settings for example many of the lens voltages shown in Fig. 11, are not accessible to the user through the OI, but must be preset at the Controller. Some of these voltage settings are accessible manually through potentiometers on the front panel (which provides visual read backs through panel- mounted meters while others (for example, V g and V w of the long extraction gun and V3 and V34 of the zoom lens) are automatically set through firmware-based lookup tables resident in the Controller electronics.
  • the arc control of Bemas, Freeman, and IHC Bemas sources are accomplished through similar means, namely by on-board closed-loop control circuits contained within the Ion Source Controller.
  • the original ion source is removed from the source housing of the implanter, the power cables are removed, and the Ion Source Controller 204 and the power supplies 206 or 206 1 , i.e. the Filament Power Supply, Vaporizer Power Supply, Arc Power Supply, and Cathode Power Supply (if present) are removed from the gas box of the implanter.
  • the Electron Beam Ion Source 1 of the present invention is inserted into the retrofit volume of the implanter, and the Electron Beam Ion Source Controller 220 and associated Power Supplies 207 are inserted into the vacated volume of the gas box. A new set of cables is connected.
  • the desired mechanical configuration of the ion source is prepared prior to installation into the source housing of the implanter. For example, for decaborane production, a large width ion extraction aperture and a large dimension limiting aperture at the exit of the electron gun can be installed, to provide a large ionization volume. Additionally, if the implanter has installed a variable- width mass resolving aperture 44, the width of that aperture may be increased in order to pass a larger mass range of decaborane ions. Otherwise, the set-up proceeds in a conventional manner, modified according to the various features that are explained in the present text.
  • a temperature control mechanism is provided for the vaporizer 2.
  • the vaporizer is held at a well-defined temperature by a closed-loop temperature control system within the Controller 220.
  • the closed-loop temperamre control system incorporates PID (Proportional Integral Differential) control methodology, as is known in the art.
  • the PID controller accepts a temperature setpoint and activates a resistive heater (which is mounted to a heater plate in contact with the water bath (see Fig. 3), or in heat transfer relationship with the mass of the vaporizer body 29 (Fig. 3 A) to reach and maintain its setpoint temperature through a thermocouple read back circuit.
  • the circuit compares the setpoint and read back values to determine the proper value of cu ⁇ ent to pass through the resistive heater.
  • a water-cooled heat exchanger coil 21 is immersed in the water bath (in the case of the water-cooled vaporizer of Fig 3), or a thermoelectric (TE) cooler 30 (in the embodiment of a solid metal vaporizer of Fig. 3 A), or a heat-exchanger coil su ⁇ ounded by heat-conducting gas (in the embodiment of a vaporizer utilizing pressurized gas to accomplish thermal conduction between the various elements as in Fig. 3F) to continually remove heat from the system, which reduces the settling time of the temperature control system.
  • a temperature control system is stable from 20C to 200C.
  • the flow of gas from the vaporizer to the ionization chamber is determined by the vaporizer temperature, such that at higher temperatures, higher flow rates are achieved.
  • a similar temperature control system can be employed to control the temperamre of conductive block 5a of Figs. 3E or 9B.
  • a different vaporizer PID temperature controller is employed.
  • the vaporizer PED temperature controller receives the output of an ionization- type pressure gauge which is typically located in the source housing of commercial ion implanters to monitor the sub-atmospheric pressure in the source housing. Since the pressure gauge output is proportional to the gas flow into the ion source, it output can be employed as the controlling input to the PID temperamre controller.
  • the PID temperature controller can subsequently raise or diminish the vaporizer temperature, to increase or decrease gas flow into the source, until the desired gauge pressure is attained.
  • two useful operating modes of a PID controller are defined: temperature-based, and pressure-based.
  • Fig. 16B in another embodiment, these two approaches are uniquely combined such that short-term stability of the flow rate from the vaporizer is accomplished by temperature programming alone, while long-term stability of the flow rate is accomplished by adjusting the vaporizer temperature through software to meet a pressure setpoint which is periodically sampled.
  • the advantage of such a combined approach is that, as the solid feed material is consumed by vaporization, the temperature is slowly raised by software control to compensate for the smaller flow rates realized by the reduced surface area of the material presented to the vaporizer, in accordance with pressure sensed by the pressure gauge in the source housing.
  • the ionization gauge 300 which monitors pressure within the ion source housing is the source of an analog pressure signal applied to an analog to digital converter, ADC.
  • the digital output is directed to the CPU which, under software control, evaluates the drift of pressure over time, and introduces a gradual change in temperature setting to stabilize the pressure in its optimal range.
  • temperamre of the ionization chamber is controlled by the temperamre of the vaporizer.
  • Temperature control for the embodiments of Fig. 3E, 9B and 18B is achieved by a separate temperature sensing and control unit to control the temperamre of the metal heat sink by use of a heat transfer medium or thermoelectric coolers or both.
  • the ion cu ⁇ ent (ions/sec) produced within the ionization volume can be calculated as: where -/,_ solo is the ion cu ⁇ ent, and I e ⁇ is the electron cunent traversing the ionization volume.
  • -/,_ solo is the ion cu ⁇ ent
  • I e ⁇ is the electron cunent traversing the ionization volume.
  • the electron beam cu ⁇ ent required to generate a beam of decaborane ions can be calculated as:
  • the density of primary electrons n e within the ionization volume is given by:
  • equation (7) yields n e ⁇ 2 x 10 10 cm '3 .
  • a 100 eV, 20 mA of 0.4 cm 2 cross-sectional area would provide an electron
  • Child-Langmuir limit that is, the maximum space charge-limited ion cunent density which can be utilized by the extraction optics of the ion implanter.
  • Ion implanter beam lines are designed to image the extraction aperture onto the mass resolving aperture, which is sized to both achieve good transmission efficiency downstream of the mass resolving aperture, and also to maintain a specified mass resolution R ( ⁇ M/ ⁇ M, see discussion above).
  • the optics of many high-cu ⁇ ent beam lines employ unity magnification, so that, in the absence of abe ⁇ ations, the extent of the ion extraction aperture as imaged onto the resolving aperture is approximately one-to-one, i.e., a mass resolving aperture of the same width as the ion extraction aperture will pass nearly all the beam cu ⁇ ent of a given mass-to-charge ratio ion transported to it.
  • space charge forces and stray electromagnetic fields of a Bemas ion source cause both an expansion of the beam as imaged onto the mass resolving aperture, and also a degradation of the mass resolution achieved, by causing significant overlap of adjacent beams of different mass- to-charge ratio ions dispersed by the analyzer magnet.
  • the absence of a magnetic field in the extraction region, and the lower total ion cu ⁇ ent level desired, e.g. for decaborane relative say to boron, uniquely cooperate to produce a much improved beam emittance with lower abe ⁇ ations.
  • the novel ion source can be enhanced, 1) because of the greater openness of the wider aperture, the extraction field of the extraction electrode will penetrate farther into the ionization volume of the ionization chamber, improving ion extraction efficiency, and 2) it will enable use of a relatively large volume ionization region. These cooperate to improve ion production and reduce the required density of ions within the ionization volume to make the ion source of the invention production worthy in many instances.
  • the analyzer magnet can be adjusted to pass higher mass B ⁇ oH x + or even lower mass B 9 H X + molecular ions, in conjunction with a properly sized resolving aperture, to ensure that In is not passed to the wafer. Furthermore, because of the relatively high concentration of the desired ion species of interest in the broad electron beam ion source, and the relatively low concentration of other species that contribute to the total extracted cunent (reducing beam blow-up), then, though the extracted cunent may be low in comparison to a Bernas source, a relatively higher percentage of the extracted cu ⁇ ent can reach the wafer and be implanted as desired.
  • the beam cunents obtainable with the broad electron beam ion source described can be maximized by using feed gas species which have large ionization cross sections. Decaborane falls into this category, as do many other hydride gases. While arc plasma-based ion sources, such as the enhanced Bemas source, efficiently dissociate tightly-bound molecular species such as BF 3 , they tend to decompose hydrides such as decaborane, diborane, germane, and silane as well as trimethyl indium, for example, and generally are not production-worthy with respect to these materials.
  • phosphene can be considered.
  • Phosphene has a peak ionization cross section of approximately 5x10 " cm .
  • equation (6) indicates that a broad, collimated electron beam cu ⁇ ent of 6.2 mA should yield an ion cu ⁇ ent of 1 mA of AsH x + ions.
  • the other hydrides and other materials mentioned have ionization cross sections similar to that of phosphene, hence under the above assumptions, the ion source should produce 1 mA for all the species listed above with an electron beam cu ⁇ ent of less than 7 mA.
  • Arsenic gaseous arsine (AsH 3 )
  • Phosphorus gaseous phosphene (PH 3 )
  • Silicon gaseous silane (SilL t ).
  • indium-bearing vapor indium fluoride (InF ), indium bromide (InBr), indium chloride (InCl and InCl 3 ), and indium hydroxide ⁇ In(OH) 3 ⁇ .
  • antimony beams may be produced using the temperature-sensitive solids Sb 2 O 5 , SbBr 3 and SbCl 3 in the vaporizer of the present invention.
  • the present ion source employing the broad, aligned electron beam in a non-reflex mode of operation can ionize fluorinated gases including BF 3 , AsF 5 , PF 3 , GeF 4 , and SbF 5 , at low but sometimes useful atomic ion cu ⁇ ents through single ionizing collisions.
  • the ions obtainable may have greater ion purity (due to minimization of multiple collisions), with lessened space charge problems, than that achieved in the higher cu ⁇ ents produced by Bemas sources through multiple ionizations.
  • the user can operate controls which switch the beam dump stmcture from a positive voltage (for broad, aligned electron beam mode) to a negative voltage approaching that of the electron gun, to serve as a repeller (anticathode) while also activating the magnet coils 54.
  • the coils conventionally, are already present in the implanters originally designed for a Bemas ion source, into which the present ion source can be retrofit.
  • a multi-mode version of the present ion source can be converted to operate with an arc plasma discharge (in the case of a short electron gun in which the emitter is close to the ionization volume as in Figs.
  • the existing magnet coils can be removed and modified magnet coils provided which are compatible with the geometry of a retrofitted, long, direct-injection electron gun.
  • the resultant axial magnetic field can confine the primary electron beam (both within the electron gun and in the ionization chamber) to a nanower cross-section, reducing the spreading of the electron beam profile due to space charge, and increasing the maximum amount of useful electron cu ⁇ ent which can be injected into the ionization volume.
  • the electron emitter of this embodiment Since the electron emitter of this embodiment is remote from the ionization chamber, it will not initiate an arc discharge, but depending on the strength of the external magnetic field, will provide a low-density plasma within the ionization region. If the plasma density is low enough, multiple ionizations induced by secondary electron collisions with the ions should not be significant; however, the presence of a low-density plasma may enhance the space charge neutrality of the ionization region, enabling higher ion beam cu ⁇ ents to be realized.
  • the low-temperature vaporizer of the present invention can advantageously use, in addition to the materials already mentioned, other temperature-sensitive solid source materials which cannot reliably be used in cu ⁇ ently available commercial ion sources due to their low melting point, and consequently high vapor pressure at temperatures below 200C.
  • solids which contain dimers of the dopant elements As, In, P, and Sb are useful in the ion source and methods presented here.
  • vapors of the temperature-sensitive dimer-containing compounds are utilized in the ionization chamber to produce monomer ions.
  • the cracking pattern enables production of dimer ions.
  • equation (8) which quantifies the space charge effects which limit ion extraction from the ion source, the following figure of merit which describes the easing of the limitations introduced by space charge in the case of molecular implantation, relative to monatomic implantation, can be expressed:
  • the same dimer-containing feed material can advantageously be used, by adjusting the mode of operation of the ion source, or the parameters of its operation to sufficiently break down the molecules to produce useful concentrations of monomer ions. Since the materials listed in Table la contain a high percentage of the species of interest for doping, a useful beam cu ⁇ ent of monomer dopant ions can be obtained.
  • CMOS Ion Implant Applications In present practice, ion implantation is utilized in many of the process steps to manufacture CMOS devices, both in leading edge and traditional CMOS device architectures.
  • Fig. 17 illustrates a generic CMOS architecture and labels traditional implant applications used in fabricating features of the transistor structures (from R. Simonton and F. Sinclair, Applications in CMOS Process Technology, in Handbook of Ion Implantation Technology, J.F. Ziegler, Editor, North-Holland, New York, 1992).
  • the implants co ⁇ esponding to these labeled structures are listed in Table I below, showing the typical dopant species, ion energy, and dose requirements which the industry expects to be in production in 2001.
  • a NMOS source/drain As 30-50 Iel5-5el5
  • the decaborane ion cu ⁇ ents achievable according to the present invention should enable producing the p-type source/drains and extensions, as well as p- type doping of the polysilicon gates.
  • the broad, aligned electron beam ion source described above enables high wafer throughputs in the vast majority of traditional ion implantation applications by providing a beam cunent of 1 mA of B ⁇ 0 H ⁇ , As, P, and B or B 2 .
  • the addition of Ge, Si, Sb, and In beams in this cunent range will enable more recent implant applications not listed in Table I.

Abstract

An ion source (1) for ion implantation system includes a vaporizer (2) for producing process gas; an electron source (12) for directing an electron beam (32) to ionize the process gas within an ionization volume (16); a beam dump (11); an ionization chamber (5); and an extraction aperture (37) for extracting an ion beam.

Description

ION IMPLANTATION ION SOURCE, SYSTEM AND METHOD
INTRODUCTION OF THE INVENTION
The invention provides production-worthy ion sources and methods capable of using new source materials, in particular, heat-sensitive materials such as decaborane (BjoH14), and hydrides and dimer-containing compounds novel to the ion implantation process, to achieve new ranges of performance in the commercial ion implantation of semiconductor wafers. The invention enables shallower, smaller and higher densities of semiconductor devices to be manufactured, particularly in Complementary Metal-Oxide Semiconductor (CMOS) manufacturing. In addition to enabling greatly enhanced operation of new ion implanter equipment in the manufacture of semiconductor devices, the invention enables the new ion source to be retrofit into the existing fleet of ion implanters with great capital cost savings. Embodiments of the invention uniquely implant decaborane and the other dopant materials in particularly pure ion beams, enabling a wide range of the needs of a fabrication facility to be met. Various novel constructional, operational and process features that contribute to the cost-effectiveness of the new technology are applicable as well to prior technology of the industry.
BACKGROUND
As is well known, ion implantation is a key technology in the manufacture of integrated circuits (ICs). In the manufacture of logic and memory ICs, ions are implanted into silicon or GaAs wafers to form transistor junctions, and to dope the well regions of the p-n junctions. By selectively controlling the energy of the ions, their implantation depth into the target wafer can be selectively controlled, allowing three-dimensional control of the dopant concentrations introduced by ion implantation. The dopant concentrations control the electrical properties of the transistors, and hence the performance of the ICs. A number of dopant feed materials have previously been used, including As, Ar, B, Be, C, Ga, Ge, In, N, P, Sb and Si. For those species which are of solid elemental form, many are obtainable in gaseous molecular form, such as fluoride compounds that are ionizable in large quantities at significantly elevated temperatures. The ion implanter is a manufacturing tool which ionizes the dopant-containing feed materials, extracts the dopant ions of interest, accelerates the dopant ions to the desired energy, filters away undesired ionic species, and then transports the dopant ions of interest to the wafer at the appropriate energy for impact upon the wafer. The presence in the implanter of certain elements, such as the disassociated element fluorine, is detrimental to the implanted wafers, but, despite such drawbacks, trace amounts of such contaminants have been tolerated in many contexts, in the interest of achieving production-worthy throughput volume. Lower contaminant levels from what is now achievable is desired.
In a complex relationship, overall, a number of variables must be controlled in order to achieve a desired implantation profile for a given ion implantation process:
The nature of the dopant feed material (e.g., BF3 gas)
Dopant ion species (e.g., X)
Ion energy (e.g., 5 keV)
Chemical purity of the ion beam (e.g., < 0.01% energetic contaminants)
Isotopic purity of the ion beam (e.g., ability to discriminate between 113In
Figure imgf000004_0001
Energy purity of the ion beam (e.g., < 2% full width at half maximum, i.e.
FWHM
Angular divergence and spatial extent of the beam on the wafer Total dose (e.g., 1015 atoms/cm2) implanted on the wafer Uniformity of the dose (e.g., ± 1% variation in the implanted density over the totai wafer surface area).
These variables affect the electrical performance, minimum manufacturable size and maximum manufacturable density of transistors and other devices fabricated through ion implantation.
A typical commercial ion implanter is shown in schematic in Fig. 1. The ion beam I is shown propagating from the ion source 42 through a transport (i.e. "analyzer") magnet 43, where it is separated along the dispersive (lateral) plane according to the mass-to-charge ratio of the ions. A portion of the beam is focused by the magnet 43 onto a mass resolving aperture 44. The aperture size (lateral dimension) determines which mass-to-charge ratio ion passes downstream, to ultimately impact the target wafer 55, which typically may be mounted on a spinning disk 45. The smaller the mass resolving aperture 44, the higher the resolving power R of the implanter, where R = M/ΔM (M being the nominal mass-to-charge ratio of the ion and ΔM being the range of mass-to- charge ratios passed by the aperture 44). The beam current passing aperture 44 can be monitored by a moveable Faraday detector 46, whereas a portion of the beam current reaching the wafer position can be monitored by a second Faraday detector 47 located behind the disk 45. The ion source 42 is biased to high voltage and receives gas distribution and power through feedthroughs 48. The source housing 49 is kept at high vacuum by source pump 50, while the downstream portion of the implanter is likewise kept at high vacuum by chamber pump 51. The ion source 42 is electrically isolated from the source housing 49 by dielectric bushing 52. The ion beam is extracted from the ion source 42 and accelerated by an extraction electrode 53. In the simplest case (where the source housing 49, implanter magnet 43, and disk 45 are maintained at ground potential), the final electrode of the extraction electrode 53 is at ground potential and the ion source is floated to a positive voltage Va , where the beam energy E = qVa and q is the electric charge per ion. In this case, the ion beam impacts the wafer 55 with ion energy E. In other implanters, as in serial implanters, the ion beam is scanned across a wafer by an electrostatic or electromagnetic scanner, with either a mechanical scan system to move the wafer or another such electrostatic or electromagnetic scanner being employed to accomplish scanning in the orthogonal direction.
A part of the system of great importance in the technology of ion implantation is the ion source. Fig. 2 shows diagrammatically the "standard" technology for commercial ion sources, namely the "Enhanced Bernas" arc discharge ion source. This type of source is commonly the basis for design of various ion implanters, including high current, high energy, and medium current ion implanters. The ion source a is mounted to the vacuum system of the ion implanter through a mounting flange b which also accommodates vacuum feedthroughs for cooling water, thermocouples, dopant gas feed, N2 cooling gas, and power. The dopant gas feed c feeds gas, such as the fluorides of a number of the desired dopant species, into the arc chamber d in which the gas is ionized. Also provided are dual vaporizer ovens e, /inside of the mounting flange in which solid feed materials such as As, Sb2O3, and P may be vaporized. The ovens, gas feed, and cooling lines are contained within a water cooled machined aluminum block g . The water cooling limits the temperature excursion of the aluminum block g while the vaporizers, which operate between 100C and 800C, are active, and also counteracts radiative heating by the arc chamber d when the ion source is active. The arc chamber d is mounted to, but designedly is in poor thermal contact with, the aluminum block g . The ion source a employs an arc discharge plasma, which means that it operates by sustaining within a defined chamber volume a generally narrow continuous electric arc discharge between hot filament cathode h, residing within the arc chamber d, and the internal walls of the arc chamber d. The arc produces a narrow hot plasma comprising a cloud of primary and secondary electrons interspersed with ions of the gas that is present. Since this arc can typically dissipate in excess of 300W energy, and since the arc chamber d cools only through radiation, the arc chamber in such Bernas ion sources can reach a temperature of 800C during operation.
The gas is introduced to arc chamber d through a low conductance passage and is ionized through electron impact with the electrons discharged between the cathode h and the arc chamber d and, as well, by the many secondary electrons produced by the arc discharge. To increase ionization efficiency, a substantial, uniform magnetic field i is established along the axis joining the cathode h and an anticathodey by externally located magnet coils, 54 as shown in Fig. 1. This provides confinement of the arc electrons, and extends the length of their paths. The anticathodey (sometimes referred to as a "repeller") located within the arc chamber d but at the end opposite the cathode h is typically held at the same electric potential as the cathode h, and serves to reflect the arc electrons confined by the magnetic field i back toward the cathode h, from which they are repelled back again, the electrons traveling repeatedly in helical paths. The trajectory of the thus-confined electrons results in a cylindrical plasma column between the cathode h and anticathodey. The arc plasma density within the plasma column is typically high, on the order of 10 per cubic centimeter; this enables further ionizations of the neutral and ionized components within the plasma column by charge-exchange interactions, and also allows for the production of a high current density of extracted ions. The ion source a is held at a potential above ground (i.e., above the potential of the wafer 55) equal to the accelerating voltage Va of the ion implanter: the energy, E, of the ions as they impact the wafer substrate is given by E = qVa, where q is the electric charge per ion.
The cathode h of such a conventional Bernas arc discharge ion source is typically a hot filament or an indirectly-heated cathode which thermionically emits electrons when heated by an external power supply. It and the anticathode are typically held at a voltage Vc between 60V and 150V below the potential of the ion source body Va. Once an arc discharge plasma is initiated, the plasma develops a sheath adjacent the exposed surface of the cathode h within chamber d. This sheath provides a high electric field to efficiently extract the thermionic electron current for the arc; high discharge currents (e.g., up to 7A) can be obtained by this method.
The discharge power P dissipated in the arc chamber is P = D Vc, typically hundreds of watts. In addition to the heat dissipated by the arc, the hot cathode h also transfers power to the walls of the arc chamber d. Thus, the arc chamber d provides a high temperature environment for the dopant arc plasma, which boosts ionization efficiency relative to a cold environment by increasing the gas pressure within the arc chamber d, and by preventing substantial condensation of dopant material on the hot chamber walls.
If the solid source vaporizer ovens e or/of the Bernas arc discharge ion source are used, the vaporized material feeds into the arc chamber d with substantial pressure drop through narrow vaporizer feeds k and / , and into plenums m and n. The plenums serve to diffuse the vaporized material into the arc chamber d, and are at about the same temperature as the arc chamber d . Radiative thermal loading of the vaporizers by the arc chamber also typically prevents the vaporizers from providing a stable temperature environment for the solid feed materials contained therein below about 200C. Thus, typically, only solid dopant feed materials that both vaporize at temperatures >200C and decompose at temperatures >800C (the temperature of the walls of the ionization chamber of a typical Bernas source) can be successfully vaporized and introduced by this method.
A very significant problem which currently exists in the ion implantation of semiconductors is the limitation of production- worthy ion implantation implanters that prevents effective implanting of dopant species at low (e.g., sub-keV) energies at commercially desired rates. One critically important application which utilizes low- energy dopant beams is the formation of shallow transistor junctions in CMOS manufacturing. As transistors shrink in size to accommodate more transistors per IC according to a vital trend, the transistors must be formed closer to the surface of the target wafer. This requires reducing the velocity, and hence the energy, of the implanted ions, so that they deposit at the desired shallow level. The most critical need in this regard is the implantation of low-energy boron, a p-type dopant, into silicon wafers. Since boron atoms have low mass, at a given energy for which the implanter is designed to operate, they must have higher velocity and will penetrate deeper into the target wafer than other p-type dopants; therefore there is a need for boron to be implanted at lower energies than other species.
Ion implanters are relatively inefficient at transporting low-energy ion beams due to space charge within the ion beam, the lower the energy, the greater the problem. The space charge in low energy beams causes the beam cross-section area (i.e. its "profile") to grow larger as the ions proceed along the beam line (there is "beam blow-up"). When the beam profile exceeds the profile for which the implanter's transport optics have been designed, beam loss through vignetting occurs. For example, at 500eV transport energy, many ion implanters currently in use cannot transport enough boron beam current to be commercially efficient in manufacturing; i.e., the wafer throughput is too low because of low implantation dose rate. In addition, known ion sources rely on the application of a strong magnetic field in the source region. Since this magnetic field also exists to some extent in the beam extraction region of the implanter, it tends to deflect such a low- energy beam and substantially degrade the emittance properties of the beam, which further can reduce beam transmission through the implanter.
An approach has been proposed to solve the problem of low-energy boron implantation: molecular beam ion implantation. Instead of implanting an ion current I of atomic B+ ions at an energy E, a decaborane molecular ion, Bι0Hx +, is implanted at an energy 10 x E and an ion current of 0.10 x I. The resulting implantation depth and dopant concentration (dose) of the two methods have been shown to be generally equivalent, with the decaborane implantation technique, however, having significant potential advantages. Since the transport energy of the decaborane ion is ten times that of the dose-equivalent boron ion, and the ion current is one-tenth that of the boron current, the space charge forces responsible for beam blowup and the resulting beam loss can potentially be much reduced relative to monatomic boron implantation.
While BF3 gas can be used by conventional ion sources to generate B+ ions, decaborane (B10H14) must be used to generate the decaborane ion BJOHX +. Decaborane is a solid material which has a significant vapor pressure, on the order of 1 Torr at 20C, melts at 100C, and decomposes at 350C. To be vaporized through preferred sublimination, it must therefore be vaporized below 100C, and it must operate in a production- worthy ion source whose local environment (walls of the ionization chamber and components contained within the chamber) is below 350C to avoid decomposition. In addition, since the Bι0H14 molecule is so large, it can easily disassociate (fragment) into smaller components, such as elemental boron or diborane (B2H6), when subject to charge-exchange interactions within an arc discharge plasma, hence it is recognized that conventionally operated Bernas arc plasma sources can not be employed in commercial production, and that ionization should be obtained primarily by impact of primary electrons. Also, the vaporizers of current ion sources cannot operate reliably at the low temperatures required for decaborane, due to radiative heating from the hot ion source to the vaporizer that causes thermal instability of the molecules. The vaporizer feed lines k, I can easily become clogged with boron deposits from decomposed vapor as the decaborane vapor interacts with their hot surfaces. Hence, the present production-worthy implanter ion sources are incompatible with decaborane ion implantation. Prior efforts to provide a specialized decaborane ion source have not met the many requirements of production-worthy usage.
More broadly, there are numerous ways in which technology that has been common to the industry has had room for improvement. Cost-effective features, presented here as useful in implementing the new technology, are applicable to implementation of the established technology as well. SUMMARY OF THE INVENTION
Various aspects of the invention provide improved approaches and methods for efficiently:
• Vaporizing decaborane and other heat-sensitive materials via a novel vaporizer and vapor delivery system;
• Delivering a controlled, low-pressure drop flow of vapors, e.g. decaborane, into the ion source;
• Ionizing the decaborane into a large fraction of BιoHx +;
• Preventing thermal dissociation of decaborane;
• Limiting charge-exchange and low energy electron-induced fragmentation ofB10Hx +;
• Operating the ion source without an arc plasma, which can improve the emittance properties and the purity of the beam;
• Operating the ion source without use of a strong applied magnetic field, which can improve the emittance properties of the beam;
• Using a novel approach to produce electron impact ionizations without the use of an arc discharge, by incorporation of an externally generated, broad directional electron beam which is aligned to pass through the ionization chamber to a thermally isolated beam dump;.
• Providing production- worthy dosage rates of boron dopant at the wafer;
• Providing a hardware design that enables use also with other dopants, especially using novel hydride, dimer-containing, and indium- or antimony- containing temperature-sensitive starting materials, to further enhance the economics of use and production worthiness of the novel source design and in many cases, reducing the presence of contaminants;
• Matching the ion optics requirements of the installed base of ion implanters in the field;
• Eliminating the ion source as a source of transition metals contamination, by using an external and preferably remote cathode and providing an ionization chamber and extraction aperture fabricated of non-contaminating material, e.g. graphite, silicon carbide or aluminum;
• Enabling retrofit of the new ion source into the ion source design space of existing Bernas source-based ion implanters and the like or otherwise enabling compatibility with other ion source designs;
• Using a control system in retrofit installations that enables retention of the installed operator interface and control techniques with which operators are already familiar;
• Enabling convenient handling and replenishment of the solid within the vaporizer without substantial down-time of the implanter;
• Providing internal adjustment and control techniques that enable, with a single design, matching the dimensions and intensity of the zone in which ionization occurs to the beam line of the implanter and the requirement of the process at hand;
• Providing novel approaches, starting materials and conditions of operation that enable the making of future generations of semiconductor devices and especially CMOS source/drains and extensions , and doping of silicon gates;
• And generally, providing features, relationships and methods that achieve production- worthy ionization of decaborane and numerous other dopant feed materials many of which are novel to ion implantation, to meet the practical needs of fabrication facilities.
Embodiments of the present invention can enhance greatly the capability of new ion implantation systems and can provide a seamless and transparent upgrade to end- users' existing implanters.
In particular, aspects of the invention are compatible with current ion implantation technology, such that an ion source constructed according to the invention can be retrofitted into the existing fleet of ion implanters currently installed in expensive fabrication plants. Embodiments of the invention are (1) constructed, sized and arranged such that they fit into the existing ion source space of commercial implanters, and 2) employ a novel control system for the ion source which can physically replace the existing ion source controller, without further modification of the implanter controls and qualified production techniques.
According to one aspect of the invention, an ion source capable of providing ions in commercial ion current levels to the ion extraction system of an ion implanter is provided, the ion source comprising an ionization chamber defined by walls enclosing an ionization volume, there being an ion extraction aperture in a side wall of the ionization chamber, the aperture having a length and width sized and arranged to enable the ion current to be extracted from the ionization volume by the extraction system. The invention features a broad beam electron gun constructed, sized and arranged with respect to the ionization chamber to direct an aligned beam of primary electrons through the ionization chamber to a beam dump maintained at a substantial positive voltage relative to the emitter voltage of the electron beam gun. Preferably the beam dump is thermally isolated from the ionization chamber or separately cooled. The axis of the beam path of the primary electrons extends in a direction generally adjacent to the aperture, the electron beam having a dimension in the direction corresponding to the direction of the width of the extraction aperture that is about the same as or larger than the width of the aperture, a vaporizer arranged to introduce e.g. decaborane vapor to the ionization volume, and a control system enables control of the energy of the primary electrons so that individual vapor molecules can be ionized principally by collisions with primary electrons from the electron gun.
In preferred embodiments the electron gun is mounted on a support that is thermally isolated from the walls of the ionization chamber.
According to another aspect of the invention, an ion source capable of providing ions of decaborane in commercial ion current levels to the ion extraction system of an ion implanter is provided, the ion source comprising an ionization chamber defined by walls enclosing an ionization volume, there being an ion extraction aperture in a side wall of the ionization chamber, arranged to enable the ion current to be extracted from the ionization volume by an extraction system, an electron gun mounted on a support that is outside of and thermally isolated from the walls of the ionization chamber, and constructed, sized and arranged with respect to the ionization chamber to direct a broad beam of primary electrons through the ionization chamber to a beam dump maintained at a substantial positive voltage relative to the emitter voltage of the electron beam gun, the beam dump being located outside of, and thermally isolated from, the ionization chamber, the beam path of the primary electrons extending in a direction adjacent to the ion extraction aperture, a passage arranged to introduce vapor or gas of a selected material to the ionization volume, and a control system enabling control of the energy of the primary electrons so that the material can be ionized.
According to another aspect of the invention, an ion source capable of providing ions in commercial ion current levels to the ion extraction system of an ion implanter is provided, the ion source comprising an ionization chamber defined by walls enclosing an ionization volume, there being an extraction aperture in a side wall of the ionization chamber that is arranged to enable the ion current to be extracted from the ionization volume by the extraction system, an electron gun mounted on a support that is outside of and thermally isolated from the walls of the ionization chamber, and constructed, sized and arranged with respect to the ionization chamber to direct a broad beam of primary electrons through the ionization chamber to a beam dump maintained at a substantial positive voltage relative to the emitter voltage of the electron beam gun, the electron beam gun comprising a heated electron emitting surface of predetermined size followed by electron optical elements that enlarge the beam in the ionization chamber relative to the size of the emitting surface of the electron gun, the beam path of the primary electrons extending in a direction adjacent to the ion extraction aperture, a passage arranged to introduce vapor or gas of a selected material to the ionization volume, and a control system enabling control of the energy of the primary electrons so that the material can be ionized.
Preferred embodiments of these and other aspects of the invention have one or more of the following features:
A vaporizer is incorporated into the ion source assembly in close proximity to the ionization chamber and communicating with it through a high conductance, preferably along a line of sight path, and is constructed to be controllable over part or all of the range of20C to 200C.
The beam dump has an electron-receiving surface larger than the cross-section of the electron beam entering the ionization chamber. The electron gun produces a generally collimated beam, in many instances, preferably the electron gun being generally collimated while transiting the ionization chamber.
The beam dump is mounted on a dynamically cooled support, preferably a water- cooled support.
The electron gun is mounted on a dynamically cooled support, preferably, a water- cooled support.
The electron gun cathode is disposed in a position remote from the ionization chamber.
The volume occupied by the electron gun cathode is evacuated by a dedicated vacuum pump.
The ion source electron gun includes a cathode and variable electron optics that shape the flow of electrons into a beam of selected parameters, including a general dispersion of the electrons, and a profile matched to the extraction aperture, preferably in many cases the electrons being in a collimated beam within the ionization chamber.
The electron gun comprises a high transmission electron extraction stage capable of extracting at least the majority of electrons from an emitter of the gun, the extraction stage followed by a collimator and further electron optic elements, in preferred embodiments the further electron optics comprising an electron zoom lens or electron optics constructed to have the capability to vary the energy and at least one magnification parameter of the electron beam, preferably both linear and angular magnification of the beam and in preferred embodiments the electron optics comprising a five or more element zoom lens.
The ion source is constructed, sized and arranged to be retrofit into a pre-existing ion implanter, into the general space occupied by the original ion source for which the implanter was designed.
The ion source is constructed and arranged to cause the electron beam to have a profile matched to the opening of the ion extraction aperture, preferably the cross-section being generally rectangular.
The electron beam gun of the ion source is an elongated electron gun, in certain embodiments the length of the gun being longer than the length of the ionization path length in the ionization chamber, preferably, e.g. for retrofit installations, the principal direction of the elongated electron gun being arranged generally parallel to the direction in which the ion beam is extracted from the ionization chamber, and an electron mirror is arranged to divert the electron beam to a transverse direction to pass through the ionization volume. In this and other embodiments, preferably the cathode of the elongated electron beam gun is a uniform emitting surface sized smaller than the maximum cross-section of the electron beam passing through the ionization chamber, and the electron optics include optics arranged to expand the electron beam before it enters the ionization chamber. In various embodiments some of the optics precede the mirror or are downstream of the mirror, and the optics are constructed to vary angular as well as linear magnification. Preferably these optics comprise a zoom control to enable variation of the electron energy of the beam.
The control system includes a circuit for measuring the current and the intensity of the beam dump.
The ion source electron beam gun is constructed to operate with a voltage drop relative to the walls of the ionization chamber between about 20 and 300 or 500 electron volts; preferably, to ionize decaborane, the voltage drop being between 20 and 150 electron volts, higher voltages being useful for providing double charges on selected implant species or for providing ionizing conditions for other feed materials.
For use with a previously existing ion implanter designed for use with a Bernas arc discharge source having a directly or indirectly heated cathode, the control system includes an operator control screen corresponding to the screen used for the Bernas source, and a translator effectively translates arc current control signals to control signals for the electron gun.
The ionization chamber is in thermal continuity with the vaporizer, or with a temperature control device.
The vaporizer for decaborane includes a temperature control system, and the ionization chamber is in thermal continuity with the vaporizer, preferably the ionization chamber is defined within a conductive block defining a heat sink that is in thermal continuity with the vaporizer, preferably, the conductive block being in thermal continuity with the vaporizer via one or more conductive gaskets, including a gasket at which the vaporizer may be separated from the remainder of the assembly.
The ionization chamber is defined by a removable block disposed in heat transfer relationship to a temperature controlled mounting block, preferably the removable block comprised of graphite, silicon carbide or aluminum.
The ion source includes a mounting flange for joining the ion source to the housing of an ion implanter, the ionization chamber being located on the inside of the mounting flange and the vaporizer being removably mounted to the exterior of the mounting flange via at least one isolation valve which is separable from the mounting flange with the vaporizer, enabling the vaporizer charge volume to be isolated by the valve in closed position during handling, preferably there being two isolation valves in series, one unified with and transportable with a removed vaporizer unit, and one constructed to remain with and isolate the remainder of the ion source from the atmosphere. In certain preferred embodiments, two such vaporizers are provided, enabling one to be absent, while being charged or serviced, while the other operates, or enabling two different materials to be vaporized without maintenance of the ion source, or enabling additional quantities of the same materials to be present to enable a protracted implant run.
Opposite walls of the ionization chamber corresponding respectively to the electron beam gun and the beam dump have ports through which electrons pass enroute from the electron beam gun to the beam dump, the spaces in the vicinity of the ports being surrounded by housing and communicating with a vacuum system.
The ion source includes a gas inlet via into which compounds such as arsine, phosphene, germane and silane gas can be introduced to the ionization chamber for ionization.
The extraction aperture of the ionization chamber, for e.g. high current machines, is about 50 mm or more in length and at least about 3.5 mm in width, and the transverse cross sectional area of the electron beam is at least about 30 square mm, preferably, e.g. for decaborane in high current machines, the cross-sectional area of the beam being at least about 60 square mm. For a medium current ion implanter preferably the extraction aperture is at least 15 mm in length and at least about 1.5 mm in width, and the transverse cross sectional area of the electron beam is at least about 15 square millimeters. In many medium current implanters, the extraction aperture can be sized 20mm long by 2mm wide, in which case the cross-sectional area of the electron beam can be reduced to a minimum of about 20 square mm.
An ion implantation system is provided comprising an ion implanter designed for a first ion source occupying a general design volume, and a second ion source of any of the novel types described above is operativeiy installed in that volume, preferably the electron gun being of elongated form, having its principal direction arranged parallel to the direction the ion beam is extracted from the ionization chamber, and an electron mirror is arranged to divert the electron beam to a transverse direction to pass through the ionization volume. In this and other embodiments of an ion implantation system, preferably the cathode is sized smaller than the maximum cross-section of the electron beam passing through the ionization chamber, and the electron optics include optics arranged to expand the electron beam before it enters the ionization chamber, preferably these optics being associated with a zoom control to enable controlled variation of the electron energy.
The invention also features methods of employing apparatus having the various features described to ionize decaborane, the mentioned hydrides and other temperature- sensitive materials including indium-, antimony-, and dimer-containing compounds. The methods include using the various methods of control that are described in the preceding description and in the following text.
In particular, the invention includes the methods described of generating the electron beam, accelerating and collimating the beam, controlling its transverse profile and its energy, and causing it to transit the ionization chamber to create the desired ions while keeping the ionization chamber cool. It also includes the methods of vaporizing the solid materials and cooling the ionization chamber with the vaporizer heat control system as well as controlling the vapor production of the vaporizer by pressure control or by a dual temperature and pressure control that is for instance capable of adjusting for the decreasing volume of the feed material as operation proceeds.
Particular aspects of the invention feature methods of providing ions during ion implantation comprising introducing material comprising a gas or heated vapor to a chamber enclosing an ionization volume, the chamber having an extraction aperture, and passing through the ionization volume adjacent the aperture a broad beam of electrons. According to one aspect of the invention, the broad beam is aligned with a beam dump that is thermally isolated from the chamber, the energy of the electrons being selected to ionize the material. According to another aspect, the energy and magnification of the electron beam are controlled with electron zoom optics to ionize the material. According to another aspect, the beam is formed and the energy of the electrons is controlled by successively accelerating and decelerating the electrons. In preferred embodiments of these aspects the broad electron beam is emitted from a heated emitter surface that is remote from and thermally isolated from the ionization chamber; electrons from an emitter surface are accelerated, collimated and passed through beam-expanding optics before passing through the ionization chamber, and, for vaporizing decaborane, the method includes introducing the decaborane vapors to the ionization chamber, and controlling the broad electron beam parameters to ionize the decaborane and produce a decaborane cuπent, or the method includes introducing to the ionization chamber a hydride of a desired species, and ionizing the hydride, in prefeπed embodiments the hydride being arsine or phosphene or germane or silane or diborane. In other prefeπed methods, an indium-containing compound is employed including introducing the indium compound vapors to the ionization chamber, and controlling the broad electron beam parameters to ionize the indium compound and produce an indium ion cuπent, preferably the compound being trimethyl indium. In still other prefeπed methods, a compound containing antimony is employed including introducing the antimony compound vapors to the ionization chamber, and controlling the broad electron beam parameters to ionize the antimony compound and produce an antimony ion cuπent, preferably the compound being antimony oxide (Sb2O5). Other dimer-containing compounds described below are also useful, both for producing dimer ions and monomer ions. In the various methods preferably a beam dump is employed to receive the electron beam after it transits the ionization volume, including maintaining the beam dump thermally isolated from the chamber and at a voltage potential at least as high as that of the chamber.
In some instances a magnetic field is applied to constrain the electron beam, e.g. to counteract space-charge effects. In some instances, for certain compounds, preferably the process described is converted to a reflex ionization mode by changing the potential of the beam dump to a substantially lower potential than the walls of the ionization chamber to act as an electron-repelling anticathode, in certain cases the method including applying a magnetic field parallel to the electron beam, or continuing to cool the walls of the ionization chamber while operating in reflex mode.
The invention also features the methods of retrofitting the new ion source into the existing fleet of ion implanters, and of controlling the ion source by means of the operator interface of the arc plasma ion source that it replaces.
Also, the invention features methods of conducting entire ion implantation processes using the equipment and controls described to form semiconductor devices, in particular shallow source/drains and extensions, and doping of the silicon gates in CMOS fabrication.
In addition, the invention features methods and apparatus for dual mode operation, both a broad E-Beam mode with the beam aligned with a beam dump at positive potential and a reflex mode, in which the dump is converted to a repeller (anticathode) with optional use of a confining magnetic field, advantageously both conducted with cooled walls to ionize materials such as hydrides that disassociate with elevated temperatures.
In the method employing a broad electron beam directed to a beam dump, in certain cases the invention features applying a magnetic field to constrain the electron beam.
According to another aspect of the invention, an ion source is provided having a member whose surface is exposed to contact of a dopant feed material, including gases, vapors or ions thereof, the relationship of the contact being such that condensation or molecular dissociation will occur if the temperature of the surface of the member is not within a desired operational range, the member being disposed in conductive heat transfer relationship with a second member, the temperature of which is actively controlled. The temperature of the second member can be determined by water-cooling the member with de-ionized water of a given temperature. The second member can be associated with a thermoelectric cooling unit associated with a control system that can activate the unit to maintain the temperature of the surface within said operational range. In some cases a heater element is included which is aπanged to cooperate with the cooling unit to maintain the second member at a temperature. In certain embodiments the cooling unit has a surface which forms a thermally conductive interface with an opposed surface of the member. In certain prefeπed embodiments a conductive gas fills gaps at an interface in the conductive path under conditions in which the gas molecules act to transfer heat across the interface by conduction, preferably the conductive gas being fed into channels formed in at least one of the surfaces across which the thermal heat conduction is to occur.
The invention also features a control system for the vaporizer which includes an ionization gauge sensitive to a pressure related to a pressure within the ionization chamber.
Another aspect of the invention is an ion source which includes an accel-decel electron gun aπanged to project a beam of electrons through an ionization chamber to ionize gas or vapors in a region adjacent an extraction aperture.
Prefeπed embodiments of this aspect have one or more of the following features:
A magnetic coil is disposed outside of the ionization chamber, the electron gun is mounted concentrically with the coil, such that the emission axis of the electron gun is aligned to emit electrons into the ionization chamber and the coil, when energized, provides a magnetic field which limits space charge expansion of the electron beam as it transits the ionization chamber.
The volume occupied by the electron gun cathode is evacuated by a dedicated vacuum pump.
A beam dump at a positive voltage is aligned to receive electrons of the beam that transit the ionization chamber.
This accel-decel electron gun is disposed outside of an ionization chamber, the electron gun mounted such that the emission axis of the electron gun is aligned to emit electrons into the ionization chamber.
The accel-decel gun has an electron zoom lens. The accel-decel gun is comprised of a high-transmission extraction stage followed by a focusing lens having at least two elements followed by a relatively short, strongly-focusing lens which acts to decelerate the electron beam entering the ionization chamber, preferably the short lens being a multi-aperture lens comprising a series of at least two conducting plates each having an aperture, the voltage on the plates being of respectively decreasing values to decelerate the electrons.
The beam deceleration stage of the electron gun focuses the beam in the ionization chamber at a point near mid-length of an elongated aperture, past which the electron beam passes.
Other aspects and detailed features of the invention will be apparent from the drawings, the following description of prefeπed embodiments, and from the claims and abstract.
GENERAL DESCRIPTION
An embodiment of an ion source incorporating various aspects of the invention is composed oft) a vaporizer, ii) a vaporizer valve, iii) a gas feed, tv) an ionization chamber, v) an electron gun, vi) a cooled mounting frame, and vii) an ion exit aperture. Included are means for introducing gaseous feed material into the ionization chamber, means for vaporizing solid feed materials and introducing their vapors into the ionization chamber, means for ionizing the introduced gaseous feed materials within the ionization chamber, and means for extracting the ions thus produced from an ion exit aperture adjacent to the ionization region. In addition, means for accelerating and focusing the exiting ions are provided. The vaporizer, vaporizer valve, gas feed, ionization chamber, electron gun, cooled mounting frame, and ion exit aperture are all integrated into a single assembly in prefeπed embodiments of the novel ion source. I will describe each of these features.
Vaporizer: The vaporizer is suitable for vaporizing solid materials, such as decaborane (B10H14) and TMI (trimethyl indium), which have relatively high vapor pressures at room temperature, and thus vaporize at temperatures below lOOC. The temperature range between room temperature and 100C is easily accommodated by embodiments in which the vaporizer is directly associated with a water heat transfer medium, while other prefeπed aπangements accommodate novel material which produce significant vapor pressures in the range up to 200C. For example, solid decaborane has a vapor pressure of about 1 Ton at 20C. Most other implant species cuπently of interest in the ion implantation of semiconductors, such as As, P, Sb, B, C, Ar, N, Si, and Ge are available in gaseous forms. However, Bι0 and In are not, but can be presented in vapors from solid decaborane and TMI. The vaporizer of an embodiment of the invention is a machined aluminum block in which resides a sealed crucible containing the solid material to be vaporized, entirely suπounded by a closed-circuit water bath, which is itself enclosed by the aluminum block. The bath is held at a well-defined temperature by a closed-loop temperature control system linked to the vaporizer. The closed-loop temperature control system incorporates a PID (Proportional Integral Differential) controller. The PID controller accepts a user-programmable temperature setpoint, and activates a resistive heater (which is mounted to a heater plate in contact with the water bath) to reach and maintain it's setpoint temperature through a thermocouple readback circuit which compares the setpoint and readback values to determine the proper value of cuπent to pass through the resistive heater. To ensure good temperature stability, a water-cooled heat exchanger coil is immersed in the water bath to continually remove heat from the bath, which reduces the settling time of the temperature control system. The temperature difference between the physically separate heater plate and heat exchanger coil provides flow mixing of the water within the bath through the generation of convective cuπents. As an added mixing aid, a rotating magnetic mixer paddle can be incorporated into the water bath. Such a temperature control system is stable from 20C to lOOC. The flow of gas from the vaporizer to the ionization chamber is determined by the vaporizer temperature, such that at higher temperatures, higher flow rates are achieved. The flow of gas from a vaporizer to the ionization chamber is determined by the vaporizer temperature, such that at higher temperatures, higher flow rates are achieved. According to prefeπed embodiments of the invention, the vaporizer communicates with the ionization chamber via a relatively high-conductance path between the crucible and the ionization chamber. This is preferably achieved by incorporating a relatively short, large-diameter, line-of-sight conduit between the two components. High-conductance gate valves (large diameter gates with a thin dimensioned housing) are used in the flow path between the vaporizer and source body, so as not to limit this conductance. By providing a high conductance for the transport of vapor to the ionization chamber, the pressure within the vaporizer and the temperature excursion required are lower than in prior vaporizers.
In one embodiment according to the invention a relatively low conductance supply path is achieved employing a 5mm diameter, 20cm long conduit, providing a conductance of about 7 x 10"2 L/s between crucible and ionization chamber. This would require a pressure within the vaporizer of about 2 Ton to establish an ionization chamber pressure of about 4.5 mToπ. Another embodiment employs an 8mm diameter conduit of the same length, providing a conductance of about 3 x 10"1 L/s, allowing a pressure within the vaporizer of 0.5 Ton to achieve the same flow rate of material, and hence the same pressure of 4.5 mToπ within the ionization chamber.
The static vapor pressure of a material at a given temperature and the dynamic pressure in the vaporizer crucible during the evolution and transport of vapor out of the crucible during operation are not the same. In general, the steady-state dynamic pressure is lower than the static vapor pressure, the extent depending on the distribution of source material within the vaporizer crucible, in addition to other details of construction. According to the invention, the conductances are made large to accommodate this effect. In addition, in certain prefened embodiments, the added openness of the ionization chamber to the vacuum environment of the source housing due to electron entrance and exit ports into the ionization chamber requires about twice the flow of gaseous material as a conventional Bernas-style source. Generally according to the invention, it is prefeπed that the conductance be in the range of about 3 x 10"2 to 3 x 10"1 L/s, preferably the length of the conduit being no less than 30 cm while its diameter is no less than about 5 mm, the prefeπed diameter range being between 5 and 10 mm. Within these limits it is possible to operate at much lower temperatures than conventional vaporizers, no large addition of temperature being required to elevate the pressure to drive the flow to the ionization chamber. Thus the temperature-sensitive materials are protected and a broad range of materials are enabled to be vaporized within a relatively small temperature range.
In several of the embodiments of the vaporizer presented, the construction of the vaporizer, following these guidelines, allows operation at temperatures between 20C and 100C or 200C. Given the high conductance of the vaporizer, and such temperature ranges, I have realized that the wide range of solid source materials that can be accommodated include some materials which have not previously been used in ion implantation due to their relatively low melting point. (It generally being prefeπed to produce vapors from material in solid form).
An additional advantage of enabling use of only a relatively low pressure of vaporized gas within the crucible is that less material can be required to establish the desired mass flow of gas than in prior designs.
In another embodiment a different vaporizer PID temperature controller is employed. In order to establish a repeatable and stable flow, the vaporizer PID temperature controller receives the output of an ionization-type pressure gauge which is typically located in the source housing of commercial ion implanters to monitor the sub- atmospheric pressure in the source housing. Since the pressure gauge output is proportional to the gas flow into the ion source, its output can be employed as the controlling input to the PID temperature controller. The PID temperature controller can subsequently raise or diminish the vaporizer temperature, to increase or decrease gas flow into the source, until the desired gauge pressure is attained.
Thus, two useful operating modes of a PID controller are defined: temperature- based, and pressure-based.
In another embodiment, these two approaches are combined such that short-term stability of the flow rate is accomplished by temperature programming alone, while long- term stability of the flow rate is accomplished by adjusting the vaporizer temperature to meet a pressure setpoint. The advantage of such a combined approach is that, as the solid material in the vaporizer crucible is consumed, the vaporizer temperature can be increased to compensate for the smaller flow rates realized by the reduced surface area of the material presented to the vaporizer.
In another prefeπed embodiment of the vaporizer, a fluid heat transfer medium is not used. Rather than a water bath, the crucible is integral with the machined body of the vaporizer, and heating and cooling elements are embedded into the aluminum wall of the vaporizer. The heating element is a resistive or ohmic heater, and the cooling element is a thermoelectric (TE) cooler. The vaporizer is also encased in thermal insulation to prevent heat loss to the ambient, since the desired vaporizer temperature is typically above room temperature. In this embodiment, the heating/cooling elements directly determine the temperature of the walls of the vaporizer, and hence the temperature of the material within the crucible, since the material is in direct contact with the walls of the vaporizer which is e.g. machined of a single piece of aluminum. The same PID temperature controller techniques can be used as in the previously described embodiment, enabling the vaporizer to reach a temperature in excess of 100C, preferably up to about 200C.
In another embodiment, the vaporizer consists of two mating, but separate components: a vaporizer housing and a crucible. The crucible is inserted into the housing with a close mechanical fit. The surface of the vaporizer housing which makes contact with the crucible contains a pattern of rectangular grooves, into which subatmospheric pressurized conductive gas is introduced. The pressurized gas provides sufficient thermal conductivity between the crucible and the temperature-controlled housing to control the temperature of the crucible surface in contact with decaborane or other solid feed material to be vaporized. This embodiment allows the crucible to be easily replaced during service of the vaporizer. The same PID temperature controller techniques can be used as in the previously described embodiment.
In some prefeπed embodiments, the vaporizer, while still close to the ionization chamber, communicating with it through a high conductance path, is physically located outside of, and removably mounted to, the main mounting flange of the ion source and the vaporizer communicates through the main mounting flange to the ionization chamber located within the vacuum system.
In some prefeπed embodiments, two vaporizers, independently detachable from the remainder of the ion source, are provided, enabling one vaporizer to be in use while the other, detached, is being recharged or serviced.
Vaporizer valve: In the above described vaporizer embodiments, the vapors leave the vaporizer and enter the adjacent ionization chamber of the ion source through an aperture, which is preferably coupled to a thin, high conductance gate valve with a metal seal or other thermally conductive seal placed between the vaporizer and ionization chamber. The gate valve serves to separate the vaporizer from the ionization chamber, so that no vapor escapes from the vaporizer when the valve is shut, but a short, high- conductance line-of-sight path is established between the ionization chamber and vaporizer when the valve is open, thus allowing the vapors to freely enter the ionization chamber. With the valve in the closed position, the vaporizer with the valve attached may be removed from the ion source without releasing the toxic vaporizer material contained in the crucible. The ion source may then be sealed by installing a blank flange in the position previously occupied by the vaporizer valve. In another embodiment, two isolation valves are provided in series, one associated with the removable vaporizer and one associated with all of the other components of the ion source, with the disconnect interface being located between the two valves. Thus both parts of the system can be isolated from the atmosphere while the parts are detached from one another. One of the mating valves (preferably, the valve isolating the ion source body) has a small, valved roughing port integrated internal to the valve body, which enables the air trapped in the dead volume between them to be evacuated by a roughing pump after the two valves are mated in a closed position. If the source housing of the implanter is under vacuum, the vaporizer can be installed with its valve in a closed state after being refilled. It is mated to the closed valve mounted to the ion source in the implanter. The vaporizer valve can then be opened and the vaporizer volume pumped out through the roughing port (along with the gas trapped in the dead volume between the valves). Then the ion source valve can be opened, without requiring venting of the source housing. This capability greatly reduces the implanter down time required for servicing of the vaporizer. In another system, two such vaporizers, each with two isolation valves in series, as described, are provided in parallel, suitable to vaporize different starting materials, or to be used alternatively, so that one may be serviced and recharged while the other is functioning.
Gas feed: In order to operate with gaseous feed materials, ion implanters typically use gas bottles which are coupled to a gas distribution system. The gases are fed to the ion source via metal gas feed lines which directly couple to the ion source through a sealed VCR or VCO fitting. In order to utilize these gases, embodiments of the ion source of the present invention likewise have a gas fitting which couples to the interior of the ionization chamber and connects to a gas distribution system.
Ionization chamber: The ionization chamber defines the region to which the neutral gas or vapor fed to the source is ionized by electron impact. In certain prefeπed embodiments, the ionization chamber is in intimate thermal and mechanical contact with the high conductance vaporizer valve or valves through thermally conductive gaskets, which are likewise in intimate thermal contact with the vaporizer through thermally conductive gaskets. This provides temperature control of the ionization chamber through thermal contact with the vaporizer, to avoid heat generated in the ionization chamber from elevating the temperature of the walls of the chamber to temperatures which can cause decaborane or other low-temperature vaporized materials or gases to break down and dissociate.
In other embodiments, the ionization chamber, as a removable component, (advantageously, in certain instances, a regularly replaced consumable component) is maintained in good heat transfer relationship with a temperature-controlled body, such as a temperature controlled solid metal heat sink having a conventional water cooling medium or being cooled by one or more thermoelectric coolers.
The iomzation chamber in prefeπed embodiments suitable for retrofit installation is sized and constructed to provide an ionization volume, extraction features, and ion optical properties compatible with the properties for which the target implanter to be retrofitted was designed.
In prefened embodiments, the ionization chamber is rectangular, made of a single piece of machined aluminum, molybdenum, graphite, silicon carbide or other suitable thermally conductive material. Because contact of the ionization chamber with a fluid transfer medium is avoided in designs presented here, in certain instances the ionization chamber and extraction aperture are uniquely formed of low cost graphite, which is easily machined, or of silicon carbide, neither of which creates risk of transition metals contamination of the implant. Likewise for the low temperature operations (below its melting point) an aluminum construction may advantageously be employed. A disposable and replaceable ionization chamber of machined graphite or of silicon carbide is a particular feature of the invention.
The ionization chamber in certain prefeπed embodiments is approximately 7.5 cm tall by 5 cm wide by 5 cm deep, approximating the size and shape of commercially accepted Bernas arc discharge ionization chambers. The chamber wall thickness is approximately 1 cm. Thus, the ionization chamber has the appearance of a hollow, rectangular five-sided box. The sixth side is occupied by the exit aperture. The aperture can be elongated as are the extraction apertures of Bernas arc discharge ion sources, and located in appropriate position in relation to the ion extraction optics. The flow rate of the gas fed into the ionization chamber is controlled to be sufficient to maintain proper feed gas pressure within the ionization chamber. For most materials, including decaborane, a pressure between 0.5 mToπ and 5 mToπ in the ionization chamber will yield good ionization efficiency for the system being described. The pressure in the source housing is dependent upon the pressure in the ionization chamber. With the ionization chamber pressure at 0.5 mToπ or 5 mToπ, the ion gauge mounted in the source housing, typically used in commercial ion implanters to monitor source pressure, will read about lxlO"5 Ton and lxlO"4 Ton, respectively. The flow rate from the vaporizer or gas feed into the ionization chamber required to sustain this pressure is between about 1 seem and 10 seem (standard cubic centimeters per minute).
Electron gun: For ionizing the gases within the ionization chamber, electrons of controlled energy and generally uniform distribution are introduced into the ionization chamber by a broad, generally collimated beam electron gun as shown in the illustrative figures described below. In one embodiment of the invention, a high-cunent electron gun is mounted adjacent one end of the ionization chamber, external to that chamber, such that a directed stream of primary energetic electrons is injected through an open port into the ionization chamber along the long axis of the rectangular chamber, in a direction parallel to and adjacent the elongated ion extraction aperture. In prefeπed embodiments of the invention, the cathode of the electron gun is held at an electric potential below the potential of the ionization chamber by a voltage equal to the desired electron energy for ionization of the molecules by the primary electrons. Two ports, respectively in opposite walls of the ionization chamber are provided to pass the electron beam, one port for entrance of the beam as mentioned above, and the second port for exit of the beam from the ionization chamber. After the electron beam exits the ionization chamber, it is intercepted by a beam dump located just outside of the ionization chamber the beam dump being aligned with the electron entry point, and preferably maintained at a potential somewhat more positive than that of the ionization chamber. The electron beam is of an energy and cuπent that can be controUably varied over respective ranges to accommodate the specific ionization needs of the various feed materials introduced into the ionization chamber, and the specific ion cuπents required by the ion implant processes of the end- user.
In particular embodiments, the electron gun is constructed to be capable of providing an electron beam energy programmable between 20eV and 500eV.
The lowest beam energies in this energy range accommodate selective ionization of a gas or vapor below certain ionization threshold energies, to limit the kinds of end- product ions produced from the neutral gas species. An example is the production of BιoHx + ions without significant production of B9HX +, B8HX +, or other lower-order boranes frequently contained in the decaborane cracking pattern when higher electron impact energies are used.
The higher beam energies in the energy range of the electron gun are provided to accommodate the formation of multiply-charged ions, for example, As""" from AsH3 feed gas. For the majority of ion production from the various feed gases used in semiconductor manufacturing, including the production of BιoHx + from decaborane, an electron beam energy between 50eV and 150eV can yield good results.
In prefeπed embodiments, the electron gun is so constructed that the electron beam cunent can be selected over a range of injected electron beam cuπents between 0.1 mA and 500 mA, in order to determine the ion cuπent extracted from the ion source in accordance with the implant demand. Control of electron cuπent is accomplished by a closed-loop electron gun controller which adjusts the electron emitter temperature and the electron gun extraction potential to maintain the desired electron cuπent setpoint. The electron emitter, or cathode, emits electrons by thermionic emission, and so operates at elevated temperatures. The cathode may be directly heated (by passing an electric cuπent through the cathode material), or indirectly heated. Cathode heating by electron bombardment from a hot filament held behind the cathode is an indirect heating technique well-practiced in the art. The cathode may be made of tungsten, tantalum, lanthanum hexaboride (LaB6), or other refractory conductive material. It is realized that LaB6 offers a particular advantage, in that it emits copious cuπents of electrons at lower temperatures than tungsten or tantalum. As discussed further below, the prefeπed separate mounting of the electron beam gun, thermally isolated from the ionization chamber, is an advantageous factor in keeping the ionization chamber cool.
Electron beam guns having cathodes mounted close to the ionization chamber on a cooled support, which discharge directly into the chamber, are shown in the first two embodiments described below.
Further advantages are obtained in certain embodiments by use of an elongated electron gun design, i.e. typically longer that the length of the ionization chamber transitted by the beam. This enables the heated cathode of the gun to be located quite far from the ionization chamber, completely thermally isolated from it, and enables use of a small highly efficient cathode by combination with telescopic electron optics to achieve the desired broad electron beam and desired electron density across the beam cross section (profile). A zoom lens can advantageously enable variation of the cross-section of the electron beam that transits the ionization chamber to match the size of the selected aperture and beam cuπent.
In an advantageous, space-efficient design, the elongated electron gun is mounted parallel to the direction of extraction of the ion beam, with the cathode located near or even outside, beyond the mounting flange of the ion source, and associated at its other end with an electron beam minor that deflects the beam to transit the ionization chamber.
In new implanter designs in which there are not as many predetermined space constraints, the described elongated electron beam gun, with relatively small emitter surface, and associated zoom lens can be aπanged in line with the direction of transit of the electron through the ionization chamber, no diverting minor being employed.
In a high cuπent design an acceleration-deceleration system aligned with the direction of transit through the iomzation chamber is advantageous in a number of respects, especially when employing an accel-decel system for maximizing the electron flow through the ionization chamber.
The electron beam, however produced, has a significant cross-sectional area, i.e. it is a broad generally collimated beam as it transits the ionization chamber, to the beam dump with which it is aligned. In prefeπed embodiments, the electron beam within the ionization chamber has a generally rectangular cross section, e.g. in one embodiment approximately 13 mm 6 mm as injected into the ionization chamber, to match with a relatively wide extraction aperture of a high cuπent machine, or the rectangular cross section is e.g. of a square cross-section profile for use with a nanower ion extraction aperture. In the case of direct injection, the shape of the injected electron beam can be determined by the shape of the electron optics, e.g. the grid and anode apertures of an electron gun, which, for example, may both be approximately 13 mm 6 mm, and also by the shape of the cathode or electron emitter, which, for the first example given, is somewhat larger than the grid and anode apertures, approximately 15 mm x 9 mm. The advantage of generating a generally rectangular electron beam profile is to match the conventionally desired ion beam profile as extracted from the ion source, which is also rectangular. The rectangular exit aperture from which the ion beam is extracted is approximately 50 mm tall by 3.5 mm wide in many high-cuπent implanters; in such cases the electron beam (and thus the ions produced by electron impact) can present a profile to the exit aperture within the ionization chamber of approximately 64 mm 13 mm. If the end-user wishes, an enlarged exit aperture may be employed to obtain higher extracted cuπents.
As mentioned above, preferably in the walls of the ionization chamber, there are both an electron entrance port and an aligned electron exit port for the electron beam, which departs from the conventionally employed Bernas ion source. In Bernas ion sources, energetic electrons produced by an emitter, located typically internal to the ionization chamber, strike the walls of the chamber to form the basis of an "arc discharge". This provides a substantial heat load which elevates the temperature of the ionization chamber walls. In the present invention, the ionizing electrons (i.e the energetic or "primary" electrons) pass through the ionization chamber to the defined beam dump, substantially without intercepting the general chamber walls. "Secondary" electrons, i.e. low-energy electrons produced by ionization of the feed gas, still can reach the general walls of the ionization chamber but since these are low energy electrons, they do not provide significant heat load to the walls. The feature of through-transit of the primary electrons allows the ionization chamber to be conductively cooled, e.g. by the vaporizer, or by a cooled block against which the ionization chamber is mounted in substantial thermal contact, without providing a large heat load on the temperature controller of the vaporizer or block. To avoid the heat generated by the electron gun and the energetic electron beam, the electron gun and the electron beam dump are mounted in thermally isolated fashion, preferably either or both being mounted on respective water- cooled parts of a cooled mounting frame. This frame is dynamically cooled, e.g. by high- resistivity, de-ionized water commonly available in commercial ion implanters.
Cooled mounting frame and Beam Dump: The cooled mounting frame is e.g. a water-cooled sheet metal assembly on which the electron gun and the electron beam dump may be mounted. The frame consists of two separate mechanical parts which allow the electron gun and the beam dump to be independently biased. By mounting these two components to this frame, a heat load to the ionization chamber can be substantially avoided. The frame provides a mechanical framework for the thus-mounted components, and in addition the frame and the mounted components can be held at an electric potential different from the potential of the ionization chamber and vaporizer by mounting to the ion source assembly on electrically insulating standoffs.
In embodiments discussed below, the beam dump is discretely defined and isolated, preferably being removed from direct contact with the ionization chamber, with the electron beam passing through an exit port in the ionization chamber prior to being intercepted by the beam dump. The beam dump can readily be maintained at a potential more positive than the walls of the chamber to retain any secondary electrons released upon impact of primary electrons up on the beam dump. Also, the beam dump cuπent can be detected for use in the control system as well as for diagnostics. Also, in a multi- mode ion source, by being electrically isolated, the voltage on the dump structure can be selectively changed to negative to serve an electron-repeller (anticathode) function, as described below.
In another construction, the distinctly defined beam dump though can be in physical contact with the exit port in such a way that thermal conduction between the cooled beam dump and the exit port is poor e.g., by point contact of discrete elements. Electrical insulation, which has thermal insulation properties as well, can be provided to enable a voltage differential to be maintained while preventing heating of the general walls of the ionization chamber. One advantage of this embodiment is a reduced conduction of the source gas out of the ionization chamber, reducing gas usage. The extraction of ions from the ionization chamber is facilitated by an asymmetric relationship of the electron beam axis relative to the central chamber axis, locating the site of ionization closer to the extraction aperture. By maintaining a voltage on the aperture plate through which the ions are extracted that is lower than that of the other chamber walls, the ions are drawn toward the extraction path.
In use of the ion source in a mode different from that used for decaborane as described above, e.g. using BF feed gas, the electron beam dump may be biased to a negative potential relative to the ionization chamber, e.g. to a voltage approximating that of the cathode potential, in a "reflex geometry" whereby the primary electrons emitted by the electron gun are reflected back into the ionization chamber and to the cathode, and back again repeatedly, i.e. instead of serving as a beam dump, in this mode the dump structure serves as a "repeller", or anticathode. An axial magnetic field may also be established along the direction of the electron beam by a pair of magnet coils external to the ion source, to provide confinement of the primary electron beam as it is reflected back and forth between the cathode and beam dump. This feature also provides some confinement for the ions, which may increase the efficiency of creating certain desired ion products, for example B+ from BF3 feed gas. Such a reflex mode of operation is known per se by those practiced in the art, but is achieved here in a unique multi-mode ion source design capable of efficiently producing e.g. decaborane ions.
A novel multimode ion source includes an electron gun for the purposes as described, disposed coaxially within a magnet coil that is associated with the source housing and ionization chamber contained within.
BRIEF DESCRIPTION OF DRAWINGS
Fig. 1 is a diagrammatic view of a prior art ion implanter;
Fig. 2 is a diagrammatic cross-sectional view of a Bernas arc discharge ion source, illustrative of the ion source for which the implanter of Fig. 1 was designed;
Fig. 3 is a longitudinal cross-sectional view of an embodiment of the ion source of the present invention with associated vaporizer;
Fig. 3 A is a cross-sectional view, similar to a part of Fig. 3, showing another embodiment of a vaporizer; Fig. 3B illustrates the removable feature of the vaporizer of Fig. 3 A, using a conventional mounting flange while Fig. 3C illustrates detaching the vaporizer and valve from the ion source;
Fig. 3D illustrates a two-valve embodiment in which separation of the vaporizer from the ion source can occur between the two valves;
Fig. 3E illustrates a dual vaporizer embodiment;
Fig. 3F shows another embodiment of a vaporizer similar to Fig. 3 A, but with a separate crucible and with gas-mediated conduction between vaporizer housing and crucible, and between a heat exchanger and the housing.
Fig. 4 is a side cross-sectional view taken on line 4-4 of Fig. 3 while Fig. 4A is a top view taken on line 4A-4A of Fig. 4;
Figs. 4B and 4C are views similar to that of Fig. 4, of other aπangements of the discretely defined electric beam dump;
Figs. 4D and 4E, side and top views similar respectively to Figs. 4 and 4A, show a conductively cooled ionization chamber assembly having a disposable inner ionization chamber.
Fig. 4F is a three dimensional representation of a broad, collimated electron beam and its relation to the ion extraction aperture of the embodiment of Figs. 3 and 4;
Fig. 5 is a view similar to Fig. 4F of the relationship of a broad electron beam and ion extraction aperture of nanower dimension;
Fig. 6 is a front view of the aperture plate of the ion source of Fig. 3;
Fig. 7 is an illustration of an indirectly heated cathode aπangement;
Fig. 8 illustrates the ion source of Figs. 3-6 installed in a retrofit volume of a preexisting ion implanter while Fig. 8A illustrates, on a smaller scale, the entire implanter of Fig. 8;
Fig. 9, similar to Fig. 8, shows an ion source employing an elongated right angle electron gun and an angled minor while Fig. 9A illustrates the entire implanter into which the embodiment of Fig. 9 is retrofit;
Fig. 9B is a view similar to a portion of Fig. 9 on an enlarged scale, illustrating a demountable ionizing chamber directly mounted upon a water-cooled block; Fig. 10 is a side view on an enlarged scale of a prefened embodiment of the elongated electron gun of Fig. 9;
Fig. 11 is an enlarged diagram of the extraction stage of the gun of Fig. 10; Fig. 12 illustrates the trajectories of electrons through the extraction stage of Fig. i i;
Fig. 13 is a diagrammatic view of a 5-element zoom lens;
Figs. 13A through 13D illustrate various operating modes of the lens system of Fig. 13;
Fig. 14 is a plot of the zoom voltage line;
Fig. 15 is a diagram of the operator interface of a conventional Bernas arc discharge ion source while Fig. 15A is a similar view of a Bernas source with indirectly heated cathode;
Fig. 16 is a view of a Bemas operator interface combined with a novel configurable universal controller that controls a broad E-Beam ion source according to the invention;
Fig. 16A is a view similar to Fig. 16 of the control system for an elongated E- Beam embodiment of the invention;
Fig. 16B is a diagram of a prefened embodiment of a temperature control system for the vaporizer of Fig 3 and 3 A;
Fig. 17 is a diagrammatic illustration of a semiconductor device, illustrating standard CMOS ion implantation applications.
Fig. 18 is a diagram of a high-cunent electron gun incorporated into a prefened embodiment of the ion source, where the optical axis of the electron gun is parallel to the long axis of the ionization chamber, showing the approximate scale and operating voltages of the different elements;
Fig. 18A shows the electron optics of the ion source of Fig. 18, where the focusing properties of a double-aperture lens are illustrated by object and image points, and also the detailed mechanical strucmre of the ionization chamber and beam dump are illustrated;
Fig. 18B illustrates mounting the ion source of Figs. 18 and 18A into an existing ion implanter, and a special aπangement of the electron gun and magnet coils. Fig. 19 is a top view of an aperture plate that has provisions for receiving a bias voltage relative to the voltage of the remaining walls of an ionization chamber, while Figs. 19A and 19B, taken on respective lines in Fig. 19, are side views respectively of the inside face of the aperture plate, facing the interior of the ionization chamber and the outside face, directed toward the extraction optics.
Fig. 19C is an edge view of an aperture plate illustrating it's mounting to the main body of the ionization chamber by insulating stand offs.
Figs. 20A and 20B an side views of the inside face and outside face of an aperture insert plate of another embodiment while Fig. 20C is a side view of an insulator frame into which the insert plate of Figs. 20A and 20B may be mounted.
DETAILED DESCRIPTION OF PREFERRED EMBODIMENTS
Fig. 3 shows in schematic an embodiment of ion source 1. The vaporizer 2 is attached to the vaporizer valve 3 through an annular thermally conductive gasket 4. The vaporizer valve 3 is likewise attached to the mounting flange 7, and the mounting flange 7 is attached to ionization chamber body 5 by further annular thermally conductive gaskets 6 and 6A. This ensures good thermal conduction between the vaporizer, vaporizer valve, and ionization chamber body 5 through intimate contact via thermally conductive elements. The mounting flange 7 attached to the ionization chamber 5, e.g., allows mounting of the ion source 1 to the vacuum housing of an ion implanter, (see Fig. 8) and contains electrical feedthroughs (not shown) to power the ion source, and water- cooling feedthroughs 8, 9 for cooling. In this prefeπed embodiment, water feedthroughs 8, 9 circulate water through the cooled mounting frame 10 to cool the mounting frame 10 which in turn cools the attached components, the electron beam dump 11 and electron gun 12. The exit aperture plate 13 is mounted to the face of the ionization chamber body 5 by metal screws (not shown). Thermal conduction of the ion exit aperture plate 13 to the ionization chamber body 5 is aided by conductive annular seal 14 of metal or a thermally conductive polymer.
When the vaporizer valve 3 is in the open position, vaporized gases from the vaporizer 2 can flow through the vaporizer valve 3 to inlet channel 15 into the open volume of the ionization chamber 16. These gases are ionized by interaction with the electron beam transported from the electron gun 12 to the electron beam dump 11. The ions produced in the open volume can then exit the ion source from the exit aperture 37, where they are collected and transported by the ion optics of the ion implanter.
The body of vaporizer 2 is made of machined aluminum, and houses a water bath 17 which suπounds a crucible 18 containing a solid feed material such as decaborane 19 . The water bath 17 is heated by a resistive heater plate 20 and cooled by a heat exchanger coil 21 to keep the water bath at the desired temperature. The heat exchanger coil 21 is cooled by de-ionized water provided by water inlet 22 and water outlet 23. The temperature difference between the heating and cooling elements provides convective mixing of the water, and a magnetic paddle stiner 24 continuously stirs the water bath 17 while the vaporizer is in operation. A thermocouple 25 continually monitors the temperature of the crucible 18 to provide temperature readback for a PID vaporizer temperature controller (not shown). The ionization chamber body 5 is made of aluminum, graphite, silicon carbide, or molybdenum, and operates near the temperature of the vaporizer 2 through thermal conduction. In addition to low-temperature vaporized solids, the ion source can receive gases through gas feed 26, which feeds directly into the open volume of the ionization chamber 16 by an inlet channel 27. Feed gases provided through channel 27 for the ion implantation of semiconductors include AsH3, PH3, SbF5, BF3, CO2, Ar, N2, SiF4, and GeF4j and with important advantages GeH , SiHt, and B2H6, described below. When the gas feed 26 is used to input feed gases, the vaporizer valve 3 is closed. In the case of a number of these gases, the broad beam electron ionization of the present invention produces a mid-to-low ion cuπent, useful for mid-to-low dose implantations. For higher doses, an embodiment capable of switching mode to a reflex geometry, with magnetic field, can be employed.
The vaporizer 2 of Fig. 3, or that of Fig. 3 A to be described, can be demounted from the ion source 1 by closing the vaporizer valve 3 and removing the unit at seal 6, (parting line D), compare Figs 3B and 3C. This is useful for recharging the solid feed material in the crucible 18, and for maintenance activities.
In the embodiment of Fig. 3D, two valves, 3 and 3 A are provided in series, valve 3 being permanently associated, as before, with removable vaporizer 28 and valve 3A being permanently associated with mounting flange 7, with the demounting plane D disposed between the two valves.
In the embodiment of the ion source shown in Fig. 3 A, the vaporizer 28 is of a different design from that of Fig. 3, while the rest of the ion source is the same as in Fig. 3. In vaporizer 28, there is no water bath or water-fed heat exchanger. Instead, the volume occupied by water bath 17 in Fig 3 is occupied by the machined aluminum body
29 of vaporizer 28. A resistive heater plate 20 is in direct thermal contact with the vaporizer body 29 to conductively heat the body 29, and a thermoelectric (TE) cooler 30 is in direct thermal contact with the vaporizer body 29 to provide conductive cooling. A thermally insulating sleeve 31 sunounds the vaporizer 28 to thermally insulate the vaporizer from ambient temperature. If desired, several heater plates 20 and TE coolers
30 can be distributed within the vaporizer body 29 to provide more conductive heating and cooling power, and also to provide a more spatially uniform temperature to the crucible. This construction permits the vaporizer to operate at temperatures in excess of 100C, up to about 200C.
Figs. 3B illustrates an embodiment in which successive mounting flanges of the series of vaporizer 28, isolation valve 3 and the ion source 1, are of increasing size, enabling access to each flange for detachment. Mounting flange 70 enables bolt-on of the assembled ion source to the ion source housing, see e.g. Fig. 8. Mounting Flange 7a enables attachment and detachment of the vaporizer 28 and its associated valve 3 from flange 7 at parting line D, see Fig 3C. Mounting Flange 7b enables detachment of the valve 3 from the main body of the vaporizer for maintenance or recharging the vaporizer.
The embodiment of Fig 3D has two valves 3 and 3a, valve 3 normally staying attached to the vaporizer and valve 3 a normally attached to ion source mounting flange 7. These enable isolation of both the vaporizer 28 and the ion source 1 before demounting the vaporizer at parting line D. The body of mated valve 3a includes roughing passage 90 connected by valve 92 to roughing conduit 91 by which the space between the valves may be evacuated, and, upon opening valve 3, by which the vaporizer may be evacuated prior to opening valve 3a. Thus attachment of vaporizer 28 need not adversely affect the vacuum being maintained in the ion source and beam line. The vent line 93, and associated valve 94 enables relief of vacuum within the vaporizer prior to performing maintenance and as well may be used to evacuate and outgas the vaporizer after recharging, to condition it for use.
The embodiment of Fig. 3E illustrates a dual vaporizer construction, having the capabilities previously described. The vapor passage 15 in metal block heat sink 5a bifurcates near mounting flange 7, the branches 15' leading to respective demountable vaporizers VAP 1 and VAP 2, each having two isolation valves separable at parting line D. As more fully described with respect to Fig. 9B, the ionization chamber body 5b is of discrete construction, demountably mounted in intimate heat transfer relationship to temperature controlled mounting block 5 a.
Separate coolant passage 66 and 67 telescopically receive so-called squirt tubes which centrally conduct cold, deionized water to the dead end of the passage. The emerging cooled water has its maximum effect at that point, in the outward regions of respectively the mounting block 5 a and the cooled frame 10, the water returns through the annular space defined between the exterior of the squirt tube and the passage in which the tube resides.
Fig. 3F shows a vaporizer similar to that of Fig. 3 A, but instead of a one-piece aluminum construction, the body of the vaporizer has two mating, but separate components: a vaporizer housing 291 and a crucible 181. The crucible is inserted into the housing 29 ' with a close mechanical fit. The surface of the vaporizer housing which makes contact with the crucible contains a pattern of rectangular grooves, into which pressurized gas (typically at subatmospheric pressure) is introduced through gas inlet 93 '. The pressurized gas provides sufficient thermal conductivity between the crucible 181 and the temperature-controlled housing 291 to control the temperature of the crucible surface 65 in contact with decaborane or other solid feed material 19 to be vaporized. This embodiment allows the crucible 181 to be easily replaced during service of the vaporizer. Gas is also fed into the volume surrounding heat exchanger 21, to promote thermal conduction between the heat exchanger 21 and the housing 29 '. The heat exchanger 21 is shown as a water-fed coil, but may alternatively comprise a TE cooler, such as cooler 30 in Fig. 3A. Referring to Fig. 4, in operation of the ion source 1, an electron beam 32 is emitted from the cathode 33 and focused by the electron optics 34 to form a broad, collimated beam, consisting of dispersed electrons (preferably generally uniformly dispersed). The electron beam is wider perpendicular to the ion beam axis than it is along that axis. Fig. 4 illustrates the geometry of the ion source with the exit aperture plate 13 removed; the ion beam axis points out of the plane of the paper, see Fig. 4 A. The distribution of ions created by neutral gas interaction with the electron beam coπesponds generally to the defined profile of the electron beam.
The electron beam passes through a rectangular entrance port 35 in the ionization chamber and interacts with the neutral gas within the open volume 16, defined within the ionization chamber body 5. The beam then passes directly through a rectangular exit port 36 in the ionization chamber and is intercepted by the beam dump 11, which is mounted on the water-cooled mounting frame 10. Beam dump 11 is maintained at a positive potential relative to the electron gun, and preferably slightly positive relative to the walls of the ionization chamber as well. Since the heat load generated by the hot cathode 33 and the heat load generated by impact of the electron beam 32 with the beam dump 11 is substantial, their location outside of the ionization chamber open volume 16 prevents their causing dissociation of the neutral gas molecules and ions. The only heat load from these elements to the ionization chamber is limited to modest radiation, so the ionization chamber can be effectively cooled by thermal conduction to the vaporizer 2 (Fig. 3) or by conduction to a massive mounting block 5a (Figs. 3E, 9B). Thus, the general walls of the ionization chamber can be reliably maintained at a temperature below the dissociation temperature of the neutral gas molecules and ions. For decaborane, this dissociation temperature is about 350C. Since the ion exit aperture 37 in plate 13, shown in Figs. 4B, 5 and 6, is a generally rectangular aperture, the distribution of ions created adjacent to the aperture by the broad, collimated beam of generally uniformly dispersed electrons should be likewise uniform. In the ionization of decaborane and other large molecules, according to this embodiment, an arc plasma is not sustained, but rather the gas is ionized by direct electron-impact ionization by the primary (energetic) electrons, in the absence of containment by any major confining magnetic field. The absence of such magnetic field limits the charge-exchange interactions between the ions and relatively cool secondary electrons as they are not strongly confined as they are in an arc plasma (confined secondary electrons can cause loss of the ions of interest through multiple ionizations). The decaborane ions are generated in the widely distributed electron beam path. This reduces the local ion density relative to other conventional ion sources known in the art.
The absence of magnetic field can improve the emittance of the extracted ion beam, particularly at low (e.g., 5 keV) extraction energy. The absence of an arc plasma as in a Bernas source also can improve emittance since there is no plasma potential present in the ionization and extraction region. (I recognize that the presence of an arc plasma potential in conventional plasma-based ion sources introduces a significant random energy component to the ions prior to being extracted, which translates directly into an added angular spread in the extracted ion beam. The maximum angular spread θ
2 arcsin { φ / E } , where E is the beam energy. For example, for a plasma potential of 5eV and a beam energy of 5 keV, θ = 2.5 deg. In contrast, the random energy of ions produced by direct electron-impact ionization is generally thermal, much less than 1 eV.)
Fig. 4A shows a top view of the electron exit port 36 in the open volume 16 of ionization chamber body 5, and its proximity to the ion exit aperture 37 in aperture plate 13. To enable the ions to be removed from the ionization chamber by penetration of an electrostatic extraction field outside of the ion source 1 through the ion exit aperture 37, the electron beam 32 and electron exit port 36 are situated close to the exit aperture plate 13 and its aperture 37. For example, a separation of between 6mm and 9mm between the edge of the ionization region and the ion extraction aperture can result in good ion extraction efficiency, the efficiency improving with larger width extraction apertures. Depending upon the particular parameters chosen, the broad, collimated electron beam 32 may not fully retain its rectangular profile due to scattering, and also due to space charge forces within the electron beam 32. The electron exit port 36 is sized appropriately in accordance with such design choices to allow passage of the electron beam without significant interception by the general walls of the ionization chamber body 5. Thus, in certain advantageous instances, port 36 is larger than port 35 so that it is aligned to receive and pass at least most of the residual electron beam. The embodiment of Fig. 4B illustrates a discretely defined beam dump 11 ' which is sized and shaped to fit within port 36' such that its inner, electron receiving surface lies flush with the inner surface of the sunounding end wall of the chamber body 5. Beam dump 11 ' is mounted upon and is cooled by cooled frame 10, as before. As shown, a clearance space c, e.g., of lmm, is maintained between the beam dump structure and the wall of the chamber. Preferably, as shown, the structures are cooperatively shaped as in a labyrinth Ls to limit the outflow of the dopant gas or vapor, while maintaining thermal and electrical isolation of the dump structure 11 ' from the walls of the ionization chamber, maintaining electrical isolation of the beam dump 11' while preventing loss of dopant gas or vapor.
In the embodiment of Fig 4C electrical insulation Z fills the space between the beam dump and the wall of the ionization chamber, maintaining electrical isolation of the beam dump 11 ' while preventing loss of dopant gas or vapor.
Referring to Figs. 4D and 4E, a thermoelectrically or water-cooled outer housing Hc defines a space into which a chamber-defining member 5c of heat-conductive and electrically-conductive material is removably inserted with close operational fit. Gas inlets Gj introduce conductive gas of a subatmospheric pressure (e.g., between 0.5 and 5 Ton), that is significantly higher than that of the operational vacuum V0 within the overall ion source housing 49 which contains the ionization chamber assembly. The conductive gas (for example, N2, Ar, or He) is introduced to the interface If between matching surfaces of the housing and the chamber in regions remote from exposure of the interface to operational vacuum V0, and isolated from the vaporizer and process gas feed lines. In a prefeπed embodiment, the cooling gas is fed through an aluminum block or cooled housing and exits between the demountable ionization chamber and the block or housing, at the interface between them, into cooling channels machined into the aluminum block. The cooling channels have the form of linear grooves (e.g., lmm wide by 0.1mm deep) which populate a significant percentage of the surface area between the two mating components. This construction allows the flat mating surfaces (the grooved aluminum surface and the flat surface of the separate ionization chamber) of the two components to mate flush with one another. Simple elastomeric o-rings encompass the surface area which contains the cooling channel grooves, ensuring that the gas confined to the cooling channels is isolated from regions which contain feedthroughs and passages for process gas or vapor within this interface, and also isolates the cooling gas from the ionization volume and from the vacuum housing. The spacing between those surfaces and the pressure of the conductive gas in the interface are so related that the mean-free path of the conductive gas molecules is of the order of or less than the spacing of opposed surface portions at the interface.
The conductive gas molecules, by thermal motion, conduct heat across the interface from the chamber wall to the suπounding cooled housing elements. Any regions of actual physical contact between the solid material of the chamber body and of an outer housing element likewise promotes cooling by conduction. It is to be noted that the mode of conductive gas cooling described here does not depend upon convectional gas flow, but only upon the presence in the interface of the gas molecules. Therefore, in some embodiments, it may be prefened to form seals at the interface to capture the gas, as discussed above, although in other embodiments exposure of the interface at edges of the assembly with leakage to the operational vacuum V0 can be tolerated just as is the case with respect to cooling of semiconductor wafers as described, e.g., in the King U.S. patent 4,261,762.
In other embodiments, the cooling housing of the ionization chamber assembly or similar side wall elements of other structures of the ion source are water-cooled in the manner of cooling the mounting frame 10 as described herein. In some embodiments, depending upon the heat load on the ionization chamber, the heat conduction resulting from the inclusion of thermally conductive gasket seals, as well as regions of physical point contact between the matching surfaces of the chamber and housing elements is sufficient to keep the chamber within the desired temperature range, and the conductive gas-cooling feature described is not employed.
It is recognized that the heat-transfer relationships described here have general applicability throughout the ion source and the other structural components of the implanter as well. Thus, the temperature of the vaporizer may be controlled by the heat transfer from a disposable crucible to suπounding elements via gas conduction at an interface, for operating conditions which require less than, for example, 2W/cm2 of heat transfer through the gas interface. Likewise, surfaces of the electron gun, the electron beam dump, the mounting frame and the aperture plate may serve as conductors via a conductive gas interface to temperature-control elements such as the thermoelectrically or water-cooled housing that has been described, as illustrated in Fig. 4E.
Figs. 4F and 5 show different sizes of a broad, collimated electron beam passing through the ionization chamber, the profiles of these beams matched in profile to the wide and nanower apertures of the respective ionization chambers of Figs. 4F and 5.
Fig. 6 shows the ion exit aperture plate 13 with the axis of the ion beam directed normal to the plane of the paper. The dimensions of the exit aperture plate conform to the dimensions of the ionization chamber within body 5, approximately 7.6 cm tall 5.1 cm wide. The exit aperture plate contains an opening 37 which is approximately 5.1 cm in height, s, by 1.3 cm wide, r, suitable for high cuπent implanters, and has a bevel 38 to reduce strong electric fields at its edges. It is matched by a broad, collimated electron beam having width g of 19 mm and depth/, of 6 mm, cross-sectional area of 114 square mm. The aperture of the embodiment of Fig. 5, has similar features but a much nanower width, e.g. a width r1, 4 mm, matched by an electron beam of width g' 6 mm and a depth p1 of 6 mm.
Fig. 7 shows the shape of the cathode 33, or electron emitter. In a prefened embodiment, it defines a planar emitting surface, it's dimensions being roughly 15 mm long x 9 mm 3 mm thick. It can be directly heated by passing an electric cunent through it, or it can be indirectly heated, as shown, with an electric cunent flowing through filament 39 via leads 40, heating it to emit thermionic electrons 41. By biasing the filament 39 to a voltage several hundred volts below the potential of cathode 33, thermionic electrons 41 heat the cathode 33 by energetic electron bombardment, as is known in the art.
Fig. 8 illustrates the assembly of an ion source according to Fig. 3 A into a retrofit volume 60 of a previously installed ion implanter while Fig. 8 A illustrates the complete ion implanter.
In this particular embodiment nothing has been disturbed except that the Bernas ion source for which the implanter was originally designed has been removed and, into the vacated volume 60, the ion source of Fig. 3 A has been installed, with its flange 7 bolted to the ion source housing flange. The extraction electrodes 53 remain in their original position, and the new ion source presents its aperture 37 in the same region as did the arc discharge Bemas source. The magnet coils 54 are shown remaining, available e.g., for operation in reflex mode if desired, or for applying a containment field for electrons proceeding to the beam dump 11.
As shown in Fig. 9 the usual gas connections are made enabling dopant gases from sources 1, 2, 3, and 4 in the supply rack 76 of the gas box 70 to be connected via inlet conduit 74 and exhausted via conduit 72 to high vacuum system 78.
Long E-Beam Gun Retrofit Embodiment
Referring to Fig. 9, an extended E-Beam gun is uniquely associated with an ionization chamber. The gun has zoom optics, and comprises the following components: extended housing 79, feedthroughs 80, mounting flanges 81 and 81', cathode 82, extraction stage 83, collimation lens 84, zoom lens 85, and turning stage 87 comprising a 90 degree minor.
The long gun housing 79 lies along an axis A' parallel to the direction A of emission of the ion beam from the ion source, and within the retrofit space 60 of the previously installed implanter ion source. The housing extends from the feedthrough terminals 80, resident outside of the mounting flange 7 of the ion source, past a vacuum pump 58, terminating at mounting flange 81' and the main ion source mounting flange 7. The electron beam optics continue alongside the ion source block 5 to a point in registry with the electron inlet port 35 of the ionization volume 16.
The feedthroughs comprise appropriate fittings for the power and control lines for the cathode and other stages of the gun, and cooling water inlet and outlet for the housing, which is cooled, at least in the vicinity of the cathode. In an alternate embodiment, special cooling of the gun housing is not employed, the remoteness of the cathode, as shown in Fig. 9, ensuring that the ionization chamber 5 s not heated by the cathode, and any necessary cooling for protection of the vaporizer or operating personnel being achieved by conduction to water cooled mounting flanges or the like.
With significant cost and size efficiencies, the cathode 82 is of relatively small size in comparison to the profile dimension of the largest broad, aligned electron beam that is to transit the ionization volume 16. It is preferably a resistance-heated or indirectly heated, planar cathode emitter plate (such as plate 33 described above in connection with Fig. 7), made of lanthanum hexaboride (LaB6) or of refractory metal such as tantalum or tungsten, to emit a generally uniform stream of electrons to the high voltage electron extraction stage.
As shown in Fig. 9 A the ion source of Fig. 9 is retrofit into vacated volume 60 of a previously installed ion implanter. The compact nature and anangement of the ion source locates the prime heat source, the cathode, remotely from the ionization chamber 16 such that its heat does not contribute to disassociation of the fragile dopant molecules. In the case of Figs. 9 A and 9B, heat from the ionization chamber is conducted to the vaporizer and is controlled by its temperature control.
During operation, the vacuum pump 58 in the region of the cathode 82 intercepts back-streaming gas which has escaped from the ionization chamber 16 via the electron inlet port 35. This has the important advantage of protecting the remote cathode 82 from contamination, and enables a very extended cathode life, a feature which is especially important to enable use of the prefeπed LaB6 cathodes, which are particularly sensitive to degradation from chemically active species.
Water Cooled Block and Demountable Ionization Chamber
In the embodiment of Fig. 9B (see also Fig. 3E) the ionization volume 16' is defined by a demountable end module 5b which is mounted with conductive thermal contact on the end of solid mounting block 5a via thermally conductive seal 6".
For achieving demountability, the conductive seal 6" is compressed via metal screws through mating surfaces of the block 5a and the demountable end module 5b. This construction enables the member 5b defining the ionization chamber 16' to be removed from the block 5a and replaced with an unused member, advantageously of disposable construction. It also enables a different, and in some cases more efficient cooling of walls of the ionization chamber 16' than in previous embodiments. For construction of the demountable member, in addition to aluminum (which is inexpensive and less injurious to the wafers being implanted than molybdenum, tungsten or other metals if transported to the wafer in the ion beam), the ionization chamber member 5b and exit aperture plate 13 are advantageously constructed from graphite or SiC, which removes altogether the possibility of metals contamination of the wafer due to propagation from the ion source. In addition, demountable ionization chambers of graphite and SiC may be formed cheaply, and thus can be discarded during maintenance, being less expensive to be replaced than a one-piece structure.
In another embodiment, for conductively controlling the temperature of the block 5a and the chamber body 5b, they have mating smooth surfaces, the surface of the block containing machined cooling channels which admit conductive cooling gas between the block 5a and the chamber body 5b, so that that gas, introduced under vacuum, transfers heat by heat conduction (not convection) in accordance with the above description of Figs. 4D and 4E, and cooling techniques used for the different situation of cooling wafers that are being implanted, see King U.S. Patent 4,261,762. In this case, gaskets at the vapor and gas passages prevent mixing of the conductive heat transfer gas, such as argon, with the gas or vapor to be ionized.
As shown, block 5a is cooled by water passages 24a, either associated with its own thermal control system, Fig 3E, or, as shown, in Fig 9B, associated with the cooling system 24 that cools frame 10 on which the beam dumpl 1 is mounted. By being based upon heat conduction through solid members, water contact with the walls of the ionization chamber is avoided, making it uniquely possible to fabricate the ionization chamber of materials, such as low cost machined or molded graphite, which cannot conveniently be exposed to water. The remote location of the cathode and its heat effects combine with these mounting features to achieve desired cool-running of the ionization chamber.
Advantageous E-Beam Gun Features
Features of particularly prefened embodiments of the long E-Beam are shown in Fig. 10, with the extraction stage 83 shown in greater detail in Fig. 11. The extraction stage 83 is of cylindrical geometry, and comprises a cathode 82, a field shaping grid electrode 100, Wehneldt electrode 101, cylinder lens 102, and anode 103. Relative to the cathode potential Vc, the grid potential Vg is held, for example, at -2V < Vg < +4V and the anode potential VI is maintained at between about 200 and 1000 volts positive, depending on the desired electron energy at the exit of the extraction stage. The Wehneldt and cylinder potentials, Vw and Vs, respectively, are tuned so as to produce electron trajectories through the extraction stage which limit filling of its lenses, and limit the beam angle of the electron trajectories at the output of the extraction stage. In essence, the purpose of the extraction stage is to collect the thermionically emitted electrons from the directly heated cathode or from the emitter surface of an indirectly heated cathode, to provide a beam of significantly energized electrons in a beam with a desired regular profile, with a degree of uniformity of electron distribution and collimation that presents a good quality object for the downstream telescopic lens system shown in Fig. 10. Such tuning is shown in Fig. 12 for an extraction stage which was originally developed for low-energy positrons (see I. J. Rosenberg, A. H. Weiss, and K. F. Canter, Physical Review Letters 44, p. 1139, 1980). It is modified and used for forming a broad electron beam as part of the present invention. The original extraction stage described by Rosenberg et al. was essentially a 100% positron transmission stage designed for an extended, 10mm diameter positron emitter. In the present E-Beam gun, the extraction stage is scaled smaller, e.g. by a factor of 0.5 to accommodate a 5mm diameter cathode electron emitter with the aperture diameter of grid electrode 100 5mm and the sign of the electrode potentials reversed to make the structure suitable for extraction of electrons. With this scale factor, the electron extraction stage is approximately 27mm long, with the cylinder lens diameter being 17.5mm. In Fig. 11, typical dimensions may be:
Figure imgf000048_0001
d2 = 17.5mm 13 = 4.8mm d3 = 9.5mm 1,1 = 18mm
Where Vc = any range between -20 to -300 or -500 V, relative to Vch, the potential of the ionization chamber. Relative to Vc, then, the other voltage values for instance, may respectively range between:
-2V < Vg < 4V 0V < VW <500V 50V < VS < 500V 200V < VK 1000V
Other embodiments of the electron extraction stage are possible. In one embodiment, the emitting surface of the cathode 82 is moved forward to lie in the same plane as the grid 100, field shaping provided by the grid aperture not being employed. In this case, grid 100 is held at the same potential as cathode 82. Another advantageous embodiment of the extraction stage incorporates a Pierce geometry, in which the grid aperture is coplanar with the cathode, but the shape of the grid is conical, with sides inclined at an angle of 22.5°, conesponding to a cone angle of 135° (see J. R. Pierce, Theory and Design of Electron Beams, 2nd edition, Van Nostrand, New York, 1954). This electrode shaping advantageously counteracts the effects of electron space charge in the highly populated vicinity of the cathode.
In the presently prefeπed embodiment, the 5mm-diameter, circular thermionic cathode plate is heated to emit an average electron cuπent density of about 200 mA/cm2 from its face having an emitting area of 0.2 cm2, yielding 40 mA of electron cunent into the extraction stage. The extraction stage serves as an injection stage for the following lens system which comprises collimating lens 84 followed by zoom lens 85. In the prefeπed embodiment, these lenses comprise 17.5-mm-diameter ("D"), thin-walled metal cylinders, separated by gaps equal to 0.10 D. When differing potentials are applied to the thus separated cylinders, strong focusing fields are generated at the gaps, producing lensing effects.
Referring to Fig. 10, the collimating lens 84 is an asymmetric einzel lens, that is, it consists of three coaxial cylinders of length 2D, ID, and 2D at voltages VI, VI 2, and V3. VI is not equal to V3 (hence the Einzel lens is "asymmetric"). In general, the three elements (triplet) of each einzel lens acts as a single "thick" lens. In the case of collimating einzel lens 84, V2 > VI, and lens 84 acts as an accelerating lens. VI 2 is varied to adjust the focal length, hence the magnification of the triplet. Lens 84 also acts to limit overfilling of the cylinders by the electron beam, which can produce abeπations and beam loss. As described, collimating lens 84 presents an object to the downstream zoom lens 85 with appropriate beam characteristics to enable the zoom lens 85 to produce a collimated, variable-energy beam for passage into the 90° minor 87. In electron optics, a zoom lens accomplishes the function of changing the energy of the electron beam while maintaining the same object and image locations. A typical zoom lens is a three-element lens consisting of concentric hollow metal tubes in series held at voltages vl, vl2, and v2, respectively. Typically, the center element is shorter in length than the first and third elements (e.g., see lens 84 in Fig. 18). In this case, vl establishes the entrance energy, and v2 the exit energy. The ratio (v2/vl for acceleration, and vl/v2 for deceleration) is called the "zoom ratio". For a given value of vl and v2, the value of the center element voltage, vl2, is selected to maintain the focal lengths (and hence the object and image locations, P and Q, respectively) of the lens. Zoom lenses of this type are useable over a limited energy range (the "zoom range"). The five-element zoom lens 85 preferably employed in accordance with the present invention and illustrated in Fig. 13 is an extension of this concept. By adding additional lens elements, this compound lens offers the following expanded capabilities versus a three-element lens:
1) It can be operated over an extended zoom range, e.g., 20: 1 versus 5:1 for a three-element lens.
2) It can vary angular magnification and be operated in an "afocal mode", that is, by tuning the voltages so that the electron trajectories entering the lens are parallel upon exit, i.e., there is no real focus at the lens exit.
3) It can be operated as a "telescopic" lens, which produces a real image with a well-defined value of P and Q, but with variable linear magnification. For example, when AEL1 is a stronger focusing lens (shorter focal length) than AEL2, M>1; and when AEL2 is the stronger focusing lens, M<1.
4) The five-element lens can provide variable linear and angular magnification while also allowing zoom control, i.e., varying both energy and magnification.
For example, we refer to Figs. 13A through 13D, which show the five- element zoom lens as two three-element lenses, AEL1 and AEL2, in tandem. In general, the electron beam will be at different energies entering and exiting the zoom lens, as previously discussed. In addition, several modes of operation are illustrated by the figures. Fig. 13A shows the afocal mode, where the electron beam is well-collimated exiting the lens, coπesponding to an image at infinity. This mode is advantageous for collimating the beam prior to its entrance into a turning stage, such as the 90 degree minor 87 described with reference to Figs. 9, 9B and 10. It is also advantageous for injecting a well-collimated beam of the desired energy into the ionization chamber, to maintain the beam substantially parallel with an elongated extraction aperture. Fig. 13B shows the beam being focused to an image with unity magnification. This mode is desirable when a high degree of collimation is not necessary, and preservation of the beam characteristics at the object location is desired at the image location, for example, when the object dimension is appropriate for the size of the beam profile in the iomzation chamber when the zoom lens is being used primarily for modifying the energy of the electron beam. Fig. 13C shows the beam being focused to an image smaller than the object, which is appropriate for injection into a minor or into the ionization chamber when counteraction of space charge forces in the electron beam is desired, to prevent the beam from expanding overmuch, as when the zoom action is employed to decelarate the beam. This mode is also advantageous for producing a nanow cross-section electron beam in conjunction with a nanow ion extraction aperture, e.g., in a medium or low cunent ion implanter. Fig. 13D shows the beam being focused to an image larger than the object. This mode is advantageous to expand the electron beam prior to injection into the ionization volume to provide a large cross-section ionization region, as in the case of a wide ion extraction aperture in a high-cuπent ion implanter.
In conjunction with the input collimating lens 84, the lens system can exercise control of linear and angular magnification, energy, and image location over a wide range, more than sufficient for the needs of the present invention.
The zoom lens 85 is comprised of two asymmetric einzel lenses in tandem, einzel lenses 104 and 106 in Fig. 10, and AELl and AEL2 in Fig. 13. The zoom lens 85 is a five-element lens, with its center (third) element, 3D length, serving as an element of each of the tandem einzel lenses. Fig. 13 shows an Object and Image for AELl (the Image is an Object for AEL2) which results in a final image at infinity, producing collimated electron trajectories. Zoom lens 85 is capable of being operated as an afocal lens by setting its element voltages such that the second focal point of AELl and the first focal point of AEL2 overlap. In this mode, the zoom lens 85 is telescopic; parallel electron trajectories entering the lens are also parallel upon exiting. In the case, however, that V2 > V3 > V4, the zoom lens advantageously decelerates the electrons over a wide energy range, and can still retain its telescopic properties if the voltage differences, i.e. V23 and V34, are adjusted appropriately. A positron lens structure of the type shown in Fig. 11, is shown in T. N. Horsky, Ph.D. thesis, Brandeis University Dept. of Physics, Semiconductor Surface Structure Determination via Low Energy Positron Diffraction: Cleavage Faces ofCdSe, UMI Pub # 9010666, Chapter 3, 1988. Fig.14, taken from that thesis, shows an example of a decelerating operating mode, in which lens element potentials V,- are expressed in kinetic energy units, i.e., kinetic energy = e |(V,- - Vc)|. The positron beam entered the zoom lens at 1 keV, and decelerated to a beam energy of 75 eV upon exiting AELl (i.e., within lens element V3). The plot shows how V34 was varied as a function of positron final beam energy to maintain a collimated output, for a final beam energy range between 5 eV and 250 eV. The plot is indicative of plots obtainable with the similar electron beam lens structure presented here.
In the present novel embodiment, the collimating electron lens 84 is tuned in conjunction with the zoom lens 85 to vary linear magnification as well as final electron beam energy. Thus, a variable-energy, variable-diameter electron beam can be generated with the lens system depicted in Fig. 10, with the advantage of copious electron production enhanced by the acceleration geometry, while achieving lower final electron energy appropriate for interaction with dopant feed material, e.g., with decaborane, by use of the deceleration stage.
Prior to entering the ionization volume 16 of ionization chamber block 5, the electron beam produced by the gun of Fig. 10 is turned through 90°. The turning stage 87 can be of various known forms, e.g., two related and coaxial partial cylinders (i.e., a radial cylindrical analyzer), formed into respectively inside and outside sides of an elbow that bends the electron optical axis, the partial cylinder that lies on the inside of the curved axis being maintained at a more positive potential than the partial cylinder lying on the outside of the curved axis. These cooperate to turn the beam 90 degrees according to known electron path bending techniques.
A minor defined by two flat or cylindrically curved plates (i.e., either a parallel plate or cylindrical minor analyzer) whose axis is oriented 45° from the zoom axis to result in a 90° deflection at the exit of the minor, can also be employed to occupy a smaller space within the retrofit volume. It is presently prefened, however, that the described radial cylindrical analyzer be employed with the advantage of achieving two dimensional transformation of the beam to the new path through the ionization space 16 of the ionization chamber 5, thus preserving the pre-established beam profile with high transmission.
After turning, the beam passes through a limiting aperture 10' which is advantageously rectangular, and enters the ionization chamber 5 via the electron entrance port 35. Limiting aperture 10' is constructed to be replaceable in coordination with replacing the ion extraction aperture, typically the wider the ion extraction aperture, the larger is the conesponding dimension of the selected electron limiting aperture 10'.
In operation with the turning minor, at low electron energies, space charge forces can affect control of the electron beam. According further to the invention, two different modes of using the long E-Beam gun with a 90 degree turning minor are provided, that successfully deal with this.
E-Beam Mode 1 : The deceleration capabilities of the zoom system are employed in conjunction with the acceleration capabilities of the preceding collimating lens, to provide an acceleration-deceleration mode of operation. For instance, the lens voltages are coordinated to cause the system to zoom down from, e.g., one keV at the entrance to the zoom system to 100 eV at its exit. Because the beam expands due to the deceleration, some electrons of the beam may be lost within the minor, but this is readily acceptable where low cuπent, low energy injection into the ionization volume 16 is desired. For example, the system is operable at cuπents less than 5 mA at 100 eV, or at higher energies. As the final energy of the electrons goes up, the electron cuπent increases. The electron beam in this case can be well collimated and be aligned with a relatively small area beam dump.
E-Beam mode 2: In this case, the electrons are transported at high energy throughout the E-Beam gun and minor, and a deceleration stage 88 is interposed between the exit aperture of the minor and the entrance of the ionization volume 16. Because the beam is collimated at high energy, the electron optics perform without detrimental space charge effect, delivering a well-collimated beam sized for the minor.
Following the minor, the beam is caused to decelerate abruptly as it enters the ionization chamber, to expand with the electron trajectories confined to a conical, gradually expanding volume. In this case, electron cunents of 20 mA or more, for example, may be obtained. As the beam expands, since the electron trajectories remain generally straight, the beam can be intercepted by a beam dump 11 of larger area than in mode 1. Along the ionization path in this case, those electron trajectories which diverge to pass more closely to the aperture are somewhat offset by those which diverge further from the aperture so that total ions extracted along the aperture need not vary in density to an unacceptable degree along the length of the aperture. For this mode of operation, having the beam dump area large (with the beam dump in close proximity to the wall of the ionization chamber to limit gas conductance), the beam dump is sized still to align with the somewhat diverging electron paths so that substantially all electrons of the E- Beam from the minor are intercepted by the cooled beam dump.
In the case an elongated electron gun is mounted with its axis aligned with the ionization path through the chamber (no minor employed), minor loss of the beam can be avoided, and a collimated electron beam, produced as in mode 1, can be maintained through the ionization chamber, at a larger electron cuπent.
The operation of such systems have numerous advantages under conditions of operation appropriate to producing the ion beams illustrated in different circumstances such as shown in Figs. 4D and 5. The system can produce different size profiles of the broad area beams aligned with the beam dump, and different electron densities suitable for respectively different situations over a wide range of prefeπed operation, e.g. over a zoom ratio of 15 to 1. Cost efficiency, space efficiency and thermal advantages especially result by use of a relatively small cathode, while achieving a relatively broad and controlled-energy beam. The system is useful, first with respect to decaborane at electron beam energies of between about 20 to 150 eV, and with many important or novel other species. The different energy regimes up to, e.g. 300 or 500 eV can enable the system to operate, in broad, aligned electron beam mode with respect to all species? (including the fluorides for small, but highly pure beams). In a specially constructed multi-mode ionization system, the system can be switched to a reflex ionizing mode for some species (e.g. hydrides and fluorides) using a confining magnetic field. It can also be operated to produce doubly charged phosphorus or arsenic, and triply charged species.
Electron Injection for High Cunent Applications
For some ion implant applications, it is desired to obtain an ion cuπent approaching the highest ion cuπents of which the technology is capable. This depends critically on the value of electron beam cuπent traversing the ionization chamber, since the ion cuπent produced is roughly proportional to the value of this electron cuπent. The electron cuπent injected into the ionization chamber is limited by the effects of space charge forces that act on the electron trajectories within the electron gun optics and the ionization chamber. In the space charge limit, these forces can add an increased width to a tightly focused beam waist produced by a lens, and can introduce an increased angular divergence to a beam as it diverges downstream of the waist.
I note the relevance here of the principle that the maximum electron cuπent which can be transported through a tube of diameter D and length L can be produced by focusing the beam on a point at the center of the mbe with an angle α = D/L expressed in radians. In such case, the maximum cuπent is given by:
(1) Imax = 0.0385 V3/2 , where Imax is the electron cunent measured in mA, and V is the voltage in volts coπesponding to electrons of energy E = eV, where e is the electronic charge. Also, in this example the minimum waist diameter w is given by w = 0.43 D. Inserting α = 15° and V=100V into equation (1) yields Imax = 10mA, whereas inserting α = 5° and V=1000V yields Imax = 106mA.
By interpreting α as the angular divergence induced by space charge forces, these examples demonstrate the advantage achieved by the novel embodiment of Fig. 18 which transports the space charge-limited beam at high energy and achieves a large injected electron cunent at desired lower energy.
The gun of Fig. 18 is similar to that of Fig. 10, but has important differences: 1) instead of the zoom lens 85, a double-aperture lens 88 is employed, which terminates at the entrance port of the ionization chamber and 2) no minor 87 is used, the gun being mounted coaxial with the long axis of the ionization chamber. In a prefeπed embodiment having these features, large-diameter tubes (approximately 2.5 cm diameter) are used to limit lens filling, and hence beam loss due to abeπations. The gun is kept short by using the collimating lens to present the desired beam characteristics to the final double- aperture lens (DAL) for injection into the ionization chamber. Provisions are made so that the electron gun voltages (Vg, Vw, Vs, VI, VI 2, all referenced to the cathode voltage Vc) are tunable to give the best performance in terms of beam cuπent, angular divergence, and beam diameter appropriate to a given application, and will operate at fixed values, with a beam energy Ej at the exit of collimating lens 84 (i.e., Ej = e [V2- Nc]) between 750 eN and 1250 eN. Thus, the wide-range zoom capability provided by the gun of Fig. 10 is not required; the tetrode extraction gun 83 in combination with the three-element collimating lens 84 provides sufficient flexibility to control and to properly determine the electron beam characteristics. The DAL then functions as a strongly focusing decelerating lens, with the desired electron energy within the ionization chamber being given by Ef = e [Ncrι- Vc], where Vcn is the ionization chamber potential (when Vcrι is referenced to earth ground, it is the ion beam accelerating potential Va). For example, with Ej = lOOOeV and Ef = lOOeV, the DAL is a 10:1 decelerator.
In the presently prefeπed design of the DAL, it is comprised of two flat plates with equal diameter circular apertures of diameter D'. The plates (of thickness 0.1 D') are separated by a uniform distance D72, and are constructed of vitrified graphite, silicon carbide, or aluminum to eliminate transition metal contamination due to beam strike on the apertures which could result if tantalum, molybdenum, or stainless steel electrodes were used. For example, values of D'=1.2 cm ± 0.6 cm will accommodate much of the useful range of this lens. Importantly, since one plate of the DAL is tied to V2 and the second plate is tied to Vcn, the addition of this lens does not require a further power supply. The DAL serves two useful purposes: 1) it accomplishes deceleration of the electron beam, in a controlled and well-defined manner, to the selected value of Ef necessary to maximize ionization efficiency of the particular dopant feed gas of interest, and 2) it provides strong focusing of the electron beam to counteract space charge effects which would otherwise dominate the spreading of the electron trajectories within the ionization volume. I further recognize the advantage here of the principle that, in order to maximize the electron cuπent through a tube, the beam should be focused at the center of the tube length. According to the present invention, when injecting the space charge-limited electron beam into the field-free volume of the ionization chamber, the spreading of the beam is mimmized by focusing the beam at the center of the volume's length. In the case of an ion source according to Fig. 18, the nominal focus is located a distance of about 4 cm from the principal plane of the DAL. The optics for this are shown in more detail in Fig. 18 A. An object O' is presented to the DAL by the upstream lens, and a conesponding image I' of this object is produced by the DAL. The values used for this model are: V2/Vcn = 10, D' = 1.27 cm, object distance P = 4.8D', image distance Q = 3D', linear magnification M = 1.0 (taken from E. Halting and F.H. Read, Electrostatic Lenses, Elsevier, New York, 1976). Thus, in this embodiment the electron beam is focused to an image point 3.8 cm from the principal plane of the DAL, approximately in the center of the length of the ionization chamber. By varying the lens ratio V2/VCh and/or changing the position of the object, the location of this image point can be moved to optimize the performance of the ion source in relation to other operating parameters (for example, the image can be moved further downstream, so that the minimum waist diameter of the beam, i.e. the circle of least confusion, falls near the center of the chamber). The maximum extent of the space charge spreading of the beam may be estimated through use of equation (1), reaπanging it as below: (2) D = 5.1 L Imax m V where D is the diameter intercepted by the electron flux, and L is the length of the ionization chamber (approximately 7.6 cm). Substitution of ImaX = 20mA and V=100V yields D = 5.5 cm, as does substitution of Imax = 40mA and V=168V. Indeed, in practice, the space charge spreading in the ionization chamber will be less than approximated by equation (2) due to the space-charge compensation provided by the positively-charged ions which are abundantly present in the ionization volume. Fig. 18 and Fig. 18A employ an enlarged electron exit port and beam dump 36 to intercept the vast majority of the electrons in the beam. By keeping the separation between the beam dump 11 and the ionization chamber small, the gas flow out of the ionization volume through the exit port 36 can be small. Several advantageous features of the ionization chamber and ion extraction aperture are also shown in Fig. 18 A: 1) a counterbore is provided in the chamber wall to receive the thin aperture plate in such a way as to maintain a uniformly flat profile, to establish a uniform electric field between the aperture plates; 2) the ion extraction aperture 37' is moved closer to the center of the chamber (by up to about 8mm, or 25% of the width of the chamber) for more efficient removal of ions by the extraction field of the extraction optics, and a shorter ion path through the ionization volume which reduces the probability of ion-neutral gas collisions, resulting in an asymmetric location in the chamber of the electron entrance-exit axis; 3) the ion extraction aperture plate is biased to a negative voltage VE (where -25V < VE < 0V) with respect to the ionization chamber to further increase the drift velocity of the ions, and hence the maximum obtainable cunent in the resulting ion beam.
Referring to the embodiment of Figs. 19-19B, biasing of the aperture plate is accomplished by forming it of an insulating material such as boron nitride, coating the exterior and interior surfaces which are exposed to the ions with an electrically conductive material such as graphite, and electrically biasing the conductor.
In other embodiments insulator standoffs are employed, see Fig. 19C, to join the electrically conductive extraction aperture plate to the chamber while maintaining its electrical independence. In embodiments of this feature, gas loss from the ionization chamber at the edges of the aperture plate can be minimized by interfitting conformation of the edges of the electrically isolated aperture plate and the body of the ionization chamber (involuted design) to effect labyrinth seal effects such as described in relation to Fig.4B.
In accordance with the embodiment of Figs. 20A, B and C, an electrically conductive aperture plate insert is mounted in an electrically insulating frame which holds the aperture plate in place, and provides an electrical contact to the insert.
The embodiment facilitates change of aperture plates in accordance with changes of the type of implant run. In some embodiments thermoelectric coolers may be associated with the aperture plates to keep them from over-heating. In other embodiments, an extension of cooled frame 10 or a separate cooled mounting frame is employed to support the aperture plate. Retrofit Embodiment of High Cuπent Source
Fig. 18B shows the introduction of the embodiment of Fig. 18 and Fig. 18A into the ion source housing of a retrofitted implanter. Preferably the electron gun is mounted at the top, as shown. To implement this geometry into an existing implanter, a new ion source housing is provided, constructed in accordance with typical Bernas ion source considerations, (it can receive a Bemas ion source if ever desired), but the housing is modified at the top to receive the electron gun. In another case the existing ion source housing is modified, e.g. by the removal of the magnet coils 54 and the insertion of a vacuum port at the top of the housing to receive the flange-mounted, vertical electron gun assembly.
Since the implementation of an external, axial magnetic field can in certain cases be useful, a small pair of magnet coils is provided, as also shown in Fig. 18B. The electron gun as shown here, is mounted coaxially within one of those coils in a space efficient and uniquely cooperative aπangement.
When these magnet coils are energized, the resultant axial magnetic field can confine the primary electron beam (both within the electron gun and in the ionization chamber) to a nanowed cross-section, to reduce the spreading of the electron beam profile due to space charge, and increasing the maximum amount of useful electron cunent which can be injected into the ionization volume. For example, a magnetic flux density of 70 Gauss will act to confine lOOeV electrons within the ionization volume to a column diameter of about 1 cm. Since the electron emitter of this long electron gun is remote from the ionization chamber, it will not initiate an arc discharge, while, depending on the strength of the external magnetic field, it will provide a low-density plasma within the ionization region. By controlling this plasma to a low value, multiple ionizations induced by secondary electron collisions with the ions can be controlled to acceptable levels in certain instances. Furthermore, it is realized that the presence of the low-density plasma, in some instances, can enhance the space charge neutrality of the ionization region, and enable higher ion beam cuπents to be realized. In a multi-mode embodiment, larger magnets are employed in the relationship shown in Fig. 18B to enable larger magnetic fields to be employed when operating in reflex mode, or when a Bemas arc discharge source is desired to be used.
Universal Ion Source Controller
A universal controller for the ion source of the invention uniquely employs the user interface that is used with arc discharge ion sources such as the Bemas and Freeman types. Fig. 15 shows, in diagrammatic form, a typical control system 200 for operating a Bemas type ion source. The operator for such existing machines programs the implanter through an Operator Interface 202 (OI), which is a set of selectable graphical user interfaces (GUI's) that are selectively viewed on a computer screen. Certain parameters of the implanter are controlled directly from the OI, by either manually inputting data or by loading a predefined implant recipe file which contains the desired parameters that will run a specific implant recipe. The available set of GUI's includes controls and monitoring screens for the vacuum system, wafer handling, generation and loading of implant recipes, and ion beam control.
In many implanter systems, a predetermined set of ion source parameters is programmable through the Beam Control Screen of the OI represented in Fig. 15, including user-accessible setpoint values for Arc Cunent, Arc Voltage, Filament Cuπent Limit, and Vaporizer Temperature. In addition to these setpoints, the acmal values of the same parameters (for example, as indicated by the power supply readings) are read back and displayed to the operator on the OI by the control system.
Many other parameters that relate to the initial set up of the beam for a given implant are programmed and/or displayed through the Beam Screen GUI, but are not considered part of the operator's ion source control. These include beam energy, beam cunent, desired amount of the ion, extraction electrode voltages, vacuum level in the ion source housing, etc.
As indicated in Fig. 15, a dedicated Ion Source Controller 204 reads and processes the input (setpoint) values from the OI, provides the appropriate programming signals to the stack of power supplies 206, and also provides read backs from the power supplies to the OI. A typical power supply stack 206 shown in Fig 15, includes power supplies for the Arc, Filament, and Vaporizer Heater, power supplies 208, 210 and 212, respectively. The programming and power generation for the Source Magnet Cuπent may be provided in the screen, but is typically provided separate from the Ion Source Controller in many machines of the presently installed fleet.
Fig. 15a shows the same elements as Fig. 15, but for a Bernas-style ion source of the kind which uses an indirectly-heated cathode (IHC). Fig. 15a is identical to Fig. 15, except for the addition of a Cathode power supply 211, and its read back voltage and cuπent. The additional power supply is necessary because the IHC (indirectly heated cathode element) is held at a positive high voltage with respect to the filament, which heats the IHC by electron bombardment to a temperamre sufficient that the IHC emits an electron cuπent equal to the Arc Cuπent setpoint value provided through the OI. The arc control is accomplished through a closed-loop control circuit contained within the Ion Source Controller.
Fig. 16 shows diagrammatically the functional design of the Electron Beam Ion Source Controller 220 of the present invention. Control of electron cuπent from the electron gun directed to the beam dump 36 is accomplished by a closed-loop servo circuit within the controller 220 which adjusts the electron emitter temperature and the electron gun grid potential to maintain the desired electron cuπent setpoint. The Controller 220 is designed to be retrofittable into a typical existing implanter, both functionally and mechanically, and to do so with essentially no change to the controls software of the implanter. In order to achieve mechanical retrofittability, the Controller electronics 220 and Ion Source Power Supplies 207 occupy a similar physical volume in the gas box as did the existing Bemas Ion Source Controller 204 and Power Supplies 206. In order to preserve the integrity of the implanter' s existing controls software, the Controller 220 is constructed to accept the existing inputs from the OI 202 and to provide the read backs expected by the OI. Thus, the operator can program the Ion Source 1 of the present invention from the OI in the manner to which the operator has long been accustomed, without change. This functionality is accomplished by a configurable Universal Translator circuit board 222 contained within the Controller 220, which accepts analog or digital inputs from the OI 202, and converts these inputs to the appropriate programming signals for the control of the Electron Beam of the ion source 1 of the present invention. This signal processing includes, as appropriate, digital-to-analog conversion, 16 bit digital-to-20 bit-digital conversion, analog-to-digital conversion, signal inversion, and multiplication of the signal by a scale factor, for example, depending upon the type and manufacturer of the installed ion implanter into which the broad, aligned electron beam ion source is to be retrofit. In like manner, the configurable Universal Translator 222 then processes the read back signals provided by the Electron Beam Power Supplies 207, and reports back to the OI 220 in the digital or analog format expected by the OI. The configurable Universal Translator 222 is also configurable to the specific number and kinds of outputs required by the installed implanter control system, for example to differentiate between a Bemas source and an IHC Bemas source, which requires extra read back channels for cathode voltage and cuπent and a different scale factor for the cathode cuπent limit setpoint vis-a-vis the Bemas and Freeman ion sources. The configurable Universal Translator 222 accomplishes this by substituting the control variables as indicated in Fig. 16, and as also shown in Table II below, for the case of a directly heated cathode electron gun in the E-Beam ion source of the invention. In the case of the system being retrofit to replace an IHC Bemas source, the two variables in the screen related to cathode voltage and filament cuπent are assigned the optional values of anode voltage and cathode heating cuπent. In the case of an indirectly heated electron source being used in a retrofit E-Beam ion source according to the invention, the values of its cathode voltage and heating filament cuπent can be substituted for the optional values listed.
Table II : Controls Variables
Figure imgf000062_0001
Figure imgf000063_0001
* optional
Additional electron beam control settings, for example many of the lens voltages shown in Fig. 11, are not accessible to the user through the OI, but must be preset at the Controller. Some of these voltage settings are accessible manually through potentiometers on the front panel (which provides visual read backs through panel- mounted meters while others (for example, Vg and Vw of the long extraction gun and V3 and V34 of the zoom lens) are automatically set through firmware-based lookup tables resident in the Controller electronics.
In general, the arc control of Bemas, Freeman, and IHC Bemas sources are accomplished through similar means, namely by on-board closed-loop control circuits contained within the Ion Source Controller. In order to physically retrofit the ion source of an existing ion implanter with an ion source of the present invention, the original ion source is removed from the source housing of the implanter, the power cables are removed, and the Ion Source Controller 204 and the power supplies 206 or 2061, i.e. the Filament Power Supply, Vaporizer Power Supply, Arc Power Supply, and Cathode Power Supply (if present) are removed from the gas box of the implanter. The Electron Beam Ion Source 1 of the present invention is inserted into the retrofit volume of the implanter, and the Electron Beam Ion Source Controller 220 and associated Power Supplies 207 are inserted into the vacated volume of the gas box. A new set of cables is connected. The desired mechanical configuration of the ion source is prepared prior to installation into the source housing of the implanter. For example, for decaborane production, a large width ion extraction aperture and a large dimension limiting aperture at the exit of the electron gun can be installed, to provide a large ionization volume. Additionally, if the implanter has installed a variable- width mass resolving aperture 44, the width of that aperture may be increased in order to pass a larger mass range of decaborane ions. Otherwise, the set-up proceeds in a conventional manner, modified according to the various features that are explained in the present text.
In addition to the electron beam controls that have just been explained, a temperature control mechanism is provided for the vaporizer 2. The vaporizer is held at a well-defined temperature by a closed-loop temperature control system within the Controller 220. As has been explained above, the closed-loop temperamre control system incorporates PID (Proportional Integral Differential) control methodology, as is known in the art. The PID controller accepts a temperature setpoint and activates a resistive heater (which is mounted to a heater plate in contact with the water bath (see Fig. 3), or in heat transfer relationship with the mass of the vaporizer body 29 (Fig. 3 A) to reach and maintain its setpoint temperature through a thermocouple read back circuit. The circuit compares the setpoint and read back values to determine the proper value of cuπent to pass through the resistive heater. To ensure good temperamre stability, a water-cooled heat exchanger coil 21 is immersed in the water bath (in the case of the water-cooled vaporizer of Fig 3), or a thermoelectric (TE) cooler 30 (in the embodiment of a solid metal vaporizer of Fig. 3 A), or a heat-exchanger coil suπounded by heat-conducting gas (in the embodiment of a vaporizer utilizing pressurized gas to accomplish thermal conduction between the various elements as in Fig. 3F) to continually remove heat from the system, which reduces the settling time of the temperature control system. Such a temperature control system is stable from 20C to 200C. In this embodiment, the flow of gas from the vaporizer to the ionization chamber is determined by the vaporizer temperature, such that at higher temperatures, higher flow rates are achieved. A similar temperature control system can be employed to control the temperamre of conductive block 5a of Figs. 3E or 9B.
As has also previously been explained, in another embodiment a different vaporizer PID temperature controller is employed. In order to establish a repeatable and stable flow, the vaporizer PED temperature controller receives the output of an ionization- type pressure gauge which is typically located in the source housing of commercial ion implanters to monitor the sub-atmospheric pressure in the source housing. Since the pressure gauge output is proportional to the gas flow into the ion source, it output can be employed as the controlling input to the PID temperamre controller. The PID temperature controller can subsequently raise or diminish the vaporizer temperature, to increase or decrease gas flow into the source, until the desired gauge pressure is attained. Thus, two useful operating modes of a PID controller are defined: temperature-based, and pressure-based.
Referring to Fig. 16B, in another embodiment, these two approaches are uniquely combined such that short-term stability of the flow rate from the vaporizer is accomplished by temperature programming alone, while long-term stability of the flow rate is accomplished by adjusting the vaporizer temperature through software to meet a pressure setpoint which is periodically sampled. The advantage of such a combined approach is that, as the solid feed material is consumed by vaporization, the temperature is slowly raised by software control to compensate for the smaller flow rates realized by the reduced surface area of the material presented to the vaporizer, in accordance with pressure sensed by the pressure gauge in the source housing. In Fig 16B the ionization gauge 300 which monitors pressure within the ion source housing is the source of an analog pressure signal applied to an analog to digital converter, ADC. The digital output is directed to the CPU which, under software control, evaluates the drift of pressure over time, and introduces a gradual change in temperature setting to stabilize the pressure in its optimal range.
In the embodiments of. Figs. 3 and 3 A, temperamre of the ionization chamber is controlled by the temperamre of the vaporizer. Temperature control for the embodiments of Fig. 3E, 9B and 18B is achieved by a separate temperature sensing and control unit to control the temperamre of the metal heat sink by use of a heat transfer medium or thermoelectric coolers or both.
Calculations of Expected Ion Cuπent
The levels of ion cuπent production that can be achieved with this new ion source technology are of great interest. Since the ion source uses electron-impact ionization by energetic primary electrons in a well-defined sizeable ionization region defined by the volume occupied by the broad electron beam in traversing the ionization chamber, its ion production efficiency can be calculated within the formalism of atomic physics: (3) I = I0 f l - exp {- l s}J, where Io is the incident electron cuπent, I is the electron cuπent affected by a reaction having cross section s, n is the number density of neutral gas molecules within the ionization volume, and is the path length. This equation can be expressed as follows:
(4) /= l - exp {-L spl} , where/is the fraction of the electron beam effecting ionization of the gas, _. is the number density per Ton of the gas molecules at 0C (=3.538 x 1016 Toπ 'cm"3), s is the ionization cross section of the specific gas species in cm , and pi is the pressure-path length product in Ton-cm.
The peak non-dissociative ionization cross section of decaborane has not been published, so far as the inventor is aware. However, it should be similar to that of hexane (C6H14), for example, which is known to be about 1.3 lO"15 cm2. For an ion source extraction aperture 5 cm long and an ionization chamber pressure of 2 10"3 Ton, equation (2) yields/= 0.37. This means that under the assumptions of these calculations described below, 37% of the electrons in the electron cuπent produce decaborane ions by single electron collisions with decaborane molecules. The ion cuπent (ions/sec) produced within the ionization volume can be calculated as:
Figure imgf000066_0001
where -/,_„ is the ion cuπent, and Ieι is the electron cunent traversing the ionization volume. In order to maximize the fraction of ion cuπent extracted from the ion source to form the ion beam, it is important that the profile of the electron beam approximately matches in width the profile of the ion extraction aperture, and that the ions are produced in a region close to the aperture. In addition, the electron cuπent density within the electron beam should be kept low enough so that the probability of multiple ionizations, not taken into account by equations (3) and (4), is not significant.
The electron beam cuπent required to generate a beam of decaborane ions can be calculated as:
Figure imgf000066_0002
Given the following assumptions: a) the decaborane ions are produced through single collisions with primary electrons, b) both the gas density and the ion density are low enough so that ion-ion and ion-neutral charge-exchange interactions do not occur to a significant degree, e.g., gas density < 1014 cm"3 and ion density < 1011 cm"3, respectively, and c) all the ions produced are collected into the beam. For a 1 mA beam of decaborane ions, equation (6) yields Ieι = 2.7 mA. Since electron beam guns can be constructed to produce electron cuπent densities on the order of 20mA cm2, a 2.7 mA electron beam cuπent appears readily achievable with the electron beam gun designs described in this application.
The density of primary electrons ne within the ionization volume is given by:
(7) ne = Je /e ve , where e is the electronic charge (= 1.6 10"19 C), and ve is the primary electron velocity. Thus, for a 100 eV, 20 mA electron beam of 1 cm2 cross-sectional area, conesponding to a relatively wide ion extraction aperture as illustrated in Fig. 4F, equation (7) yields ne ~ 2 x 1010 cm'3. For a nanow extraction aperture, as illustrated in Fig. 5, a 100 eV, 20 mA of 0.4 cm2 cross-sectional area would provide an electron
1 Ω " • density ne ~ 5 10 cm" . Since the ion density, n, ,within the ionization volume will likely be of the same order of magnitude as ne, it is reasonable to expect «, < 10u cm'3. It is worth noting that since ne and «,• are expected to be of similar magnitude, some degree of charge neutrality is accomplished within the ionization volume due to the ionizing electron beam and ions being of opposite charge. This measure of charge neutrality helps compensate the coulomb forces within the ionization volume, enabling higher values of ne and n„ and reducing charge-exchange interactions between the ions.
An important further consideration in determining expected extraction cunent levels from the broad, collimated electron beam mode is the Child-Langmuir limit, that is, the maximum space charge-limited ion cunent density which can be utilized by the extraction optics of the ion implanter. Although this limit depends somewhat on the design of the implanter optics, it can usefully be approximated as follows: (8) Jmax = 1.72 (Q /A) U 3/2 d -2 , where Jmax is in mA/cm2, Q is the ion charge state, A is the ion mass in amu, U is the extraction voltage in kV, and d is the gap width in cm. For BιoHx + ions at 117 amu extracted at 5 kV from an extraction gap of 6 mm, equation (6) yields Jmax = 5 mA/cm2. If we further assume that the area of the ion extraction aperture is 1 cm2, we deduce a Child-Langmuir limit of 5 mA of BιoHx + ions at 5 keV, which comfortably exceeds the extraction requirements detailed in the above discussion.
Ion Extraction Aperture Considerations for the Broad, Aligned Beam Electron Gun Ion Source
It is realized, that for the broad electron beam ion source of the present invention, it is possible to employ a larger width ion extraction aperture than typically employed with high cuπent Bemas arc discharge sources. Ion implanter beam lines are designed to image the extraction aperture onto the mass resolving aperture, which is sized to both achieve good transmission efficiency downstream of the mass resolving aperture, and also to maintain a specified mass resolution R (≡M/ΔM, see discussion above). The optics of many high-cuπent beam lines employ unity magnification, so that, in the absence of abeπations, the extent of the ion extraction aperture as imaged onto the resolving aperture is approximately one-to-one, i.e., a mass resolving aperture of the same width as the ion extraction aperture will pass nearly all the beam cuπent of a given mass-to-charge ratio ion transported to it. At low energies, however, space charge forces and stray electromagnetic fields of a Bemas ion source cause both an expansion of the beam as imaged onto the mass resolving aperture, and also a degradation of the mass resolution achieved, by causing significant overlap of adjacent beams of different mass- to-charge ratio ions dispersed by the analyzer magnet.
In contrast, in the ion source of the present invention, the absence of a magnetic field in the extraction region, and the lower total ion cuπent level desired, e.g. for decaborane relative say to boron, uniquely cooperate to produce a much improved beam emittance with lower abeπations. For a given mass resolving aperture dimension, this results in higher transmission of the decaborane beam through the mass resolving aperture than one might expect, as well as preserving a higher R. Therefore, the incorporation of a wider ion extraction aperture may not noticeably degrade the performance of the beam optics, or the mass resolution of the implanter. Indeed, with a wider aperture operation of the novel ion source can be enhanced, 1) because of the greater openness of the wider aperture, the extraction field of the extraction electrode will penetrate farther into the ionization volume of the ionization chamber, improving ion extraction efficiency, and 2) it will enable use of a relatively large volume ionization region. These cooperate to improve ion production and reduce the required density of ions within the ionization volume to make the ion source of the invention production worthy in many instances.
Care can be taken, however, not to negatively impact the performance of the extraction optics of the implanter. For example, the validity of equation (8) can suffer if the extraction aperture width w is too large relative to the extraction gap d. By adding the prefened constraint that w is generally equal to or less than d, then for the example given above in which d = 6mm, one can use a 6 mm aperture as a means to increase total extracted ion cuπent.
For retrofit installations, advantage can also be taken of the fact that many installed ion implanters feature a variable-width mass resolving aperture, which can be employed to open wider the mass resolving aperture to further increase the cuπent of decaborane ions transported to the wafer. Since it has been demonstrated that in many cases it is not necessary to discriminate between the various hydrides of the B^Hx* ion to accomplish a well-defined shallow p-n junction (since the variation injunction depth created by the range of hydride masses is small compared to the spread injunction location created by boron diffusion during the post-implant anneal), a range of masses may be passed by the resolving aperture to increase ion yield. For example, passing BιoH5 + through Bι02 + (approximately 113 amu through 120 amu) in many instances will not have a significant process impact relative to passing a single hydride such as BioHs"1", and yet enables higher dose rates. Hence, a mass resolution R of 16 can be employed to accomplish the above example without introducing deleterious effects. Decreasing R through an adjustable resolving aperture can be aπanged not to introduce unwanted cross-contamination of the other species (e.g., As and P) which may be present in the ion source, since the mass range while running decaborane is much higher than these species. In the event of operating an ion source whose ionization chamber has been exposed to In (113 and 115 amu), the analyzer magnet can be adjusted to pass higher mass BιoHx + or even lower mass B9HX + molecular ions, in conjunction with a properly sized resolving aperture, to ensure that In is not passed to the wafer. Furthermore, because of the relatively high concentration of the desired ion species of interest in the broad electron beam ion source, and the relatively low concentration of other species that contribute to the total extracted cunent (reducing beam blow-up), then, though the extracted cunent may be low in comparison to a Bernas source, a relatively higher percentage of the extracted cuπent can reach the wafer and be implanted as desired.
Benefits of Using Hydride Feed Gases, etc.
It is recognized that the beam cunents obtainable with the broad electron beam ion source described can be maximized by using feed gas species which have large ionization cross sections. Decaborane falls into this category, as do many other hydride gases. While arc plasma-based ion sources, such as the enhanced Bemas source, efficiently dissociate tightly-bound molecular species such as BF3, they tend to decompose hydrides such as decaborane, diborane, germane, and silane as well as trimethyl indium, for example, and generally are not production-worthy with respect to these materials. It is recognized, according to the invention, however that these materials and other hydrides such as phosphene and arsine are materials well-suited to the ion source described here (and do not present the fluorine contamination problems encountered with conventional fluorides). The use of these materials to produce the ion beams for the CMOS applications discussed below, using the ion source principles described, is therefore another important aspect of the present invention.
For example, phosphene can be considered. Phosphene has a peak ionization cross section of approximately 5x10" cm . From the calculations above, equation (6) indicates that a broad, collimated electron beam cuπent of 6.2 mA should yield an ion cuπent of 1 mA of AsHx + ions. The other hydrides and other materials mentioned have ionization cross sections similar to that of phosphene, hence under the above assumptions, the ion source should produce 1 mA for all the species listed above with an electron beam cuπent of less than 7 mA. On the further assumption that the transmission of the implanter is only 50%, the maximum electron beam cuπent required would be 14 mA, which is clearly within the scope of electron beam cuπent available from cuπent technology applied to the specific embodiments presented above. It follows from the preceding discussion that ion cuπents as high as 2.6 mA can be transported through the implanter using conventional ion implanter technology. According to the invention, for instance, the following implants can be realized using the indicated feed materials in an ion source of the present invention:
Low energy boron: vaporized decaborane (B]0Hι )
Medium energy boron: gaseous diborane (B2H6)
Arsenic: gaseous arsine (AsH3)
Phosphorus: gaseous phosphene (PH3)
Indium: vaporized trimethyl indium In(CH3)
Germanium: gaseous germane (GeFL)
Silicon: gaseous silane (SilLt).
The following additional solid crystalline forms of In, most of which require lower vaporizer temperatures than can be stably and reliably produced in a conventional ion source vaporizer such as is in common use in ion implantation, can also be used in the vaporizer of the present invention to produce indium-bearing vapor: indium fluoride (InF ), indium bromide (InBr), indium chloride (InCl and InCl3), and indium hydroxide {In(OH)3}. Also, antimony beams may be produced using the temperature-sensitive solids Sb2O5, SbBr3 and SbCl3 in the vaporizer of the present invention.
In addition to the use of these materials, the present ion source employing the broad, aligned electron beam in a non-reflex mode of operation can ionize fluorinated gases including BF3, AsF5, PF3, GeF4, and SbF5, at low but sometimes useful atomic ion cuπents through single ionizing collisions. The ions obtainable may have greater ion purity (due to minimization of multiple collisions), with lessened space charge problems, than that achieved in the higher cuπents produced by Bemas sources through multiple ionizations. Furthermore, in embodiments of the present invention constructed for multimode operation, all of the foregoing can be achieved in the broad, aligned electron beam mode, without reflex geometry or the presence of a large magnetic confining field, while, by switching to a reflex geometry and employing a suitable magnetic field, a level of arc plasma can be developed to enhance the operation in respect of some of the feed materials that are more difficult to ionize or to obtain higher, albeit less pure, ion cuπents. To switch between non-reflex and reflex mode, the user can operate controls which switch the beam dump stmcture from a positive voltage (for broad, aligned electron beam mode) to a negative voltage approaching that of the electron gun, to serve as a repeller (anticathode) while also activating the magnet coils 54. The coils, conventionally, are already present in the implanters originally designed for a Bemas ion source, into which the present ion source can be retrofit. Thus a multi-mode version of the present ion source can be converted to operate with an arc plasma discharge (in the case of a short electron gun in which the emitter is close to the ionization volume as in Figs. 4A - 4D), in a manner similar to a Bemas source of the reflex type, or with a plasma without an active arc discharge if the emitter is remote from the ionization volume. In the embodiment described previously (and also described in Figs. 18, 18a and 18b) the existing magnet coils can be removed and modified magnet coils provided which are compatible with the geometry of a retrofitted, long, direct-injection electron gun. When these magnet coils are energized, the resultant axial magnetic field can confine the primary electron beam (both within the electron gun and in the ionization chamber) to a nanower cross-section, reducing the spreading of the electron beam profile due to space charge, and increasing the maximum amount of useful electron cuπent which can be injected into the ionization volume. Since the electron emitter of this embodiment is remote from the ionization chamber, it will not initiate an arc discharge, but depending on the strength of the external magnetic field, will provide a low-density plasma within the ionization region. If the plasma density is low enough, multiple ionizations induced by secondary electron collisions with the ions should not be significant; however, the presence of a low-density plasma may enhance the space charge neutrality of the ionization region, enabling higher ion beam cuπents to be realized.
Benefits of Using Dimer-Containing Feed Materials
The low-temperature vaporizer of the present invention can advantageously use, in addition to the materials already mentioned, other temperature-sensitive solid source materials which cannot reliably be used in cuπently available commercial ion sources due to their low melting point, and consequently high vapor pressure at temperatures below 200C. I have realized that solids which contain dimers of the dopant elements As, In, P, and Sb are useful in the ion source and methods presented here. In some cases, vapors of the temperature-sensitive dimer-containing compounds are utilized in the ionization chamber to produce monomer ions. In other cases, the cracking pattern enables production of dimer ions. Even in the case of dimer-containing oxides, in certain cases, the oxygen can be successfully removed while preserving the dimer stmcture. Use of dimer implantation from these materials can reap significant improvements to the dose rate of dopants implanted into the target substrates.
By extension of equation (8) which quantifies the space charge effects which limit ion extraction from the ion source, the following figure of merit which describes the easing of the limitations introduced by space charge in the case of molecular implantation, relative to monatomic implantation, can be expressed:
(9) Δ = n (V, /V2) 3/2 (m, /mi) ~'/2 where Δ is the relative improvement in dose rate achieved by implanting a molecular compound of mass mi and containing n atoms of the dopant of interest at an accelerating potential Vj, relative to a monatomic implant of an atom of mass w at an accelerating potential F_. In the case where Vj is adjusted to give the same implantation depth into the substrate as the monomer implant, equation (9) reduces to Δ - n2. For dimer implantation (e.g., As2 versus As), Δ = 4. Thus, up to a fourfold increase in dose rate can be achieved through dimer implantation. Table la below lists materials suitable for dimer implantation as applied to the present invention.
Table la
Figure imgf000073_0001
Where monomer implantation is desired, the same dimer-containing feed material can advantageously be used, by adjusting the mode of operation of the ion source, or the parameters of its operation to sufficiently break down the molecules to produce useful concentrations of monomer ions. Since the materials listed in Table la contain a high percentage of the species of interest for doping, a useful beam cuπent of monomer dopant ions can be obtained.
Use of the Ion Source in CMOS Ion Implant Applications In present practice, ion implantation is utilized in many of the process steps to manufacture CMOS devices, both in leading edge and traditional CMOS device architectures. Fig. 17 illustrates a generic CMOS architecture and labels traditional implant applications used in fabricating features of the transistor structures (from R. Simonton and F. Sinclair, Applications in CMOS Process Technology, in Handbook of Ion Implantation Technology, J.F. Ziegler, Editor, North-Holland, New York, 1992). The implants coπesponding to these labeled structures are listed in Table I below, showing the typical dopant species, ion energy, and dose requirements which the industry expects to be in production in 2001.
TABLE I
Label Implant Specie Energy Dose (cm'2)
(keV)
A NMOS source/drain As 30-50 Iel5-5el5
B NMOS threshold adjust (Vt) P 20-80 2el2-lel3
C NMOS LDD or drain extension P 20-50 Iel4-8el4
D p-well (tub) structure B 100-300 Iel3-lel4
E p-type channel stop B 2.0-6 2el3-6el3
F PMOS source/drain B 2.0-8 Iel5-6el5
G PMOS buried-channel Vt B 10-30 2el2-lel3
H PMOS punchthrough suppression P 50-100 2el2-lel3
I n-well (tub) structure P 300-500 Iel3-5el3
J n-type channel stop As 40-80 2el3-6el3
K NMOS punchthrough suppression B 20-50 5el2-2el3
L PMOS LDD or drain extension B 0.5-5 Iel4-8el4
M Polysilicon gate doping As, B 2.0 - 20 2el5-8el5
In addition to the implants listed in Table I, recent process developments include use of C implants for gettering, use of Ge or Si for damage implants to reduce channeling, and use of medium-cunent In and Sb. It is clear from Table I that, apart from creating the source/drains and extensions, and doping the polysilicon gate, all other implants require only low or medium-dose implants, i.e. doses between 2 10 and 1 x 1014 cm"2. Since the ion cunent required to meet a specific wafer throughput scales with the desired implanted dose, it seems clear that these low and medium-dose implants can be performed with the broad, aligned electron beam ion source of the present invention at high wafer throughput with ion beam cuπents below 1 mA of P, As, and B. Further, of course, the decaborane ion cuπents achievable according to the present invention should enable producing the p-type source/drains and extensions, as well as p- type doping of the polysilicon gates. It is therefore believed that the broad, aligned electron beam ion source described above enables high wafer throughputs in the vast majority of traditional ion implantation applications by providing a beam cunent of 1 mA of Bι0Hι , As, P, and B or B2. The addition of Ge, Si, Sb, and In beams in this cunent range, also achievable with the present invention, will enable more recent implant applications not listed in Table I.
What is claimed is:

Claims

1. An ion source capable of providing ions in commercial ion cuπent levels to the ion extraction system of an ion implanter, the ion source comprising an ionization chamber defined by walls enclosing an ionization volume, there being an extraction aperture in a side wall of the ionization chamber, the aperture having a length and width sized and aπanged to enable the ion cuπent to be extracted from said ionization volume by said extraction system, a broad beam electron gun constructed, sized and aπanged with respect to the ionization chamber to project a directional beam of primary electrons through the ionization chamber to a beam dump with which it is aligned, the beam dump being maintained at a substantial positive voltage relative to the emitter voltage of the electron beam gun, the axis of the beam path of said primary electrons extending in a direction generally adjacent to the aperture, the electron beam having a dimension in the direction coπesponding to the direction of the width of the extraction aperture that is about the same as or larger than the width of the aperture, preferably there being both a vaporizer aπanged to introduce vapor such as decaborane to the ionization volume and a gas passage for introducing gas from a gas source to the ionization volume, and a control system enabling control of the energy of the primary electrons so that individual vapor or gas molecules can be ionized principally by collisions with primary electrons from the electron gun.
2. The ion source of claim 1 in which the beam dump is thermally isolated from the side walls of the ionization chamber.
3. The ion source of claim 1 or 2 in which the dimensions of the beam dump are larger than the dimension of the broad electron beam entering the ionization chamber.
4. The ion source of claim 1, 2 or 3 in which the electron gun is thermally isolated from the side walls of the iomzation chamber.
5. The ion source of any of the foregoing claims in which the extraction aperture is substantially elongated.
6. The ion source of any of the foregoing claims in which the passage between the vaporizer and the ionization chamber has a high conductance, e.g. a conductance of the order of between about 3 x 10"2 to 3 x 10"1 L/s.
7. The ion source of any of the foregoing claims in which the vaporizer is controllable when operating in the temperature range of 20C to 200C.
8. The ion source of any of the foregoing claims in which parameters of operation enable ionization principally by single collisions between primary electrons and vapor or gas within the ionization chamber.
9. The ion source of any of the foregoing claims in which the electron beam gun comprises a heated electron emitting surface of predetermined size followed by electron optical elements that enlarge the beam in the ionization chamber relative to the size of the emitting surface of the electron gun, the beam path of said primary electrons extending in a direction adjacent to the ion extraction aperture, a passage aπanged to introduce vapor or gas of a selected material to the iomzation volume, and a control system enabling control of the energy of the primary electrons so that the material can be ionized.
10. The ion source of any of the foregoing claims in which the vaporizer contains a cluster molecule having a plurality of atoms of a desired dopant species and the parameters of operation of the ion source enable ionization of a molecule having a plurality of atoms of the desired species.
11. The ion source of claim 10 in which the vaporizer is adapted to contain decaborane and the parameters enable ionization of decaborane.
12. The ion source of any of the foregoing claims in which the electron gun forms a broad, generally collimated electron beam.
13. The ion source of claim 12 in which the electrons enter the ionization chamber as a generally collimated beam.
14. The ion source of any of the foregoing claims in which the beam dump is mounted on a dynamically cooled support.
15. The ion source of claim 14 in which the dynamically cooled support comprises a water-cooled support.
16. The ion source of any of the foregoing claims in which the electron gun is mounted on a dynamically cooled support.
17. The ion source of claim 16 in which the dynamically cooled support comprises a water-cooled support.
18. The ion source of any of the foregoing claims in which the electron gun cathode is disposed in a position substantially remote from the ionization chamber.
19. The ion source of any of the foregoing claims in which the volume occupied by the electron gun cathode is evacuated by a dedicated vacuum pump.
20. The ion source of any of the foregoing claims in which the electron gun includes a cathode and adjustable electron optics that shape the flow of electrons into a beam of selected parameters.
21. The ion source of any of the foregoing claims in which the electron gun comprises a high transmission electron extraction stage capable of extracting at least the majority of electrons from an emitter of the gun, the extraction stage followed by a collimator and further electron optic elements.
22. The ion source of claim 21 in which the further electron optics comprise an electron zoom lens.
23. The ion source of claim 21 or 22 in which the further electron optics are constructed to have the capability to vary the energy and at least one magnification parameter of the electron beam.
24. The ion source of claim 21, 22 or 23 in which the further electron optics are constructed to vary both linear and angular magnification of the beam.
25. The ion source of claim 21, 22, 23 or 24 in which the electron optics comprise a five or more element zoom lens.
26. The ion source of any of the foregoing claims constructed, sized and ananged to be retrofit into a preexisting ion implanter, into the general space occupied by the original ion source for which the implanter was designed.
27. The ion source of any of the foregoing claims constructed and aπanged to cause the electron beam to have a profile matched to the opening of the ion extraction aperture.
28. The ion source of claim 27 in which the cross-section ofthe beam is generally rectangular.
29. The ion source of any of the foregoing claims in which the electron beam gun includes electron optics and is in the form of an elongated electron gun having its principal direction aπanged generally parallel to the direction in which the ion beam is extracted from the ionization chamber, and an electron minor aπanged to divert the electron beam to a transverse direction to pass through said ionization volume.
30. The ion source of claim 29 in which the cathode is a generally uniform emitting surface sized smaller than the maximum cross-section of the electron beam that can pass through the ionization chamber, and said electron optics include optics aπanged to expand the electron beam before it enters the ionization chamber.
31. The ion source of claim 30 in which at least part of said electron optics that expand the beam are downstream from said minor.
32. The ion source of claim 30 or 31 in which at least part of the electron optics that expand the ion beam precede the minor.
33. The ion source of any of the claims 29-32 in which said electron optics include an optical assembly that enables varying the linear and angular magnification of the beam.
34. The ion source of claim 33 including a variable zoom lens control aπanged to enable variation of the electron energy of the beam.
35. The ion source of any of the foregoing claims in which the beam dump is electrically isolated from the side walls of the ionization chamber and the control system includes a circuit for measuring the cuπent of the beam dump.
36. The ion source of any of the foregoing claims in which the electron beam gun and ionization chamber are constructed to operate with a voltage drop between them of between about 20 and 500 electron volts.
37. The ion source of claim 36 adapted to ionize decaborane, in which said voltage drop is between 50 and 150 electron volts.
38. The ion source of any of the foregoing claims for use with a previously existing ion implanter designed for use with an arc discharge source having a directly or indirectly heated cathode, said control system including an operator control screen coπesponding to the screen used for said arc discharge source, and a translator effectively translating arc cuπent control signals to control signals for said electron gun.
39. The ion source of any of the foregoing claims in which the ionization chamber is in thermal continuity with a vaporizer.
40. The ion source of any of the foregoing claims in which a vaporizer includes a temperature control system, and said ionization chamber is in thermal continuity with said vaporizer.
41. The ion source of any of the foregoing claims in which the ionization chamber is defined within a conductive block defining a heat sink that is in thermal continuity with a vaporizer.
42. The ion source of any of the preceding claims 1-40 in which the ionization chamber is defined by a removable module disposed in heat transfer relationship to a temperature controlled conductive mounting block.
43. The ion source of claim 42 in which the removable block is comprised of graphite, silicon carbide or aluminum.
44. The ion source of claim 41, 42 or 43 in which the conductive block is in thermal continuity with said vaporizer via a conductive gasket at which the vaporizer may be separated from the remainder of the assembly.
45. The ion source of claim 41, 42, 43 or 44 in which the ionization chamber is in thermal continuity with said vaporizer at least in party via an interface at which conductive gas resides.
46. The ion source of any of the preceding claims including a mounting flange for joining the ion source to the housing of an ion implanter, said ionization chamber being located on the inside of the mounting flange and a vaporizer being removably mounted to the exterior of the mounting flange via an isolation valve which is separable from the mounting flange with the vaporizer, enabling the vaporizer charge volume to be isolated by the valve in closed position during handling.
47. The ion source of claim 46 in which there are two isolation valves in series, one unified with and transportable with a removed vaporizer unit, and one constructed to remain with and isolate the remainder of the ion source from the atmosphere.
48. The ion source of claim 46 or 47 in which the valve or valves are high conductance gate valves.
49. The ion source of any of the foregoing claims in which opposite walls of the ionization chamber coπesponding respectively to the electron beam gun and the beam dump have access ports through which electrons pass enroute from the electron beam gun to the beam dump, the spaces in the vicinity of said ports being suπounded by housing and communicating with a vacuum system.
50. The ion source of any of the foregoing claims including a gas inlet via which compounds containing species such as arsine gas and phosphene gas can be introduced to the ionization chamber for ionization.
51. The ion source of any of the foregoing claims for a high cunent ion implanter in which the extraction aperture is substantially elongated, being at least 50 mm in length and at least about 3.5 mm in width, and the transverse cross sectional area of the broad electron beam is at least about 30 square millimeter.
52. The ion source of claim 51 in which the cross-sectional area of the beam is at least 60 square millimeter.
53. The ion source of any of the foregoing claims 1-50 for a medium cuπent ion implanter in which the extraction aperture is substantially elongated, being at least 15 mm in length and at least about 1.5 mm in width, and the transverse cross sectional area of the electron beam is at least about 15 square millimeters.
54. The ion source of claim 53 in which the extraction aperture is sized about 20mm long by 2mm wide, and the cross-sectional area of the electron beam is about 20 square mm.
55. An ion implantation system comprising an ion implanter designed for a first ion source occupying a general design volume, and a second ion source of the type according to any of the preceding claims is operativeiy installed in said volume.
56. The ion implantation system of claim 55 in which the electron gun is of elongated form, having its principal direction aπanged parallel to the direction the ion beam is extracted from the ionization chamber, and an electron minor aπanged to divert the electron beam to a transverse direction to pass through said ionization volume.
57. The ion implantation system of claim 56 in which the cathode is a uniform emitting surface sized smaller than the cross-section of the electron beam passing through the ionization chamber, and electron optics are aπanged to expand the electron beam before it enters the ionization volume.
58. A method of conducting ion implantation characterized in employing the ion source or ion implantation system according to any of the preceding claims.
59. The method of claim 58 employed to implant an ion derived from a thermally sensitive solid material.
60. The method of claim 59 in which the vaporizer is controlled to maintain its surfaces contacting the thermally sensitive solid material and its vapors at a temperature substantially below 800C.
61. The method of claim 60 in which the temperamre is controlled to a value between about 20C and 200C.
62. The method of any of the claims 58-61 employed to implant ions of decaborane.
63. The method of any of the claims 58-61 employed to implant antimony, preferably the solid material being Sb205, SbBr3 or SbCl3.
64. The method of any of the claims 58-61 in which the solid material is an indium-containing compound, preferably Indium Hydroxide or In2 (SO4)3 X H2O.
65. The method of any of the claim 56-61 in which the solid material is an arsenic-containing compound, preferably As2O3.
66. The method of any of the claims 58-61, or 65 in which the solid material is a dimer-containing compound.
67. The method of claim 66 in which the species implanted is a dimer.
68. The method of claim 65 in which the species implanted is a monomer.
69. A method of providing ions during ion implantation comprising introducing material comprising a gas or heated vapor to a chamber enclosing an ionization volume, the chamber having an extraction aperture, and passing through the ionization volume adjacent said aperture a directional broad beam of electrons aligned with a beam dump, the energy of the electrons being selected to ionize the material.
70. The method of claim 69 in which the beam dump is thermally isolated from the chamber.
71. The method of claim 70 in which the beam dump is separately cooled.
72. A method of providing ions during ion implantation comprising introducing material comprising a gas or heated vapor to a chamber enclosing an ionization volume, the chamber having an extraction aperture, and passing through the ionization volume adjacent said aperture a directional broad beam of electrons and controlling the energy and magnification of the electron beam with electron zoom optics to ionize the material.
73. A method of providing ions during ion implantation comprising introducing material comprising a gas or heated vapor to a chamber enclosing an ionization volume, the chamber having an extraction aperture, and passing through the ionization volume adjacent said aperture a directional broad beam of electrons, including extracting the electrons from an emitter, forming the beam and controlling the energy of the electrons by electron lenses which successively accelerate and decelerate the electrons.
74. A method of providing ions during ion implantation preferably employing the method of any of the claims 58 and 69 through 73 comprising employing a vaporizer communicating through a high conductance path with an ionization chamber from which ions for the ion implantation are extracted, including controlling the temperamre of the vaporizer within a range between about 20C and 200C.
75. The method of any of the claims 69-74 in which a broad electron beam is emitted from a heated emitter surface that is remote from and thermally isolated from an ionization volume into which the beam is directed.
76. The method of any of the claims 69-75 in which electrons from an emitter surface are accelerated, collimated and passed through beam-expanding optics before passing through the ionization chamber.
77. The method of any of the claims 58, 69-76 including vaporizing decaborane, introducing the decaborane vapors to the ionization chamber, and controlling the broad electron beam parameters to ionize the decaborane and produce a decaborane cuπent.
78. The method of any of the claims 58, or 69-76 including introducing to the ionization chamber a hydride gas of a desired species, and ionizing the hydride gas.
79. The method of claim 78 in which the hydride is arsine.
80. The method of claim 78 in which the hydride is phosphene.
81. The method of claim 78 in which the hydride is germane.
82. The method of claim 78 in which the hydride is silane.
83. The method of claim 78 in which the hydride is diborane.
84. The method of any of the claims 58 or 69-76 including vaporizing an indium containing compound, introducing the indium compound vapors to the ionization chamber, and controlling the broad electron beam parameters to ionize the indium compound and produce an indium ion cuπent.
85. The method of claim 84 in which the compound is trimethyl indium.
86 The method of claim 84 in which the compound is indium hydroxide.
87. The method of claim 84 in which the compound is In2 (SO4)3 X H2O.
88. The method of any of the claims 58 or 69-76 including introducing to the ionization chamber a dimer containing compound.
89. The method of claim 88 in which the dimer is arsenic, antimony, indium or phosphorus.
90. The method of claim 88 or 89 in which a dimer is selected by a mass- selection aperture of an ion implantation system and is implanted.
91. The method of claim 88 or 89 in which a monomer is selected by a mass- selection aperture of an ion implantation system and is implanted.
92. The method of providing ions of claims 58 or 69-91 including employing a beam dump to receive the electron beam after it transits the ionization volume, including maintaining the beam dump cooled and at a voltage potential at least as high as that of the chamber.
93. The method of claim 92 including converting the process to a reflex ionization mode by changing the potential of the beam dump to a substantially lower potential than the walls of the ionization chamber to act as an electron-repelling anticathode.
94. The method of claim 93 including applying a magnetic field parallel to the electron beam.
95. The method of claim 93 or 94 including continuing to cool the beam dump during operation in reflex mode.
96. The method of any of the claims 58 or 69-95 including applying a magnetic field to constrain the electron beam.
97. An ion source having a member whose surface is exposed to contact of a dopant feed material, including gases, vapors or ions thereof, the relationship of said contact being such that condensation or molecular dissociation will occur if the temperature of the surface of the member is not within a desired operational range, said member being disposed in conductive heat transfer relationship with a second member, the temperature of which is actively controlled.
98. The ion source of claim 97 in which the second member is water-cooled.
99. The ion source of claim 97 in which the second member is associated with a thermoelectric cooling unit associated with a control system that can activate the unit to maintain the temperamre of said surface within said operational range.
100. The ion source of any of the claim 97-99 including a heater element aπanged to cooperate with the cooling system to maintain the second member at a temperature.
101. The ion source of any of the claims 97-100 in which the surface is a surface of an ionization chamber.
102. The ion source of any of the claims 97-101 in which the conductive heat transfer relationship includes a surface which forms a thermally conductive interface with an opposed surface of said member.
103. The ion source of any of the claims 97-102 in which a conductive gas fills gaps at an interface in the conductive path under conditions in which the gas molecules act to transfer heat across the interface by conduction.
104. The ion source of claim 103 in which the conductive gas is fed into channels formed in at least one of the surfaces across which the thermal heat conduction is to occur.
105. The ion source of any of the claims 97-104 in which a control system for the temperature of a vaporizer includes an ionization gauge sensitive to a pressure related to a pressure within the ionization chamber.
106. An ion source which includes an accel-decel electron gun aπanged to project a beam of electrons into an ionization chamber to ionize gas or vapors in a region adjacent an extraction aperture.
107. The ion source of claim 106 in which a magnetic coil is disposed outside of the ionization chamber, the electron gun mounted concentrically with the coil, such that the emission axis of the electron gun is aligned to emit electrons into the ionization chamber.
108. The ion source of claim 107 in which the coil is so constructed and aπanged such that, when energized, it can provide a magnetic field which limits space charge expansion of an electron beam as it transits the ionization chamber.
109. The ion source of any of the claims 106- 108 in which the volume occupied by the electron gun cathode is evacuated by a dedicated vacuum pump.
110. The ion source of any of the claims 106-109 in which a beam dump at a positive voltage is aligned to receive electrons of the beam that transits the ionization chamber.
111. An ion source which includes an electron gun disposed outside of an ionization chamber, the electron gun mounted such that the emission axis of the electron gun is aligned to emit electrons into the ionization chamber, the electron gun being an accel-decel gun.
112. The ion source of claim 106 or 111 in which the accel-decel gun has an electron zoom lens.
113. The ion source of any of the claims 106 or 111 - 112 in which the accel- decel gun is comprised of a high-transmission extraction stage followed by a focusing lens having at least two elements followed by a relatively short, strongly- focusing lens which acts to decelerate the electron beam entering the ionization chamber.
114. The ion source of claim 113 in which the short lens is a multi-aperture lens comprising a series of at least two conducting plates each having an aperture, the voltage on said plates being of respectively decreasing values to decelerate the electrons.
115. The ion source of any of the claims 106 or 111 - 114 in which the beam deceleration stage of the electron gun focuses the beam in the ionization chamber at a point near mid-length of an elongated aperture, past which the electron beam passes.
116. The ion source or method of any of the foregoing claims in which two independently removable vaporizers are provided, each ananged to communicate with the ionization chamber, enabling one to be absent, while being charged or serviced, while the other operates, or enabling two different materials to be vaporized without maintenance of the ion source, or enabling additional quantities of the same materials to be present to enable a protracted implant n.
117. An ion implantation system or method according to any of the foregoing claims comprising an ion implanter designed for a first ion source occupying a general design volume or a method using such ion source, and a second ion source is provided based on an electron gun ananged to project an electron beam through an ionization chamber of the ion source, the second ion source being operativeiy installed in the design volume of said first ion source.
118. The ion implantation system or method of claim 117 in which the electron gun is of elongated form, having its principal direction ananged parallel to the direction the ion beam is extracted from the ionization chamber, and an electron minor is aπanged to divert the electron beam to a transverse direction to pass through the ionization volume.
119. The ion implantation system of claim 117 or 118 in which the electron gun has a cathode sized smaller than the maximum cross-section of the electron beam passing through the ionization chamber, and the electron optics include optics ananged to expand the electron beam before it enters the ionization chamber.
120. The ion implantation system of claim 119 in which the electron optics are associated with a zoom control to enable controlled variation of the electron energy.
121. The method comprising retrofitting a non-Bernas type ion source employing a broad electron beam directed to a beam dump into one of the existing fleet of ion implanters designed for a Bemas arc plasma ion source, and of controlling the non- Bernas type ion source by the operator interface of the arc plasma Bemas ion source that it replaces through a configurable translator.
122. The method of conducting the ion implantation process of claim 121 to form semiconductor devices, in particular shallow source/drains and extensions, and doping of the silicon gates in CMOS fabrication.
123. An ion source based on ionization by an electron beam and constructed for dual mode operation, both a broad E-Beam mode of operation with the electron beam aligned with a beam dump structure at positive potential and a reflex mode, in which the beam dump stmcture is converted to a repeller (anticathode).
124. The ion source of claim 121, 122 or 123 having a magnet for producing a confining magnetic field for the electron beam.
125. The ion source of any of the claims 123-124 aπanged to cool the walls of the ionization chamber to ionize materials such as hydrides that disassociate with elevated temperatures.
126. A method of implanting antimony ions in a target substrate comprising vaporizing an antimony- containing solid selected from the group consisting of Sb2Os, Sb Br3 and Sb Cl3, ionizing the vapor to produce an antimony ion beam and implanting the beam in the substrate.
127. A method of implanting arsenic in a target substrate comprising vaporizing a solid comprising As203, ionizing the vapor to produce an arsenic ion beam and implanting the beam in the substrate.
128. A method of implanting indium ions in a target substrate comprising vaporizing a solid comprising In2 (S04)3 X H20, ionizing the vapor to produce an indium ion beam and implanting the beam in the substrate.
129. A method of implanting phosphorous ions in a target substrate comprising vaporizing a solid comprising P2 05, ionizing the vapor to produce a phosphoms ion beam and implanting the beam in the substrate.
130. A method of implanting indium ions in a target substrate comprising vaporizing a solid comprising Indium Hydroxide, ionizing the vapor to produce an indium ion beam and implanting the beam in the substrate.
PCT/US2000/033786 1999-12-13 2000-12-13 Ion implantation ion source, system and method WO2001043157A1 (en)

Priority Applications (30)

Application Number Priority Date Filing Date Title
JP2001543753A JP4820038B2 (en) 1999-12-13 2000-12-13 Ion implanted ion source, system, and method
EP00988056.8A EP1245036B1 (en) 1999-12-13 2000-12-13 Ion implantation ion source
AU24306/01A AU2430601A (en) 1999-12-13 2000-12-13 Ion implantation ion source, system and method
US10/433,493 US7064491B2 (en) 2000-11-30 2001-06-12 Ion implantation system and control method
PCT/US2001/018822 WO2002043803A1 (en) 2000-11-30 2001-06-12 Ion implantation system and control method
EP01944435A EP1347804A4 (en) 2000-11-30 2001-06-12 Ion implantation system and control method
AU2001266847A AU2001266847A1 (en) 2000-11-30 2001-06-12 Ion implantation system and control method
EP11008982.8A EP2426692A3 (en) 2000-11-30 2001-06-12 Ion source
CNB018198511A CN100385605C (en) 2000-11-30 2001-06-12 Ion implantation system and control method
JP2002545772A JP2004519070A (en) 2000-11-30 2001-06-12 Ion implantation system and control method
US10/170,512 US7107929B2 (en) 1999-12-13 2002-06-12 Ion implantation ion source, system and method
US10/825,339 US7022999B2 (en) 1999-12-13 2004-04-15 Ion implantation ion source, system and method
US10/887,425 US7112804B2 (en) 1999-12-13 2004-07-08 Ion implantation ion source, system and method
US10/887,426 US7185602B2 (en) 1999-12-13 2004-07-08 Ion implantation ion source, system and method
US11/174,107 US7479643B2 (en) 1999-12-13 2005-07-01 Ion implantation ion source, system and method
US11/268,005 US7838842B2 (en) 1999-12-13 2005-11-07 Dual mode ion source for ion implantation
JP2006054027A JP5026711B2 (en) 2000-11-30 2006-02-28 Ion implantation system and control method
US11/365,719 US7609003B2 (en) 2000-11-30 2006-03-01 Ion implantation system and control method
US11/527,994 US7800312B2 (en) 1999-12-13 2006-09-26 Dual mode ion source for ion implantation
US11/648,282 US7528550B2 (en) 2000-11-30 2006-12-29 Ion implantation system and control method
US11/648,506 US20070107841A1 (en) 2000-12-13 2006-12-29 Ion implantation ion source, system and method
US11/648,366 US20080042580A1 (en) 1999-12-13 2006-12-29 Dual mode ion source for ion implantation
US11/648,378 US20070170372A1 (en) 1999-12-13 2006-12-29 Dual mode ion source for ion implantation
US11/647,924 US7732787B2 (en) 1999-12-13 2006-12-29 Ion implantation ion source, system and method
US11/647,801 US7394202B2 (en) 2000-11-30 2006-12-29 Ion implantation system and control method
US11/940,136 US7834554B2 (en) 1999-12-13 2007-11-14 Dual mode ion source for ion implantation
US12/059,608 US7838850B2 (en) 1999-12-13 2008-03-31 External cathode ion source
US12/642,161 US8154210B2 (en) 1999-12-13 2009-12-18 Ion implantation ion source, system and method
US12/776,636 US8502161B2 (en) 1999-12-13 2010-05-10 External cathode ion source
JP2010154813A JP5128640B2 (en) 2000-11-30 2010-07-07 Ion source

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US17047399P 1999-12-13 1999-12-13
US60/170,473 1999-12-13
US25008000P 2000-11-30 2000-11-30
US60/250,080 2000-11-30

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09/736,097 Continuation-In-Part US6452338B1 (en) 1999-12-13 2000-12-13 Electron beam ion source with integral low-temperature vaporizer

Related Child Applications (4)

Application Number Title Priority Date Filing Date
PCT/US2001/018822 Continuation WO2002043803A1 (en) 2000-11-30 2001-06-12 Ion implantation system and control method
US10433493 Continuation 2001-06-12
US10/433,493 Continuation US7064491B2 (en) 2000-11-30 2001-06-12 Ion implantation system and control method
US10/170,512 Continuation US7107929B2 (en) 1999-12-13 2002-06-12 Ion implantation ion source, system and method

Publications (1)

Publication Number Publication Date
WO2001043157A1 true WO2001043157A1 (en) 2001-06-14

Family

ID=26866118

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2000/033786 WO2001043157A1 (en) 1999-12-13 2000-12-13 Ion implantation ion source, system and method

Country Status (6)

Country Link
US (8) US7107929B2 (en)
EP (2) EP1245036B1 (en)
JP (6) JP4820038B2 (en)
AU (1) AU2430601A (en)
TW (1) TW521295B (en)
WO (1) WO2001043157A1 (en)

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6844556B2 (en) 2002-05-24 2005-01-18 Nissin Electronics Co., Ltd. Ion source, method of operating the same, and ion source system
WO2005038856A2 (en) * 2003-10-17 2005-04-28 Applied Materials, Inc. Kinematic ion implanter electrode mounting
JP2005531156A (en) * 2002-06-26 2005-10-13 セムエキップ インコーポレイテッド Manufacturing method of CMOS device by implantation of N and P type cluster ions and anions
WO2006096618A1 (en) * 2005-03-08 2006-09-14 Axcelis Technologies, Inc. High conductance ion source
WO2007136722A2 (en) * 2006-05-19 2007-11-29 Axcelis Technologies, Inc. New and improved ion source
US7479643B2 (en) 1999-12-13 2009-01-20 Semequip, Inc. Ion implantation ion source, system and method
US9111860B2 (en) 2009-10-27 2015-08-18 Entegris, Inc. Ion implantation system and method
US9142387B2 (en) 2009-10-27 2015-09-22 Entegris, Inc. Isotopically-enriched boron-containing compounds, and methods of making and using same
US9960042B2 (en) 2012-02-14 2018-05-01 Entegris Inc. Carbon dopant gas and co-flow for implant beam and source life performance improvement
EP3382739A1 (en) * 2017-03-28 2018-10-03 Thermo Finnigan LLC Systems and methods for electron ionization ion sources
US10497569B2 (en) 2009-07-23 2019-12-03 Entegris, Inc. Carbon materials for carbon implantation
CN111663105A (en) * 2020-05-26 2020-09-15 南方科技大学 Ultrahigh vacuum electron beam evaporator and electron beam coating device

Families Citing this family (380)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7838850B2 (en) * 1999-12-13 2010-11-23 Semequip, Inc. External cathode ion source
US7838842B2 (en) * 1999-12-13 2010-11-23 Semequip, Inc. Dual mode ion source for ion implantation
US20070107841A1 (en) * 2000-12-13 2007-05-17 Semequip, Inc. Ion implantation ion source, system and method
WO2002033725A2 (en) * 2000-10-20 2002-04-25 Proteros, Llc System and method for rapidly controlling the output of an ion source for ion implantation
US7064491B2 (en) * 2000-11-30 2006-06-20 Semequip, Inc. Ion implantation system and control method
GB2387022B (en) * 2002-03-28 2005-12-21 Applied Materials Inc Monatomic boron ion source and method
JP4134312B2 (en) * 2002-04-23 2008-08-20 独立行政法人産業技術総合研究所 Molecular beam equipment
CN102034665B (en) * 2002-06-26 2014-06-25 山米奎普公司 An ion implantation device and a method of semiconductor manufacturing by the implantation of boron hydride cluster ions
US6686595B2 (en) * 2002-06-26 2004-02-03 Semequip Inc. Electron impact ion source
US6797337B2 (en) * 2002-08-19 2004-09-28 Micron Technology, Inc. Method for delivering precursors
WO2006062536A2 (en) 2004-12-03 2006-06-15 Epion Corporation Formation of ultra-shallow junctions by gas-cluster ion irridation
US7410890B2 (en) * 2002-12-12 2008-08-12 Tel Epion Inc. Formation of doped regions and/or ultra-shallow junctions in semiconductor materials by gas-cluster ion irradiation
US6997403B2 (en) * 2003-01-13 2006-02-14 Micron Technology, Inc. Liquid vaporizer with positive liquid shut-off
JP5107500B2 (en) * 2003-08-20 2012-12-26 公益財団法人国際科学振興財団 Vapor deposition equipment
KR101076516B1 (en) * 2003-09-08 2011-10-24 파나소닉 주식회사 Plasma processing method and apparatus
JP4442171B2 (en) * 2003-09-24 2010-03-31 東京エレクトロン株式会社 Heat treatment equipment
US7087913B2 (en) * 2003-10-17 2006-08-08 Applied Materials, Inc. Ion implanter electrodes
US7009193B2 (en) * 2003-10-31 2006-03-07 Infineon Technologies Richmond, Lp Utilization of an ion gauge in the process chamber of a semiconductor ion implanter
KR100883148B1 (en) * 2003-12-12 2009-02-10 세미이큅, 인코포레이티드 Method and apparatus for extending equipment uptime in ion implantation
US20080223409A1 (en) * 2003-12-12 2008-09-18 Horsky Thomas N Method and apparatus for extending equipment uptime in ion implantation
US7791047B2 (en) * 2003-12-12 2010-09-07 Semequip, Inc. Method and apparatus for extracting ions from an ion source for use in ion implantation
US7462820B2 (en) * 2004-03-10 2008-12-09 Schubert Peter J Isotope separation process and apparatus therefor
US7023003B2 (en) * 2004-03-18 2006-04-04 Taiwan Semiconductor Manufacturing Co., Ltd. Ion implanter and method of preventing undesirable ions from implanting a target wafer
GB2412488B (en) * 2004-03-26 2007-03-28 Applied Materials Inc Ion sources
EP2458619B1 (en) * 2004-05-24 2017-08-02 Ibis Biosciences, Inc. Mass spectrometry with selective ion filtration by digital thresholding
US7948185B2 (en) * 2004-07-09 2011-05-24 Energetiq Technology Inc. Inductively-driven plasma light source
US7307375B2 (en) * 2004-07-09 2007-12-11 Energetiq Technology Inc. Inductively-driven plasma light source
US7741621B2 (en) * 2004-07-14 2010-06-22 City University Of Hong Kong Apparatus and method for focused electric field enhanced plasma-based ion implantation
US7402816B2 (en) * 2004-11-19 2008-07-22 Varian Semiconductor Equipment Associates, Inc. Electron injection in ion implanter magnets
KR20070098842A (en) * 2004-12-16 2007-10-05 텔레젠 코퍼레이션 Light emitting device and associated methods of manufacture
US7105840B2 (en) * 2005-02-03 2006-09-12 Axcelis Technologies, Inc. Ion source for use in an ion implanter
GB0505856D0 (en) * 2005-03-22 2005-04-27 Applied Materials Inc Cathode and counter-cathode arrangement in an ion source
EP1881523B1 (en) * 2005-05-12 2013-01-02 Panasonic Corporation Plasma doping method and plasma doping apparatus
US7365340B2 (en) * 2005-07-20 2008-04-29 Varian Semiconductor Equipment Associates, Inc. Resonance method for production of intense low-impurity ion beams of atoms and molecules
KR101246186B1 (en) * 2005-05-20 2013-03-21 베리안 세미콘덕터 이큅먼트 어소시에이츠, 인크. A resonance method for production of intense low-impurity ion beams of atoms and molecules
US20070278417A1 (en) * 2005-07-01 2007-12-06 Horsky Thomas N Ion implantation ion source, system and method
KR101297964B1 (en) 2005-08-30 2013-08-19 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 Boron ion implantation using alternative fluorinated boron precursors, and formation of large boron hydrides for implantation
KR100711885B1 (en) * 2005-08-31 2007-04-25 삼성에스디아이 주식회사 Source for organic layer and the method for controlling heating source thereof
KR100645689B1 (en) * 2005-08-31 2006-11-14 삼성에스디아이 주식회사 Linear type deposition source
KR100711886B1 (en) * 2005-08-31 2007-04-25 삼성에스디아이 주식회사 Source for inorganic layer and the method for controlling heating source thereof
US7511287B2 (en) * 2005-09-21 2009-03-31 Axcelis Technologies, Inc. Systems and methods that mitigate contamination and modify surface characteristics during ion implantation processes through the introduction of gases
JP5583344B2 (en) * 2005-12-09 2014-09-03 セムイクウィップ・インコーポレーテッド System and method for manufacturing semiconductor devices by implantation of carbon clusters
WO2007085008A2 (en) * 2006-01-20 2007-07-26 Advanced Technology Materials, Inc. Apparatus and method for use of indium chloride to deliver indium vapor to ion source
KR20090024703A (en) * 2006-06-12 2009-03-09 세미이큅, 인코포레이티드 Vapor delivery to devices under vacuum
KR20090029209A (en) * 2006-06-13 2009-03-20 세미이큅, 인코포레이티드 Ion beam apparatus and method for ion implantation
US8471452B2 (en) 2006-06-30 2013-06-25 Nordiko Technical Services Limited Apparatus
US8261690B2 (en) * 2006-07-14 2012-09-11 Georgia Tech Research Corporation In-situ flux measurement devices, methods, and systems
US8803110B2 (en) * 2006-09-29 2014-08-12 Axcelis Technologies, Inc. Methods for beam current modulation by ion source parameter modulation
US7589333B2 (en) * 2006-09-29 2009-09-15 Axcelis Technologies, Inc. Methods for rapidly switching off an ion beam
US7622722B2 (en) 2006-11-08 2009-11-24 Varian Semiconductor Equipment Associates, Inc. Ion implantation device with a dual pumping mode and method thereof
US8013312B2 (en) * 2006-11-22 2011-09-06 Semequip, Inc. Vapor delivery system useful with ion sources and vaporizer for use in such system
US7732309B2 (en) * 2006-12-08 2010-06-08 Applied Materials, Inc. Plasma immersed ion implantation process
US7521691B2 (en) 2006-12-08 2009-04-21 Varian Semiconductor Equipment Associates, Inc. Magnetic monitoring of a Faraday cup for an ion implanter
JP4851539B2 (en) * 2006-12-20 2012-01-11 株式会社島津製作所 Ionizer
GB0703044D0 (en) * 2007-02-16 2007-03-28 Nordiko Technical Services Ltd Apparatus
US7928406B2 (en) * 2007-05-22 2011-04-19 Semequip, Inc. Method and system for extracting ion beams composed of molecular ions (cluster ion beam extraction system)
US20080305598A1 (en) * 2007-06-07 2008-12-11 Horsky Thomas N Ion implantation device and a method of semiconductor manufacturing by the implantation of ions derived from carborane molecular species
JP2008311125A (en) * 2007-06-15 2008-12-25 Nec Electronics Corp Vaporizer and ion source device equipped with the same
US7947966B2 (en) * 2007-07-31 2011-05-24 Axcelis Technologies, Inc. Double plasma ion source
US7655925B2 (en) * 2007-08-31 2010-02-02 Cymer, Inc. Gas management system for a laser-produced-plasma EUV light source
WO2009045722A1 (en) * 2007-09-28 2009-04-09 Varian Semiconductor Equipment Associates, Inc. Two-diemensional uniformity correction for ion beam assisted etching
US7700925B2 (en) * 2007-12-28 2010-04-20 Varian Semiconductor Equipment Associates, Inc. Techniques for providing a multimode ion source
US8003954B2 (en) 2008-01-03 2011-08-23 Varian Semiconductor Equipment Associates, Inc. Gas delivery system for an ion source
FR2926395B1 (en) * 2008-01-11 2010-05-14 Excico Group ELECTRON PULSE SOURCE, ELECTRIC POWER SUPPLY METHOD FOR ELECTRON PULSE SOURCE, AND METHOD FOR CONTROLLING ELECTRON PULSE SOURCE
TWI413149B (en) * 2008-01-22 2013-10-21 Semequip Inc Ion source gas reactor and method for converting a gaseous feed materital into a different molecular or atomic species
US20090200494A1 (en) * 2008-02-11 2009-08-13 Varian Semiconductor Equipment Associates, Inc. Techniques for cold implantation of carbon-containing species
US8072149B2 (en) * 2008-03-31 2011-12-06 Varian Semiconductor Equipment Associates, Inc. Unbalanced ion source
US8089052B2 (en) * 2008-04-24 2012-01-03 Axcelis Technologies, Inc. Ion source with adjustable aperture
US8330118B2 (en) * 2008-05-16 2012-12-11 Semequip, Inc. Multi mode ion source
US8871619B2 (en) * 2008-06-11 2014-10-28 Intevac, Inc. Application specific implant system and method for use in solar cell fabrications
US7812321B2 (en) * 2008-06-11 2010-10-12 Varian Semiconductor Equipment Associates, Inc. Techniques for providing a multimode ion source
US7759657B2 (en) 2008-06-19 2010-07-20 Axcelis Technologies, Inc. Methods for implanting B22Hx and its ionized lower mass byproducts
US20110199027A1 (en) * 2008-10-16 2011-08-18 Yong Hwan Kim Electron beam generator having adjustable beam width
US8026492B2 (en) * 2008-11-04 2011-09-27 ICT Integrated Circuit Testing Gesellschaft für Halbleiterprüftechnik mbH Dual mode gas field ion source
US8304033B2 (en) * 2009-02-04 2012-11-06 Tel Epion Inc. Method of irradiating substrate with gas cluster ion beam formed from multiple gas nozzles
US9685186B2 (en) * 2009-02-27 2017-06-20 Applied Materials, Inc. HDD pattern implant system
US20100243913A1 (en) * 2009-03-31 2010-09-30 Tel Epion Inc. Pre-aligned nozzle/skimmer
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
MY160165A (en) * 2009-04-10 2017-02-28 Applied Materials Inc Use special ion source apparatus and implant with molecular ions to process hdd (high density magnetic disks)with patterned magnetic domains
US8749053B2 (en) * 2009-06-23 2014-06-10 Intevac, Inc. Plasma grid implant system for use in solar cell fabrications
US8802201B2 (en) * 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8253118B2 (en) 2009-10-14 2012-08-28 Fei Company Charged particle beam system having multiple user-selectable operating modes
US8350236B2 (en) * 2010-01-12 2013-01-08 Axcelis Technologies, Inc. Aromatic molecular carbon implantation processes
US8487534B2 (en) * 2010-03-31 2013-07-16 General Electric Company Pierce gun and method of controlling thereof
US8344337B2 (en) 2010-04-21 2013-01-01 Axcelis Technologies, Inc. Silaborane implantation processes
WO2012030679A2 (en) 2010-08-30 2012-03-08 Advanced Technology Materials, Inc. Apparatus and method for preparation of compounds or intermediates thereof from a solid material, and using such compounds and intermediates
JP5730521B2 (en) * 2010-09-08 2015-06-10 株式会社日立ハイテクノロジーズ Heat treatment equipment
KR101144222B1 (en) * 2010-12-22 2012-05-10 한국원자력연구원 Ion source apparatus and its operating method
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
JP5665679B2 (en) * 2011-07-14 2015-02-04 住友重機械工業株式会社 Impurity introduction layer forming apparatus and electrostatic chuck protecting method
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
TWI583442B (en) 2011-10-10 2017-05-21 恩特葛瑞斯股份有限公司 B2f4 manufacturing process
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
CN106847736B (en) 2011-11-08 2020-08-11 因特瓦克公司 Substrate processing system and method
WO2013068796A2 (en) * 2011-11-09 2013-05-16 Brookhaven Science Associates, Llc Molecular ion source for ion implantation
KR101590082B1 (en) 2011-11-23 2016-01-29 어플라이드 머티어리얼스, 인코포레이티드 Apparatus and methods for silicon oxide cvd photoresist planarization
US8664622B2 (en) * 2012-04-11 2014-03-04 Taiwan Semiconductor Manufacturing Co., Ltd. System and method of ion beam source for semiconductor ion implantation
US11348756B2 (en) 2012-05-14 2022-05-31 Asml Netherlands B.V. Aberration correction in charged particle system
US10586625B2 (en) 2012-05-14 2020-03-10 Asml Netherlands B.V. Vacuum chamber arrangement for charged particle beam generator
TW201401330A (en) 2012-05-14 2014-01-01 Mapper Lithography Ip Bv Charged particle lithography system and beam generator
JP2014003046A (en) * 2012-06-15 2014-01-09 Hitachi Ltd Ion implantation method
US9773635B2 (en) 2012-07-07 2017-09-26 Lilas Gmbh Device for producing an electron beam
US9484176B2 (en) * 2012-09-10 2016-11-01 Thomas Schenkel Advanced penning ion source
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
TWI570745B (en) 2012-12-19 2017-02-11 因特瓦克公司 Grid for plasma ion implant
US8933630B2 (en) * 2012-12-19 2015-01-13 Taiwan Semiconductor Manufacturing Co., Ltd. Arc chamber with multiple cathodes for an ion source
WO2014120232A1 (en) * 2013-02-01 2014-08-07 Seagate Technology Llc System for low energy ion implantation and layer formation
GB2518122B (en) * 2013-02-19 2018-08-08 Markes International Ltd An electron ionisation apparatus
US9443700B2 (en) * 2013-03-12 2016-09-13 Applied Materials, Inc. Electron beam plasma source with segmented suppression electrode for uniform plasma generation
US9865422B2 (en) 2013-03-15 2018-01-09 Nissin Ion Equipment Co., Ltd. Plasma generator with at least one non-metallic component
US8994272B2 (en) 2013-03-15 2015-03-31 Nissin Ion Equipment Co., Ltd. Ion source having at least one electron gun comprising a gas inlet and a plasma region defined by an anode and a ground element thereof
US9275819B2 (en) * 2013-03-15 2016-03-01 Nissin Ion Equipment Co., Ltd. Magnetic field sources for an ion source
US10221476B2 (en) * 2013-06-03 2019-03-05 Varian Semiconductor Equipment Associates, Inc. Coating insulating materials for improved life
US20150123003A1 (en) * 2013-11-06 2015-05-07 University Of Kentucky Research Foundation High resolution absorption imaging using annihilation radiation from an external positron source
US9543110B2 (en) * 2013-12-20 2017-01-10 Axcelis Technologies, Inc. Reduced trace metals contamination ion source for an ion implantation system
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9540725B2 (en) 2014-05-14 2017-01-10 Tel Epion Inc. Method and apparatus for beam deflection in a gas cluster ion beam system
TWI501286B (en) * 2014-06-27 2015-09-21 Advanced Ion Beam Tech Inc Ion implanter
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
TWI523084B (en) 2014-11-11 2016-02-21 漢辰科技股份有限公司 Method for ion implantation
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6415388B2 (en) * 2015-05-29 2018-10-31 住友重機械イオンテクノロジー株式会社 Plasma generator
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
WO2017010529A1 (en) * 2015-07-15 2017-01-19 国立大学法人奈良先端科学技術大学院大学 Electrostatic lens, and parallel beam generation device and parallel beam convergence device which use electrostatic lens and collimator
TWI581315B (en) * 2015-07-24 2017-05-01 漢辰科技股份有限公司 Methond for generating lanthanide ion source
WO2017044754A1 (en) * 2015-09-11 2017-03-16 Applied Materials, Inc. Plasma module with slotted ground plate
US10954594B2 (en) 2015-09-30 2021-03-23 Applied Materials, Inc. High temperature vapor delivery system and method
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9824846B2 (en) * 2016-01-27 2017-11-21 Varian Semiconductor Equipment Associates, Inc. Dual material repeller
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
EP3261110A1 (en) * 2016-06-21 2017-12-27 Excillum AB X-ray source with ionisation tool
US9691584B1 (en) * 2016-06-30 2017-06-27 Varian Semiconductor Equipment Associates, Inc. Ion source for enhanced ionization
JP6750351B2 (en) * 2016-07-05 2020-09-02 株式会社Sumco Cluster ion beam generation method and cluster ion beam irradiation method using the same
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
TWI818252B (en) * 2017-06-05 2023-10-11 美商瓦里安半導體設備公司 Indirectly heated cathode ion source
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10096445B1 (en) * 2017-07-28 2018-10-09 Bohhen Optronics Co., Ltd. Ion source apparatus
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10597773B2 (en) 2017-08-22 2020-03-24 Praxair Technology, Inc. Antimony-containing materials for ion implantation
US11098402B2 (en) 2017-08-22 2021-08-24 Praxair Technology, Inc. Storage and delivery of antimony-containing materials to an ion implanter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
GB2567853B (en) 2017-10-26 2020-07-29 Isotopx Ltd Gas-source mass spectrometer comprising an electron source
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI779134B (en) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 A storage device for storing wafer cassettes and a batch furnace assembly
JP6812962B2 (en) 2017-12-26 2021-01-13 株式会社Sumco Manufacturing method of epitaxial silicon wafer
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (en) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 Deposition method
CN111630203A (en) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 Method for depositing gap filling layer by plasma auxiliary deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US10325752B1 (en) * 2018-03-27 2019-06-18 Varian Semiconductor Equipment Associates, Inc. Performance extraction set
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
KR20190128558A (en) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TWI816783B (en) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
TW202013553A (en) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI819010B (en) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
JP2021529880A (en) 2018-06-27 2021-11-04 エーエスエム・アイピー・ホールディング・ベー・フェー Periodic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10707050B2 (en) 2018-07-26 2020-07-07 Varian Semiconductor Equipment Associates, Inc. System and method to detect glitches
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10892136B2 (en) * 2018-08-13 2021-01-12 Varian Semiconductor Equipment Associates, Inc. Ion source thermal gas bushing
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US10923309B2 (en) * 2018-11-01 2021-02-16 Applied Materials, Inc. GeH4/Ar plasma chemistry for ion implant productivity enhancement
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10790116B2 (en) * 2018-11-20 2020-09-29 Applied Materials, Inc. Electostatic filter and method for controlling ion beam using electostatic filter
US10804068B2 (en) * 2018-11-20 2020-10-13 Applied Materials, Inc. Electostatic filter and method for controlling ion beam properties using electrostatic filter
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (en) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 Method of forming device structure, structure formed by the method and system for performing the method
US11315791B2 (en) * 2018-12-15 2022-04-26 Entegris, Inc. Fluorine ion implantation method and system
US10573485B1 (en) * 2018-12-20 2020-02-25 Axcelis Technologies, Inc. Tetrode extraction apparatus for ion source
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
JP2020136678A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Method for filing concave part formed inside front surface of base material, and device
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136677A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Periodic accumulation method for filing concave part formed inside front surface of base material, and device
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
KR20200108248A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. STRUCTURE INCLUDING SiOCN LAYER AND METHOD OF FORMING SAME
KR20200116033A (en) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
TWI693656B (en) * 2019-04-25 2020-05-11 晨碩國際有限公司 Gas supply system for an ion implanter
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
CN112117176B (en) * 2019-06-20 2023-03-07 中微半导体设备(上海)股份有限公司 Plasma processing apparatus and plasma processing system
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (en) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 Method of forming topology-controlled amorphous carbon polymer film
TW202113936A (en) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (en) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11232925B2 (en) * 2019-09-03 2022-01-25 Applied Materials, Inc. System and method for improved beam current from an ion source
US11120966B2 (en) 2019-09-03 2021-09-14 Applied Materials, Inc. System and method for improved beam current from an ion source
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
US11170973B2 (en) 2019-10-09 2021-11-09 Applied Materials, Inc. Temperature control for insertable target holder for solid dopant materials
TW202115273A (en) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
KR20210065848A (en) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. Methods for selectivley forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
RU2725788C1 (en) * 2019-12-17 2020-07-06 Федеральное государственное бюджетное учреждение науки Институт сильноточной электроники Сибирского отделения Российской академии наук (ИСЭ СО РАН) Device for surface treatment of metal and metal-ceramic articles
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (en) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
KR20210116249A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. lockout tagout assembly and system and method of using same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
TW202146831A (en) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Vertical batch furnace assembly, and method for cooling vertical batch furnace
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
TW202147383A (en) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
KR20220010438A (en) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
TW202212623A (en) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 Method of forming metal silicon oxide layer and metal silicon oxynitride layer, semiconductor structure, and system
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
JP7065162B2 (en) * 2020-09-30 2022-05-11 住友重機械工業株式会社 Ion source device
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
KR20220053482A (en) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
TW202235675A (en) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 Injector, and substrate processing apparatus
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11854760B2 (en) * 2021-06-21 2023-12-26 Applied Materials, Inc. Crucible design for liquid metal in an ion source
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2733348A (en) 1956-01-31 Ion source units
US4841197A (en) 1986-05-28 1989-06-20 Nihon Shinku Gijutsu Kabushiki Kaisha Double-chamber ion source
EP0329461A2 (en) 1988-02-18 1989-08-23 FISONS plc Mass Spectrometer
US4902572A (en) * 1988-04-19 1990-02-20 The Boeing Company Film deposition system
WO1990015658A1 (en) 1989-06-06 1990-12-27 Viking Instruments Corp. Miniaturized mass spectrometer system
US5101110A (en) 1989-11-14 1992-03-31 Tokyo Electron Limited Ion generator
US5296713A (en) * 1992-01-23 1994-03-22 Tokyo Electron Limited Ion source device

Family Cites Families (86)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US555204A (en) * 1896-02-25 Car-fender
US556204A (en) * 1896-03-10 Galvanizing-machine
US2700107A (en) * 1950-01-10 1955-01-18 John S Luce Ion source
US2773348A (en) 1952-03-27 1956-12-11 Nordberg Manufacturing Co Turbo-charger system, involving plural turbine driven superchargers
JPS5148097A (en) 1974-10-23 1976-04-24 Osaka Koon Denki Kk Iongen
US4272319A (en) 1978-02-28 1981-06-09 The United States Of America As Represented By The United States Department Of Energy Device and method for electron beam heating of a high density plasma
JPS54144170A (en) * 1978-05-02 1979-11-10 Hitachi Ltd Cathode constituent of direct heating type
US4261762A (en) 1979-09-14 1981-04-14 Eaton Corporation Method for conducting heat to or from an article being treated under vacuum
US4531077A (en) * 1983-12-16 1985-07-23 The United States Of America As Represented By The United States Department Of Energy Ion source with improved primary arc collimation
US4714834A (en) 1984-05-09 1987-12-22 Atomic Energy Of Canada, Limited Method and apparatus for generating ion beams
US4633129A (en) 1985-04-30 1986-12-30 International Business Machines Corporation Hollow cathode
JPS6210850A (en) * 1985-07-08 1987-01-19 Matsushita Electric Ind Co Ltd Electron beam static lens
JPS6253558U (en) * 1985-09-21 1987-04-02
US4719355A (en) * 1986-04-10 1988-01-12 Texas Instruments Incorporated Ion source for an ion implanter
JPS62278734A (en) * 1986-05-28 1987-12-03 Ulvac Corp Ion source
US4737688A (en) * 1986-07-22 1988-04-12 Applied Electron Corporation Wide area source of multiply ionized atomic or molecular species
JPS6370649U (en) * 1986-10-27 1988-05-12
DE3708086A1 (en) * 1987-03-13 1988-09-22 Henkel Kgaa MOBILE FLOOR CLEANING MACHINE
US4791273A (en) * 1987-05-15 1988-12-13 Varian Associates, Inc. Vaporizer system for ion source
JPH01235130A (en) * 1988-03-14 1989-09-20 Fuji Electric Co Ltd Ion source device
JPH027855A (en) * 1988-06-23 1990-01-11 Seiko Epson Corp Generator
JPH02296558A (en) * 1989-05-11 1990-12-07 Canon Inc Invasion preventing device
US5313061A (en) * 1989-06-06 1994-05-17 Viking Instrument Miniaturized mass spectrometer system
EP0405855A3 (en) * 1989-06-30 1991-10-16 Hitachi, Ltd. Ion implanting apparatus and process for fabricating semiconductor integrated circuit device by using the same apparatus
JP2791911B2 (en) * 1989-11-14 1998-08-27 東京エレクトロン株式会社 Ion source
JPH03257748A (en) * 1990-01-22 1991-11-18 Tokyo Electron Ltd Ion generating method
US5556204A (en) * 1990-07-02 1996-09-17 Hitachi, Ltd. Method and apparatus for detecting the temperature of a sample
KR0165898B1 (en) * 1990-07-02 1999-02-01 미다 가쓰시게 Vacuum processing method and apparatus
US5311028A (en) * 1990-08-29 1994-05-10 Nissin Electric Co., Ltd. System and method for producing oscillating magnetic fields in working gaps useful for irradiating a surface with atomic and molecular ions
JPH05144397A (en) * 1991-11-20 1993-06-11 Mitsubishi Electric Corp Ion source
US5306921A (en) * 1992-03-02 1994-04-26 Tokyo Electron Limited Ion implantation system using optimum magnetic field for concentrating ions
JP2580943Y2 (en) * 1992-06-25 1998-09-17 日新電機株式会社 Ion source
US5391962A (en) * 1992-07-13 1995-02-21 The United States Of America As Represented By The Secretary Of The Army Electron beam driven negative ion source
JP2569913Y2 (en) * 1993-03-10 1998-04-28 住友イートンノバ株式会社 Ion implanter
US5306922A (en) * 1993-03-16 1994-04-26 Genus, Inc. Production of high beam currents at low energies for use in ion implantation systems
JP2643763B2 (en) * 1993-04-16 1997-08-20 株式会社日立製作所 Ion implantation method
JPH06325711A (en) * 1993-05-14 1994-11-25 Ishikawajima Harima Heavy Ind Co Ltd Spatter type ion source
JP3079869B2 (en) * 1993-12-07 2000-08-21 日新電機株式会社 Ion source
US5780862A (en) * 1994-01-11 1998-07-14 Siess; Harold E. Method and apparatus for generating ions
JPH0817377A (en) * 1994-06-27 1996-01-19 Rikagaku Kenkyusho Electron beam excited ion plasma generator
US5523652A (en) * 1994-09-26 1996-06-04 Eaton Corporation Microwave energized ion source for ion implantation
JP3454388B2 (en) * 1994-11-15 2003-10-06 理化学研究所 Arc discharge method for ion beam generator
JP3487002B2 (en) * 1995-02-06 2004-01-13 石川島播磨重工業株式会社 Ion source
JP4365895B2 (en) * 1995-04-26 2009-11-18 株式会社日立製作所 Ion beam equipment
JP3355869B2 (en) * 1995-04-28 2002-12-09 日新電機株式会社 Ion source control device
US5633506A (en) * 1995-07-17 1997-05-27 Eaton Corporation Method and apparatus for in situ removal of contaminants from ion beam neutralization and implantation apparatuses
JPH0963493A (en) * 1995-08-18 1997-03-07 Nissin Electric Co Ltd Ion source device
IL115287A (en) * 1995-09-13 2000-02-17 Aviv Amirav Flame-based method and apparatus for analyzing a sample
US5977552A (en) * 1995-11-24 1999-11-02 Applied Materials, Inc. Boron ion sources for ion implantation apparatus
US5675152A (en) * 1996-01-16 1997-10-07 Taiwan Semiconductor Manufacturing Company Ltd. Source filament assembly for an ion implant machine
US5895923A (en) * 1996-02-16 1999-04-20 Eaton Corporation Ion beam shield for implantation systems
US6500314B1 (en) * 1996-07-03 2002-12-31 Tegal Corporation Plasma etch reactor and method
US6048435A (en) * 1996-07-03 2000-04-11 Tegal Corporation Plasma etch reactor and method for emerging films
JP4016402B2 (en) 1996-09-27 2007-12-05 バルナ,アルパード Ion source device for generating gas or vapor ions
JPH10154018A (en) * 1996-11-25 1998-06-09 Sumitomo Constr Mach Co Ltd Hydraulic oil temperature controller for hydraulic pressure circuit
JP3660457B2 (en) * 1996-12-26 2005-06-15 株式会社東芝 Ion generator and ion irradiation device
US5951769A (en) * 1997-06-04 1999-09-14 Crown Roll Leaf, Inc. Method and apparatus for making high refractive index (HRI) film
US6111260A (en) * 1997-06-10 2000-08-29 Advanced Micro Devices, Inc. Method and apparatus for in situ anneal during ion implant
US6815633B1 (en) * 1997-06-26 2004-11-09 Applied Science & Technology, Inc. Inductively-coupled toroidal plasma source
US6150628A (en) * 1997-06-26 2000-11-21 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6271529B1 (en) 1997-12-01 2001-08-07 Ebara Corporation Ion implantation with charge neutralization
JP3304861B2 (en) * 1997-12-19 2002-07-22 日新ハイボルテージ株式会社 Cesium sputter type negative ion source
JPH11283931A (en) * 1998-03-30 1999-10-15 Hitachi Ltd Ion implantation method
US6107634A (en) * 1998-04-30 2000-08-22 Eaton Corporation Decaborane vaporizer
US6094012A (en) * 1998-11-06 2000-07-25 The Regents Of The University Of California Low energy spread ion source with a coaxial magnetic filter
US6037717A (en) 1999-01-04 2000-03-14 Advanced Ion Technology, Inc. Cold-cathode ion source with a controlled position of ion beam
US6246059B1 (en) 1999-03-06 2001-06-12 Advanced Ion Technology, Inc. Ion-beam source with virtual anode
US6288403B1 (en) * 1999-10-11 2001-09-11 Axcelis Technologies, Inc. Decaborane ionizer
US6313428B1 (en) 1999-10-12 2001-11-06 Advanced Ion Beam Technology, Inc. Apparatus and method for reducing space charge of ion beams and wafer charging
US7838842B2 (en) * 1999-12-13 2010-11-23 Semequip, Inc. Dual mode ion source for ion implantation
US20070107841A1 (en) * 2000-12-13 2007-05-17 Semequip, Inc. Ion implantation ion source, system and method
US6452338B1 (en) * 1999-12-13 2002-09-17 Semequip, Inc. Electron beam ion source with integral low-temperature vaporizer
US7838850B2 (en) 1999-12-13 2010-11-23 Semequip, Inc. External cathode ion source
AU2430601A (en) * 1999-12-13 2001-06-18 Semequip, Inc. Ion implantation ion source, system and method
US6291940B1 (en) 2000-06-09 2001-09-18 Applied Materials, Inc. Blanker array for a multipixel electron source
US6583544B1 (en) 2000-08-07 2003-06-24 Axcelis Technologies, Inc. Ion source having replaceable and sputterable solid source material
US6476399B1 (en) * 2000-09-01 2002-11-05 Axcelis Technologies, Inc. System and method for removing contaminant particles relative to an ion beam
US6559462B1 (en) 2000-10-31 2003-05-06 International Business Machines Corporation Method to reduce downtime while implanting GeF4
CA2361298C (en) * 2000-11-08 2004-10-12 Research In Motion Limited Impedance matching low noise amplifier having a bypass switch
US6755150B2 (en) * 2001-04-20 2004-06-29 Applied Materials Inc. Multi-core transformer plasma source
US6651543B2 (en) * 2001-08-28 2003-11-25 Andrew D. Park Lightweight soft body-armor product
US6559544B1 (en) * 2002-03-28 2003-05-06 Alan Roth Programmable interconnect for semiconductor devices
US7138768B2 (en) * 2002-05-23 2006-11-21 Varian Semiconductor Equipment Associates, Inc. Indirectly heated cathode ion source
US20040002202A1 (en) * 2002-06-26 2004-01-01 Horsky Thomas Neil Method of manufacturing CMOS devices by the implantation of N- and P-type cluster ions
US7459704B2 (en) * 2004-11-12 2008-12-02 Varian Semiconductor Equipment Associates, Inc. Ion source configuration for production of ionized clusters, ionized molecules and ionized mono-atoms
US20070278417A1 (en) 2005-07-01 2007-12-06 Horsky Thomas N Ion implantation ion source, system and method

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2733348A (en) 1956-01-31 Ion source units
US4841197A (en) 1986-05-28 1989-06-20 Nihon Shinku Gijutsu Kabushiki Kaisha Double-chamber ion source
EP0329461A2 (en) 1988-02-18 1989-08-23 FISONS plc Mass Spectrometer
US4902572A (en) * 1988-04-19 1990-02-20 The Boeing Company Film deposition system
WO1990015658A1 (en) 1989-06-06 1990-12-27 Viking Instruments Corp. Miniaturized mass spectrometer system
US5101110A (en) 1989-11-14 1992-03-31 Tokyo Electron Limited Ion generator
US5296713A (en) * 1992-01-23 1994-03-22 Tokyo Electron Limited Ion source device

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See also references of EP1245036A4

Cited By (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7479643B2 (en) 1999-12-13 2009-01-20 Semequip, Inc. Ion implantation ion source, system and method
US7732787B2 (en) 1999-12-13 2010-06-08 Semequip, Inc. Ion implantation ion source, system and method
US6844556B2 (en) 2002-05-24 2005-01-18 Nissin Electronics Co., Ltd. Ion source, method of operating the same, and ion source system
JP2005531156A (en) * 2002-06-26 2005-10-13 セムエキップ インコーポレイテッド Manufacturing method of CMOS device by implantation of N and P type cluster ions and anions
JP4744141B2 (en) * 2002-06-26 2011-08-10 セムエキップ インコーポレイテッド Manufacturing method of CMOS device by implantation of N and P type cluster ions and anions
US7145157B2 (en) 2003-09-11 2006-12-05 Applied Materials, Inc. Kinematic ion implanter electrode mounting
WO2005038856A2 (en) * 2003-10-17 2005-04-28 Applied Materials, Inc. Kinematic ion implanter electrode mounting
WO2005038856A3 (en) * 2003-10-17 2005-06-30 Applied Materials Inc Kinematic ion implanter electrode mounting
US7488958B2 (en) 2005-03-08 2009-02-10 Axcelis Technologies, Inc. High conductance ion source
WO2006096618A1 (en) * 2005-03-08 2006-09-14 Axcelis Technologies, Inc. High conductance ion source
US7435971B2 (en) 2006-05-19 2008-10-14 Axcelis Technologies, Inc. Ion source
WO2007136722A3 (en) * 2006-05-19 2008-04-10 Axcelis Tech Inc New and improved ion source
WO2007136722A2 (en) * 2006-05-19 2007-11-29 Axcelis Technologies, Inc. New and improved ion source
US10497569B2 (en) 2009-07-23 2019-12-03 Entegris, Inc. Carbon materials for carbon implantation
US9111860B2 (en) 2009-10-27 2015-08-18 Entegris, Inc. Ion implantation system and method
US9142387B2 (en) 2009-10-27 2015-09-22 Entegris, Inc. Isotopically-enriched boron-containing compounds, and methods of making and using same
US9685304B2 (en) 2009-10-27 2017-06-20 Entegris, Inc. Isotopically-enriched boron-containing compounds, and methods of making and using same
US9960042B2 (en) 2012-02-14 2018-05-01 Entegris Inc. Carbon dopant gas and co-flow for implant beam and source life performance improvement
US10354877B2 (en) 2012-02-14 2019-07-16 Entegris, Inc. Carbon dopant gas and co-flow for implant beam and source life performance improvement
EP3382739A1 (en) * 2017-03-28 2018-10-03 Thermo Finnigan LLC Systems and methods for electron ionization ion sources
CN111663105A (en) * 2020-05-26 2020-09-15 南方科技大学 Ultrahigh vacuum electron beam evaporator and electron beam coating device

Also Published As

Publication number Publication date
US7107929B2 (en) 2006-09-19
US20050269520A1 (en) 2005-12-08
AU2430601A (en) 2001-06-18
US20030230986A1 (en) 2003-12-18
JP4820038B2 (en) 2011-11-24
US7479643B2 (en) 2009-01-20
US20040188631A1 (en) 2004-09-30
JP2010140908A (en) 2010-06-24
EP2426693A2 (en) 2012-03-07
US20040245476A1 (en) 2004-12-09
JP2013127976A (en) 2013-06-27
US20050051096A1 (en) 2005-03-10
US7800312B2 (en) 2010-09-21
JP5107567B2 (en) 2012-12-26
JP2011066022A (en) 2011-03-31
US20070262262A1 (en) 2007-11-15
EP2426693A3 (en) 2013-01-16
EP1245036B1 (en) 2013-06-19
TW521295B (en) 2003-02-21
US8154210B2 (en) 2012-04-10
JP2006196465A (en) 2006-07-27
EP1245036A1 (en) 2002-10-02
US20100148089A1 (en) 2010-06-17
US7185602B2 (en) 2007-03-06
US7732787B2 (en) 2010-06-08
JP2007115704A (en) 2007-05-10
US20070108394A1 (en) 2007-05-17
EP1245036A4 (en) 2009-04-22
US7112804B2 (en) 2006-09-26
US7022999B2 (en) 2006-04-04
JP2004507861A (en) 2004-03-11

Similar Documents

Publication Publication Date Title
EP1245036B1 (en) Ion implantation ion source
US20070278417A1 (en) Ion implantation ion source, system and method
US7838850B2 (en) External cathode ion source
US20070107841A1 (en) Ion implantation ion source, system and method
US6452338B1 (en) Electron beam ion source with integral low-temperature vaporizer
US7528550B2 (en) Ion implantation system and control method
EP1535324B1 (en) Method of manufacturing cmos devices by the implantation of n- and p-type cluster ions and negative ions
KR101838578B1 (en) Silaborane implantation processes
EP2426692A2 (en) Ion source
EP1538655A2 (en) Ion implantation ion source

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CR CU CZ DE DK DM DZ EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NO NZ PL PT RO RU SD SE SG SI SK SL TJ TM TR TT TZ UA UG US US UZ VN YU ZA ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZW AM AZ BY KG KZ MD RU TJ TM AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE TR BF BJ CF CG CI CM GA GN GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
WWE Wipo information: entry into national phase

Ref document number: 10170512

Country of ref document: US

ENP Entry into the national phase

Ref country code: JP

Ref document number: 2001 543753

Kind code of ref document: A

Format of ref document f/p: F

WWE Wipo information: entry into national phase

Ref document number: 2000988056

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 2000988056

Country of ref document: EP

REG Reference to national code

Ref country code: DE

Ref legal event code: 8642