WO2001022016A1 - Supercritical fluid drying system - Google Patents

Supercritical fluid drying system Download PDF

Info

Publication number
WO2001022016A1
WO2001022016A1 PCT/US2000/025726 US0025726W WO0122016A1 WO 2001022016 A1 WO2001022016 A1 WO 2001022016A1 US 0025726 W US0025726 W US 0025726W WO 0122016 A1 WO0122016 A1 WO 0122016A1
Authority
WO
WIPO (PCT)
Prior art keywords
container
pressure vessel
process fluid
pressure
base plate
Prior art date
Application number
PCT/US2000/025726
Other languages
French (fr)
Inventor
James Tseronis
Heiko Moritz
Mohan Chandra
Ijaz Jafri
Jonathan Talbott
Original Assignee
S. C. Fluids, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by S. C. Fluids, Inc. filed Critical S. C. Fluids, Inc.
Priority to KR1020027003637A priority Critical patent/KR20020060182A/en
Priority to AU75939/00A priority patent/AU7593900A/en
Priority to EP00965176A priority patent/EP1214555B1/en
Priority to IL14842400A priority patent/IL148424A0/en
Priority to AT00965176T priority patent/ATE285555T1/en
Priority to JP2001525145A priority patent/JP2003510801A/en
Priority to DE60016938T priority patent/DE60016938T2/en
Publication of WO2001022016A1 publication Critical patent/WO2001022016A1/en

Links

Classifications

    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F26DRYING
    • F26BDRYING SOLID MATERIALS OR OBJECTS BY REMOVING LIQUID THEREFROM
    • F26B3/00Drying solid materials or objects by processes involving the application of heat
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J3/00Processes of utilising sub-atmospheric or super-atmospheric pressure to effect chemical or physical change of matter; Apparatus therefor
    • B01J3/008Processes carried out under supercritical conditions
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J3/00Processes of utilising sub-atmospheric or super-atmospheric pressure to effect chemical or physical change of matter; Apparatus therefor
    • B01J3/06Processes using ultra-high pressure, e.g. for the formation of diamonds; Apparatus therefor, e.g. moulds or dies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/67034Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for drying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a batch of workpieces
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S134/00Cleaning and liquid contact with solids
    • Y10S134/902Semiconductor wafer

Definitions

  • This invention relates to methods and apparatus for the fabrication of micro-electromechanical systems (MEMS), micro-opto-mechanical systems (MOEMS), surface micro machined systems, and similar wafer-mounted microstructures; and in particular to methods and apparatus for applying supercritical fluid drying techniques in the fabrication of microstructures.
  • MEMS micro-electromechanical systems
  • MOEMS micro-opto-mechanical systems
  • surface micro machined systems and similar wafer-mounted microstructures
  • FIG. 1 is a prior art illustration of a simple "anchored" SSM silicon based production process.
  • a substrate such as Silicon
  • a sacrificial material such as grown Silicon Dioxide or SiO 2 .
  • the sacrificial material is etched to open a hole for the anchor of the structure.
  • a structural material such as polysilicon is deposited on the sacrificial material.
  • the sacrificial material is etched away to release the structural layer, creating the microstructure.
  • SiO 2 is a common material for a sacrificial layer
  • other materials like photoresists may be used in other applications.
  • the wafer After removal of the sacrificial material or sacrificial layer by etching or other methods the wafer has to be rinsed to remove any residual trace of the etch liquid. Rinsing usually is done with deionized water, which causes the problem of stiction upon drying.
  • Stiction or adhesion occurs when a "released" structure adheres to another surface.
  • Fig. 2 gives a visual representation of stiction and how it is generated.
  • Fig. 2a shows a properly released cantilevered polysilicon beam with rinsing liquid still trapped under it.
  • Fig. 2b shows how the capillary force generated upon drying of the rinsing liquid pulls the beam towards the silicon substrate.
  • Fig. 2c shows how the beam sticks to the substrate, rendering the device flawed.
  • the capillary force responsible for the deformation of a beam upon drying as illustrated in Fig. 2, is represented by the following equation:
  • is the surface tension of the rinsing liquid
  • A is the surface area that the beam shares with the substrate
  • h is the height of the gap between the surface of the substrate and the beam
  • ⁇ i and ⁇ 2 are the contact angles of the rinsing liquid with the substrate and the beam, respectively.
  • the capillary force There are two methods of controlling the capillary force, (i) manipulating the contact angle of the rinsing liquid by modifying the surface tension of the rinsing liquid, or (ii) reducing or eliminating the surface tension ⁇ .
  • the first method can only minimize the capillary force since the conditions of the surfaces in contact with the rinsing liquid, determining the contact angle, can vary.
  • the condition of the rinsing liquid can vary during its use and may lead to unpredictable stiction and loss of yield.
  • Working to find improvements to the controlled release of microstructures without subsequent sticking of these structures to the substrate researchers at the University of California at Berkeley have developed a process for drying silicon wafers in a supercritical fluid environment.
  • the supercritical fluid of choice was CO 2 , carbon dioxide, due to its low critical point, determined by a critical temperature Tc of 31.1 degrees centigrade and a critical pressure pc of 1073 pounds per square inch over atmosphere.
  • an intermediate process step has to be introduced based on the fact, that water, the rinsing liquid applied after the sacrificial etch step, is not miscible with CO 2 .
  • the water After rinsing, when the wafer still is wet with water, the water has to be replaced by a material that is miscible with CO 2 .
  • This material can be methanol or any other material that is to 100% miscible with CO 2 .
  • the wafer has to be kept submerged in methanol till it is safely deposited in the process chamber.
  • a silicon wafer containing a pattern of microelectronic structure having been fabricated in the conventional manner, but with the added step of replacing the rinsing liquid water by methanol, is introduced into a pressure vessel, with a horizontal orientation, submerged in methanol.
  • the pressure vessel is first filled with methanol. Then the operator quickly transfers the wafer into the vessel while deftly attempting to maintain a liquid layer of methanol on the wafer surface during this transport. The pressure vessel is then sealed, and a through-flow of liquid carbon dioxide is introduced for about 15 minutes. The methanol is rapidly absorbed into the liquid carbon dioxide and carried out of the pressure vessel.
  • a vessel that can be opened in cross section and when closed is subjected to elevated temperature and pressure to this extent must be of substantial construction, with a locking mechanism adequate to safely sustain the total pressure applied.
  • a circumferential pattern of 8 bolts is used to secure the top to the base of the vessel, to contain the high pressure. Heat is applied to the vessel by external heaters, and ports in the vessel admit and remove the materials of the process.
  • the device is not suitable for integration into a production line with automated means for inserting and removing wafers; there is no safe transfer mechanism to ensure that a liquid layer is maintained on the wafer during the transport or transfer process; the closing mechanism of the pressure vessel is manual and too slow; and the serially administered steps of the process are manually accomplished and too slow for production requirements.
  • the device is also lacking the safeguards required by industrial standards and regulations for production requirements.
  • the invention in its simplest form, is an apparatus and method for implementing and improving on the prior art methods for the drying of micro-electro-mechanical structures on silicon wafers or other substrate material or drying of wafers in general.
  • a first process fluid or rinsing agent such as methanol
  • FIG. 1 is a prior art representation of the sequential steps in the manufacturing of a typical Sacrificial Surface Micromachined (SSM) microelectromechanical system (MEMS) based device.
  • SSM Sacrificial Surface Micromachined
  • MEMS microelectromechanical system
  • FIG. 2 is a prior art representation of the sequential steps device manufactured using Sacrificial Surface Micromachining method for manufacturing MEMS based device, experiencing stiction in the drying process.
  • FIG. 3 is a perspective representation of the prior art laboratory apparatus for demonstrating the process of supercritical CO 2 drying of microstructures.
  • FIG. 4 is a diagrammatic cross section view of the preferred embodiment apparatus of the invention, illustrated with the base plate in an open position relative to the inverted pressure vessel.
  • FIG. 5 is a diagrammatic cross section view of the embodiment of Fig. 4, in a partially closed position where vessel tubes and container tubes are extending downward, respectively outside and inside the container
  • FIG. 6 is a diagrammatic cross section view of the embodiment of Figs. 4 and 5, in a fully closed position, with the vessel and container tubes extending respectively to nearly the base plate and the bottom of the container.
  • FIG. 7 is a schematic representation of the fluid valve and piping assembly of the embodiment of Figs. 4, 5 and 6. DESCRIPTION OF THE PREFERRED EMBODIMENT
  • FIGs. 4, 5 and 6 show a preferred embodiment of the apparatus of the invention in its open, semi-open and closed positions, respectively.
  • the apparatus can be operated with base plate (10), stationary, and pressure chamber (11), vertically movable; or with both base plate and pressure chamber movable; or with pressure chamber (11) held stationary and base plate (10) being vertically movable.
  • An advantage of the inverted pressure vessel is the reduction of any particulates generated by a removable top and falling into the process environment.
  • An advantage of the inverted, stationary vessel and movable base plate is the relative ease of elevating the base plate to the vessel, and the absence of flexible connections for supplying and removing process materials from the vessel.
  • FIG. 6 it shows base plate (10) and pressure chamber (11) made of stainless steel and electro-polished.
  • Base plate (10) and pressure chamber (11) are sealed using an O-ring seal (16).
  • One or more wafers (13) are placed in a wafer cassette (14) which is made of quartz or stainless steel.
  • the wafer cassette is aligned in container (12) using cassette alignment fixture (18).
  • the container (12) is placed on the base plate (10) using a container alignment fixture (17).
  • the container (12) is filled with a process fluid (15), such as methanol or acetone. The choice of such fluid depends on its miscibility with liquid carbon dioxide.
  • the system contains internal heat exchanger (9) for heating and cooling the process fluid, for which external connections are provided through heat exchanger inlet (7) and heat exchanger outlet (8).
  • the system incorporates rupture disk (6) as a safety feature to prevent over pressurization.
  • the system also contains four process fluid lines that terminate in vertically extending tubes inside the pressure vessel; container inlet tube (1), vessel inlet tube (2), container outlet tube (4) and vessel outlet tube (5). Another line off the pressure vessel is provided for initial air purging of the system; purge line (3).
  • Reservoir (28) contains CO 2 , which can be provided to process chamber of pressure vessel (10) at constant pressures higher than the critical point of CO 2 .
  • the reservoir pressure is read at pressure gauge (27).
  • the different components shown on the supply side are the main reservoir valve low flow (19), main reservoir valve high flow (35), and inline filter (30).
  • the different valves connected to the chamber are the container inlet valve (21), vessel inlet valve (22), purge line valve (23), container outlet valve (24), vessel outlet valve (25), dual inlet valve (20), recovery inlet line valve low flow (31), and recovery inlet line valve high flow (34).
  • Other components include rupture disk for safety (26), chamber pressure gauge (32), chamber pressure transducer (33) and separator and recovery system (29).
  • wafer cassette (14), containing wafers (13) immersed in methanol is placed into a container (12) so that the liquid level is about 10 mm below the top of container (12), and about 10 mm above the top of wafers (13).
  • the alignment of the wafer cassette to the container is done via cassette alignment fixture (18), and alignment of the container to the base plate is done via container alignment fixture (17), assuring that the container and the wafers are repeatably indexed to a precise location on base plate (10).
  • the drying process is then started by pressing the start button on an associated control panel, not shown here but fully appreciated by those skilled in the art.
  • the control panel display will prompt for any operator intervention and provides readout on process status during the drying cycle. Pressing the start button raises base plate (10).
  • the base plate and pressure chamber (11) meet and are sealed via o-ring (16), and a locking mechanism (not shown) is actuated to provide suitable resistance to opening of the pressure vessel under all process operating pressures.
  • pressure vessel (11) has multiple process material supply and removal lines that penetrate the vessel wall. Comparing Figs. 4,5, and 6; notice that when base plate (10) is raised, vertically extending container inlet tube (1) and container outlet tube (4) which protrude downwardly from the roof of the chamber of pressure vessel (1 1), enter container (12) and extend to nearly the bottom of the container as base plate (10) is raised into place. At the same time, vertically extending vessel inlet tube (2) and vessel outlet tube (5) also protrude from the roof of the chamber of pressure vessel (11), not entering container (12) when the base plate (10) is raised, but extending outside the container nearly to the base plate, outside container (12).
  • the main reservoir valve low flow (19), the dual inlet valve (20), the vessel inlet valve (22), the purge line valve (23), and the vessel outlet valve (25) are opened.
  • the container inlet valve (21) and the container outlet valve (24) are closed.
  • the recovery inlet valve low flow (31 ) and recovery inlet valve high flow (34) are both closed. It is important to pressurize the vessel slowly to avoid turbulence that might damage the microstructures on wafers (13).
  • Carbon dioxide is introduced into the pressure chamber at a very slow rate, and the chamber pressure is monitored via readout from the pressure gauges (27), (32) and pressure transducers (33), (36). Since CO 2 is heavier than air, it slowly pushes air out of the chamber through purge line (3). This ensures the removal of air from the chamber. Purging is done until the chamber is completely filled with CO 2 and all air has been exhausted.
  • the purge line valve (23) is closed, and the chamber is pressurized to about 700 psi.
  • main reservoir valve high flow (35) is opened, and the chamber is pressurized faster to go to 1100 psi.
  • the desired pressure is achieved, which is monitored via chamber pressure transducer (33) as well as chamber pressure gauge (32), the dual inlet valve (20), and vessel outlet valve (25), are closed .
  • the system at this point contains methanol contained in the container and liquid CO 2 , surrounding the container in the chamber.
  • the next step is removal of methanol from the system and its replacement with liquid CO 2 .
  • the container outlet valve (24), and the recovery inlet valve low flow (31), are opened, whereas vessel outlet valve (25) remains closed.
  • the siphon action of the container outlet tube (4) ensures the flow of methanol from the vessel to the separator and recovery system.
  • the methanol is continuously replaced by liquid CO 2 .
  • the constant flow of CO via the vessel inlet tube (2) into the chamber finally results in removal of methanol from container ( 12).
  • the line coming from the recovery inlet line valve low flow (31) is monitored for end point detection to determine when the methanol has been replaced completely. Once no methanol is present, all system valves are closed. At this time the chamber is filled with liquid carbon dioxide at 1100 psi.
  • the CO 2 in the pressure vessel is heated to about 35 - 40 degree centigrade to transform the liquid CO 2 into it's supercritical state.
  • a thermocouple (not shown) is mounted within pressure vessel (11), which provides temperature information back to a system control computer. As heat is applied and the temperature of the CO 2 is raised, there is a corresponding increase in pressure. If the pressure reaches a value above a set point pressure calculated to be the maximum safe operating pressure for pressure vessel (11), the recovery inlet high flow valve (34) is opened to relieve the pressure.
  • the container outlet valve (24), vessel outlet valve (25), recovery inlet valve high flow (34), are opened to achieve atmospheric pressure.
  • the heat exchanger switches to cooling mode to bring the vessel to less than 25 degree centigrade. This ensures that at the start of the next cycle, the vessel will be at lower than critical temperature condition.
  • the pressure vessel can now be opened, and wafer carrier (14) can be removed manually or by automatic means, leaving the system ready for the next drying cycle.
  • the invention is capable of other and different embodiments, including configurations adapted to handling wafers horizontally, as in a vertically stacked wafer cassette. Its several details are capable of modifications in various obvious respects, all without departing from the essence of the invention.
  • an apparatus for drying wafers in a supercritical environment consisting of an inverted pressure vessel, a horizontal base plate, a wafer cassette configured for supporting at least one wafer for drying, a container sufficiently large to submerge the wafer cassette and at least one wafer in a first process fluid such as methanol, and where the container is also sufficiently small enough to fit on the base plate and within the pressure vessel.
  • the apparatus may include a way for aligning the wafer cassette within the container, such as a simple fixture on the bottom of the container, and a way for aligning the container on the base plate, again such as a simple fixture on the base plate.
  • the apparatus may also have an elevator or screw assembly or other lift and lock mechanism for bringing the pressure vessel and base plate into a closed and sealed relationship.
  • the apparatus may further include a way for displacing the air in the pressure vessel with a second process fluid in a gaseous state, such as Carbon Dioxide, and a way to elevate the second process fluid to a liquid state, and then displace the first process fluid in the container with the second process fluid without any intervening contact of the wafer by air or other substances.
  • the apparatus may further include a way for elevating the second process fluid to a supercritical state, and then reducing pressure in the pressure vessel to ambient pressure. There may also be a way for cooling the remaining second process fluid to below its supercritical temperature.
  • the pressure vessel may include an internal capability for heating and cooling, such as a heat exchanger connected to external sources of heated and cooled fluids, so that it can be operated in a heating or cooling mode.
  • There may also be a purge line at the top of the pressure vessel and an associated purge line valve for venting the pressure vessel.
  • the apparatus may be set up with associated equipment for automatic loading of the pressure vessel with wafers submerged in the first process fluid.
  • a method for drying wafers in a supercritical environment consisting of using an apparatus of the invention and aligning a wafer cassette within the container, submerged in a first process fluid, aligning the container on the base plate, bringing the pressure vessel and base plate into a closed and sealed relationship, and displacing the air in the pressure vessel with a second process fluid in a gaseous state.
  • the method may include elevating the second process fluid to a liquid state, displacing the first process fluid in the container with the second process fluid in its liquid state.
  • the method may then include the steps of elevating the second process fluid to a supercritical state so as to dry the wafers, then reducing the pressure in the pressure vessel to ambient pressure, and cooling the second process fluid to below supercritical temperature.

Abstract

A method and apparatus for fabricating and drying wafers (13), including micro-electronics mechanical systems (MEMS) structures, in a second supercritical processing fluid environment. The apparatus utilizes an inverted pressure vessel (11) connected to a supercritical processing fluid supply and recovery system, with an internal heat exchanger (9) connected to external heating and cooling sources, which is closed with a vertically movable base (10). A wafer cassette (14) configured for supporting multiple wafers (13) is submerged in a first processing fluid within a container (12), which is installed on the base plate (10) for insertion onto the pressure vessel (11). Vessel (11) inlet (2) and outlet (5) tubes extend vertically downward from the ceiling of the pressure vessel (11) to nearly the base plate (10). Container (12) inlet (1) and outlet (4) tubes extend vertically down from the ceiling of the pressure vessel (11) to the inside of the container (12) and nearly to the bottom of the container (12).

Description

SUPERCRITICAL FLUID DRYING SYSTEM
This application relates to pending applications US60/155,454, filed 9/20/99, and US09/632770, filed 8/4/00.
BACKGROUND OF THE INVENTION
TECHNICAL FIELD OF THE INVENTION
This invention relates to methods and apparatus for the fabrication of micro-electromechanical systems (MEMS), micro-opto-mechanical systems (MOEMS), surface micro machined systems, and similar wafer-mounted microstructures; and in particular to methods and apparatus for applying supercritical fluid drying techniques in the fabrication of microstructures.
BACKGROUND ART
One method of manufacturing micro-electro-mechanical systems (MEMS) based devices is Sacrificial Surface Micromachining (SSM) or surface micromachining. Fig. 1 is a prior art illustration of a simple "anchored" SSM silicon based production process. In Fig. la, a substrate, such as Silicon, is deposited with a sacrificial material, such as grown Silicon Dioxide or SiO2. In Fig. lb, the sacrificial material is etched to open a hole for the anchor of the structure. In Fig. lc, a structural material such as polysilicon is deposited on the sacrificial material. In Fig. Id, the sacrificial material is etched away to release the structural layer, creating the microstructure. These steps can be repeated to form more complex multilevel structures. Although SiO2 is a common material for a sacrificial layer, other materials like photoresists may be used in other applications. After removal of the sacrificial material or sacrificial layer by etching or other methods the wafer has to be rinsed to remove any residual trace of the etch liquid. Rinsing usually is done with deionized water, which causes the problem of stiction upon drying.
Stiction or adhesion occurs when a "released" structure adheres to another surface.
Fig. 2 gives a visual representation of stiction and how it is generated. Fig. 2a, shows a properly released cantilevered polysilicon beam with rinsing liquid still trapped under it. Fig. 2b, shows how the capillary force generated upon drying of the rinsing liquid pulls the beam towards the silicon substrate. Fig. 2c, shows how the beam sticks to the substrate, rendering the device flawed.
The capillary force, responsible for the deformation of a beam upon drying as illustrated in Fig. 2, is represented by the following equation:
γ A
Fm = (cos θ + cos θ2 )
where γ is the surface tension of the rinsing liquid, A is the surface area that the beam shares with the substrate, h is the height of the gap between the surface of the substrate and the beam, and θi and θ2 are the contact angles of the rinsing liquid with the substrate and the beam, respectively.
There are two methods of controlling the capillary force, (i) manipulating the contact angle of the rinsing liquid by modifying the surface tension of the rinsing liquid, or (ii) reducing or eliminating the surface tension γ. The first method can only minimize the capillary force since the conditions of the surfaces in contact with the rinsing liquid, determining the contact angle, can vary. In addition, the condition of the rinsing liquid can vary during its use and may lead to unpredictable stiction and loss of yield. Working to find improvements to the controlled release of microstructures without subsequent sticking of these structures to the substrate, researchers at the University of California at Berkeley have developed a process for drying silicon wafers in a supercritical fluid environment. In it's supercritical state, γ, the surface tension is zero, and therefore capillary forces cannot be built up as can be easily seen in the equation. If it is now possible to keep the environment surrounding the structure in a state with γ = 0 during the whole drying process, stiction never occurs. The supercritical fluid of choice was CO2, carbon dioxide, due to its low critical point, determined by a critical temperature Tc of 31.1 degrees centigrade and a critical pressure pc of 1073 pounds per square inch over atmosphere.
Before CO2 can be applied for drying, an intermediate process step has to be introduced based on the fact, that water, the rinsing liquid applied after the sacrificial etch step, is not miscible with CO2. After rinsing, when the wafer still is wet with water, the water has to be replaced by a material that is miscible with CO2. This material can be methanol or any other material that is to 100% miscible with CO2. Furthermore, the wafer has to be kept submerged in methanol till it is safely deposited in the process chamber.
Using this laboratory method, a silicon wafer containing a pattern of microelectronic structure, having been fabricated in the conventional manner, but with the added step of replacing the rinsing liquid water by methanol, is introduced into a pressure vessel, with a horizontal orientation, submerged in methanol. To accomplish this, the pressure vessel is first filled with methanol. Then the operator quickly transfers the wafer into the vessel while deftly attempting to maintain a liquid layer of methanol on the wafer surface during this transport. The pressure vessel is then sealed, and a through-flow of liquid carbon dioxide is introduced for about 15 minutes. The methanol is rapidly absorbed into the liquid carbon dioxide and carried out of the pressure vessel. When the vessel has been entirely purged of methanol and is completely filled with pure liquid carbon dioxide, heat is applied uniformly for several minutes, causing the carbon dioxide to transition to its supercritical phase. It is at this point that the benefit of the process is realized, as no liquid/vapor interface occurs during this transition. The CO2 is then slowly vented to atmosphere. With the temperature kept higher than the critical temperature during venting, CO2 does not experience a phase transition and remains in a state with the surface tension equal to zero.
The prior art pressure vessel used in the laboratory setup for demonstrating this process is shown in Fig. 3. As is readily apparent from the figure, a vessel that can be opened in cross section and when closed is subjected to elevated temperature and pressure to this extent must be of substantial construction, with a locking mechanism adequate to safely sustain the total pressure applied. In the laboratory set up, a circumferential pattern of 8 bolts is used to secure the top to the base of the vessel, to contain the high pressure. Heat is applied to the vessel by external heaters, and ports in the vessel admit and remove the materials of the process.
There are several obvious problems with the laboratory set up that must be addressed in order to make this process sufficiently cost-effective and efficient for use in a production environment. The device is not suitable for integration into a production line with automated means for inserting and removing wafers; there is no safe transfer mechanism to ensure that a liquid layer is maintained on the wafer during the transport or transfer process; the closing mechanism of the pressure vessel is manual and too slow; and the serially administered steps of the process are manually accomplished and too slow for production requirements. The device is also lacking the safeguards required by industrial standards and regulations for production requirements.
During manufacturing, once the sacrificial layer is removed, if for any reason the wafer becomes dry, it can result in stiction or adhesion of devices onto the wafer substrate. Hence the transport of wafer from one manufacturing step to another manufacturing step without causing stiction is always a problem, and usually has been dependent on operator efficiency resulting in low device yields. SUMMARY OF THE INVENTION
The invention, in its simplest form, is an apparatus and method for implementing and improving on the prior art methods for the drying of micro-electro-mechanical structures on silicon wafers or other substrate material or drying of wafers in general.
It is therefore an object of the invention to provide a practical and safe production mechanism for the CO2 supercritical phase drying of wafers, and of microstructures on substrates..
It is a further object to provide for emplacement of the wafers or substrates into the pressure vessel submerged in a first process fluid or rinsing agent such as methanol, and to then directly displace the methanol with a second process fluid also in a liquid state, such as liquid carbon dioxide, this being connected within the pressure vessel and without disturbing the microstructures.
It is a yet further object to then elevate the second process fluid to supercritical state so as to cause the drying of the wafers with the benefits of the supercritical process, then reducing the pressure and temperature for recovery of the wafers.
Still other objects and advantages of the present invention will become readily apparent to those skilled in this art from the following detailed description, wherein we have shown and described only a preferred embodiment of the invention, simply by way of illustration of the best mode contemplated by me on carrying out our invention.
BRIEF DESCRIPTION OF THE DRAWINGS
FIG. 1 is a prior art representation of the sequential steps in the manufacturing of a typical Sacrificial Surface Micromachined (SSM) microelectromechanical system (MEMS) based device.
FIG. 2 is a prior art representation of the sequential steps device manufactured using Sacrificial Surface Micromachining method for manufacturing MEMS based device, experiencing stiction in the drying process.
FIG. 3 is a perspective representation of the prior art laboratory apparatus for demonstrating the process of supercritical CO2 drying of microstructures.
FIG. 4 is a diagrammatic cross section view of the preferred embodiment apparatus of the invention, illustrated with the base plate in an open position relative to the inverted pressure vessel.
FIG. 5 is a diagrammatic cross section view of the embodiment of Fig. 4, in a partially closed position where vessel tubes and container tubes are extending downward, respectively outside and inside the container
FIG. 6 is a diagrammatic cross section view of the embodiment of Figs. 4 and 5, in a fully closed position, with the vessel and container tubes extending respectively to nearly the base plate and the bottom of the container.
FIG. 7 is a schematic representation of the fluid valve and piping assembly of the embodiment of Figs. 4, 5 and 6. DESCRIPTION OF THE PREFERRED EMBODIMENT
The invention is susceptible of many variations. Accordingly, the drawings and following description of the preferred embodiment are to be regarded as illustrative in nature, and not as restrictive.
Referring to Figs. 4, 5 and 6, they show a preferred embodiment of the apparatus of the invention in its open, semi-open and closed positions, respectively. The apparatus can be operated with base plate (10), stationary, and pressure chamber (11), vertically movable; or with both base plate and pressure chamber movable; or with pressure chamber (11) held stationary and base plate (10) being vertically movable. In this preferred embodiment, we describe a stationary pressure chamber and moveable base plate.
An advantage of the inverted pressure vessel is the reduction of any particulates generated by a removable top and falling into the process environment. An advantage of the inverted, stationary vessel and movable base plate is the relative ease of elevating the base plate to the vessel, and the absence of flexible connections for supplying and removing process materials from the vessel.
Referring to Fig. 6, it shows base plate (10) and pressure chamber (11) made of stainless steel and electro-polished. Base plate (10) and pressure chamber (11) are sealed using an O-ring seal (16). One or more wafers (13) are placed in a wafer cassette (14) which is made of quartz or stainless steel. The wafer cassette is aligned in container (12) using cassette alignment fixture (18). The container (12) is placed on the base plate (10) using a container alignment fixture (17). The container (12) is filled with a process fluid (15), such as methanol or acetone. The choice of such fluid depends on its miscibility with liquid carbon dioxide.
The system contains internal heat exchanger (9) for heating and cooling the process fluid, for which external connections are provided through heat exchanger inlet (7) and heat exchanger outlet (8). The system incorporates rupture disk (6) as a safety feature to prevent over pressurization. The system also contains four process fluid lines that terminate in vertically extending tubes inside the pressure vessel; container inlet tube (1), vessel inlet tube (2), container outlet tube (4) and vessel outlet tube (5). Another line off the pressure vessel is provided for initial air purging of the system; purge line (3).
Referring to Fig. 7, the layout of different system valves and plumbing is shown. Reservoir (28) contains CO2, which can be provided to process chamber of pressure vessel (10) at constant pressures higher than the critical point of CO2. The reservoir pressure is read at pressure gauge (27). The different components shown on the supply side are the main reservoir valve low flow (19), main reservoir valve high flow (35), and inline filter (30). The different valves connected to the chamber are the container inlet valve (21), vessel inlet valve (22), purge line valve (23), container outlet valve (24), vessel outlet valve (25), dual inlet valve (20), recovery inlet line valve low flow (31), and recovery inlet line valve high flow (34). Other components include rupture disk for safety (26), chamber pressure gauge (32), chamber pressure transducer (33) and separator and recovery system (29).
Describing now the methodology for using the apparatus, wafer cassette (14), containing wafers (13) immersed in methanol, is placed into a container (12) so that the liquid level is about 10 mm below the top of container (12), and about 10 mm above the top of wafers (13). The alignment of the wafer cassette to the container is done via cassette alignment fixture (18), and alignment of the container to the base plate is done via container alignment fixture (17), assuring that the container and the wafers are repeatably indexed to a precise location on base plate (10).
The drying process is then started by pressing the start button on an associated control panel, not shown here but fully appreciated by those skilled in the art. The control panel display will prompt for any operator intervention and provides readout on process status during the drying cycle. Pressing the start button raises base plate (10). The base plate and pressure chamber (11) meet and are sealed via o-ring (16), and a locking mechanism (not shown) is actuated to provide suitable resistance to opening of the pressure vessel under all process operating pressures.
As noted, pressure vessel (11) has multiple process material supply and removal lines that penetrate the vessel wall. Comparing Figs. 4,5, and 6; notice that when base plate (10) is raised, vertically extending container inlet tube (1) and container outlet tube (4) which protrude downwardly from the roof of the chamber of pressure vessel (1 1), enter container (12) and extend to nearly the bottom of the container as base plate (10) is raised into place. At the same time, vertically extending vessel inlet tube (2) and vessel outlet tube (5) also protrude from the roof of the chamber of pressure vessel (11), not entering container (12) when the base plate (10) is raised, but extending outside the container nearly to the base plate, outside container (12).
Once the chamber of pressure vessel (11) is completely sealed, the main reservoir valve low flow (19), the dual inlet valve (20), the vessel inlet valve (22), the purge line valve (23), and the vessel outlet valve (25) are opened. The container inlet valve (21) and the container outlet valve (24) are closed. The recovery inlet valve low flow (31 ) and recovery inlet valve high flow (34) are both closed. It is important to pressurize the vessel slowly to avoid turbulence that might damage the microstructures on wafers (13). Carbon dioxide is introduced into the pressure chamber at a very slow rate, and the chamber pressure is monitored via readout from the pressure gauges (27), (32) and pressure transducers (33), (36). Since CO2 is heavier than air, it slowly pushes air out of the chamber through purge line (3). This ensures the removal of air from the chamber. Purging is done until the chamber is completely filled with CO2 and all air has been exhausted.
Next, the purge line valve (23) is closed, and the chamber is pressurized to about 700 psi. When 700 psi pressure is achieved, main reservoir valve high flow (35) is opened, and the chamber is pressurized faster to go to 1100 psi. Once the desired pressure is achieved, which is monitored via chamber pressure transducer (33) as well as chamber pressure gauge (32), the dual inlet valve (20), and vessel outlet valve (25), are closed . The system at this point contains methanol contained in the container and liquid CO2, surrounding the container in the chamber.
The next step is removal of methanol from the system and its replacement with liquid CO2. To do this, the container outlet valve (24), and the recovery inlet valve low flow (31), are opened, whereas vessel outlet valve (25) remains closed. The siphon action of the container outlet tube (4) ensures the flow of methanol from the vessel to the separator and recovery system. During this process step the methanol is continuously replaced by liquid CO2. The constant flow of CO via the vessel inlet tube (2) into the chamber finally results in removal of methanol from container ( 12).
The line coming from the recovery inlet line valve low flow (31) is monitored for end point detection to determine when the methanol has been replaced completely. Once no methanol is present, all system valves are closed. At this time the chamber is filled with liquid carbon dioxide at 1100 psi.
Next, using heat exchanger (9), the CO2 in the pressure vessel is heated to about 35 - 40 degree centigrade to transform the liquid CO2 into it's supercritical state. A thermocouple (not shown) is mounted within pressure vessel (11), which provides temperature information back to a system control computer. As heat is applied and the temperature of the CO2 is raised, there is a corresponding increase in pressure. If the pressure reaches a value above a set point pressure calculated to be the maximum safe operating pressure for pressure vessel (11), the recovery inlet high flow valve (34) is opened to relieve the pressure.
Once the supercritical state is achieved, the container outlet valve (24), vessel outlet valve (25), recovery inlet valve high flow (34), are opened to achieve atmospheric pressure. As soon as the atmospheric pressure is achieved, the heat exchanger switches to cooling mode to bring the vessel to less than 25 degree centigrade. This ensures that at the start of the next cycle, the vessel will be at lower than critical temperature condition. The pressure vessel can now be opened, and wafer carrier (14) can be removed manually or by automatic means, leaving the system ready for the next drying cycle.
As will be realized, the invention is capable of other and different embodiments, including configurations adapted to handling wafers horizontally, as in a vertically stacked wafer cassette. Its several details are capable of modifications in various obvious respects, all without departing from the essence of the invention.
For example, there may be an apparatus for drying wafers in a supercritical environment, consisting of an inverted pressure vessel, a horizontal base plate, a wafer cassette configured for supporting at least one wafer for drying, a container sufficiently large to submerge the wafer cassette and at least one wafer in a first process fluid such as methanol, and where the container is also sufficiently small enough to fit on the base plate and within the pressure vessel. The apparatus may include a way for aligning the wafer cassette within the container, such as a simple fixture on the bottom of the container, and a way for aligning the container on the base plate, again such as a simple fixture on the base plate. The apparatus may also have an elevator or screw assembly or other lift and lock mechanism for bringing the pressure vessel and base plate into a closed and sealed relationship.
The apparatus may further include a way for displacing the air in the pressure vessel with a second process fluid in a gaseous state, such as Carbon Dioxide, and a way to elevate the second process fluid to a liquid state, and then displace the first process fluid in the container with the second process fluid without any intervening contact of the wafer by air or other substances. The apparatus may further include a way for elevating the second process fluid to a supercritical state, and then reducing pressure in the pressure vessel to ambient pressure. There may also be a way for cooling the remaining second process fluid to below its supercritical temperature. Another example of the apparatus within the scope of the invention includes vertically downwardly extending vessel inlet and outlet tubes, where the tubes terminate outside the container and near the base plate, and a purge line outlet and associated valve at the roof of the pressure vessel. There may also be vertically downwardly extending container inlet and outlet tubes, where these tubes terminate inside the container and near the bottom of the container.
In yet another example, the pressure vessel may include an internal capability for heating and cooling, such as a heat exchanger connected to external sources of heated and cooled fluids, so that it can be operated in a heating or cooling mode. There may also be a purge line at the top of the pressure vessel and an associated purge line valve for venting the pressure vessel. And the apparatus may be set up with associated equipment for automatic loading of the pressure vessel with wafers submerged in the first process fluid.
As yet a further example, there is within the scope of the invention a method for drying wafers in a supercritical environment, consisting of using an apparatus of the invention and aligning a wafer cassette within the container, submerged in a first process fluid, aligning the container on the base plate, bringing the pressure vessel and base plate into a closed and sealed relationship, and displacing the air in the pressure vessel with a second process fluid in a gaseous state. The method may include elevating the second process fluid to a liquid state, displacing the first process fluid in the container with the second process fluid in its liquid state. The method may then include the steps of elevating the second process fluid to a supercritical state so as to dry the wafers, then reducing the pressure in the pressure vessel to ambient pressure, and cooling the second process fluid to below supercritical temperature.

Claims

CLAIMSAmong our claims are the following:
1. An apparatus for drying wafers in a supercritical environment, comprising: an inverted pressure vessel, a horizontal base plate, a wafer cassette configured for supporting at least one wafer for drying, a container sufficiently large to submerge said wafer cassette and said at least one wafer in a first process fluid, said container also being sufficiently small to fit on said base plate and within said pressure vessel, means for aligning said wafer cassette within said container, means for aligning said container on said base plate, means for bringing said pressure vessel and said base plate into a closed and sealed relationship, means for displacing the air in said pressure vessel with a second process fluid in a gaseous state, means for elevating said second process fluid to a liquid state, means for displacing said first process fluid in said container with said second process fluid in said liquid state, means for elevating said second process fluid to a supercritical state, means for reducing pressure in said pressure vessel to ambient pressure, and means for cooling said second process fluid to below supercritical temperature.
2. An apparatus for drying wafers according to claim 1 , said means for displacing the air in said pressure vessel with a second process fluid in a gaseous state comprising vertically downwardly extending vessel inlet and outlet tubes, said tubes terminating outside said container and near said base plate, and a purge line outlet and associated valve at the roof of said pressure vessel.
3. An apparatus for drying wafers according to claim 1, said means for elevating said second process fluid to a liquid state comprising means for increasing pressure within said pressure vessel.
4. An apparatus for drying wafers according to claim 1, said means for displacing said first process fluid in said container with said second process fluid in said liquid state comprising vertically downwardly extending container inlet and outlet tubes, said tubes terminating in said container and near the bottom of said container.
5. An apparatus for drying wafers according to claim 1 , said means for elevating said second process fluid to a supercritical state comprising a heat exchanger within said pressure vessel for increasing the temperature of said second process fluid.
6. An apparatus for drying wafers according to claim 1 , said means for reducing pressure in said pressure vessel to ambient pressure comprising a purge line at the top of said pressure vessel and an associated purge line valve for venting said pressure vessel.
7. An apparatus for drying wafers according to claim 1, said means for cooling said second process fluid to below supercritical temperature comprising switching said heat exchanger to cooling mode.
8. An apparatus for drying wafers according to claim 1, further comprising means for automatic loading of said pressure vessel with said wafers submerged in said first process fluid.
9. An apparatus for drying wafers in a supercritical environment, comprising: a stationary inverted pressure vessel, a vertically movable horizontal base plate, a wafer cassette configured for supporting at least one wafer for drying, a container sufficiently large to submerge said wafer cassette and said at least one wafer in a first process fluid, said container also being sufficiently small to fit on said base plate and within said pressure vessel, means for aligning said wafer cassette within said container, means for aligning said container on said base plate, means for elevating said base plate into a closed and sealed relationship with said pressure vessel, vertically downwardly extending vessel inlet and outlet tubes within said pressure vessel, said tubes terminating outside said container near said base plate, means for increasing pressure within said pressure vessel, vertically downwardly extending container inlet and outlet tubes within said pressure vessel, said tubes terminating in said container and near the bottom of said container, a heat exchanger within said pressure vessel, and a purge line at the top of said pressure vessel and an associated purge line valve for venting said pressure vessel.
10. An apparatus for drying wafers according to claim 9, further comprising means for automatic loading of said pressure vessel with said wafers submerged in said first process fluid.
1 1. A method for drying wafers in a supercritical environment, comprising: using an inverted pressure vessel with a horizontal base plate, using a wafer cassette configured for supporting at least one wafer for drying, using a container sufficiently large to submerge said wafer cassette and said at least one wafer in a first process fluid, said container also being sufficiently small to fit on said base plate and within said pressure vessel, aligning said wafer cassette within said container, aligning said container on said base plate, bringing said pressure vessel and said base plate into a closed and sealed relationship, displacing the air in said pressure vessel with a second process fluid in a gaseous state, elevating said second process fluid to a liquid state, displacing said first process fluid in said container with said second process fluid in said liquid state, elevating said second process fluid to a supercritical state, reducing pressure in said pressure vessel to ambient pressure, and cooling said second process fluid to below supercritical temperature.
12. A method for drying wafers according to claim 1 1, said displacing the air in said pressure vessel with a second process fluid in a gaseous state comprising admitting said second process fluid into said pressure vessel through a vertically downwardly extending vessel inlet tube terminating outside said container and near said base plate, and exhausting said air through a purge line outlet located on the top-most part of said pressure vessel.
13. A method for drying wafers according to claim 11 , said elevating said second process fluid to a liquid state comprising admitting additional said second process fluid into said pressure vessel under a pressure at least equal to the liquid state pressure of said second process fluid.
14. A method for drying wafers according to claim 11, said displacing said first process fluid in said container with said second process fluid in said liquid state comprising admitting additional said second process fluid in said liquid state into said container through a vertically downwardly extending container inlet tube and exhausting said first said process fluid from said container through a said container outlet tube, said tubes terminating inside said container and near the bottom of said container.
15. A method for drying wafers according to claim 11, said elevating said second process fluid to a supercritical state comprising applying heat to said second process fluid through a heat exchanger within said pressure vessel.
16. A method for drying wafers according to claim 11, said reducing pressure in said pressure vessel to ambient pressure comprising exhausting said second process fluid out a purge line at the top of said pressure vessel by operation of an associated valve.
17. A method for drying wafers according to claim 16, said reducing pressure in said pressure vessel to ambient pressure further comprising keeping the temperature of said second process fluid above its critical temperature.
18. A method for drying wafers according to claim 1 1, said pressure vessel including an internal heat exchanger connected to external heating and cooling sources, said cooling said second process fluid to below supercritical temperature comprising operating said heat exchanger in a cooling mode.
PCT/US2000/025726 1999-09-20 2000-09-20 Supercritical fluid drying system WO2001022016A1 (en)

Priority Applications (7)

Application Number Priority Date Filing Date Title
KR1020027003637A KR20020060182A (en) 1999-09-20 2000-09-20 Supercritical fluid drying system
AU75939/00A AU7593900A (en) 1999-09-20 2000-09-20 Supercritical fluid drying system
EP00965176A EP1214555B1 (en) 1999-09-20 2000-09-20 Supercritical fluid drying system
IL14842400A IL148424A0 (en) 1999-09-20 2000-09-20 Supercritical fluid drying system
AT00965176T ATE285555T1 (en) 1999-09-20 2000-09-20 DRYING SYSTEM USING A SUPERCRITICAL LIQUID
JP2001525145A JP2003510801A (en) 1999-09-20 2000-09-20 Supercritical fluid drying system
DE60016938T DE60016938T2 (en) 1999-09-20 2000-09-20 DRYING SYSTEM USING AN OVERCRITICAL LIQUID

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US15545499P 1999-09-20 1999-09-20
US60/155,454 1999-09-20
US09/632,770 2000-08-04
US09/632,770 US6508259B1 (en) 1999-08-05 2000-08-04 Inverted pressure vessel with horizontal through loading

Publications (1)

Publication Number Publication Date
WO2001022016A1 true WO2001022016A1 (en) 2001-03-29

Family

ID=26852346

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2000/025726 WO2001022016A1 (en) 1999-09-20 2000-09-20 Supercritical fluid drying system

Country Status (10)

Country Link
US (1) US6508259B1 (en)
EP (1) EP1214555B1 (en)
JP (1) JP2003510801A (en)
KR (1) KR20020060182A (en)
CN (1) CN1127653C (en)
AT (1) ATE285555T1 (en)
AU (1) AU7593900A (en)
DE (1) DE60016938T2 (en)
IL (1) IL148424A0 (en)
WO (1) WO2001022016A1 (en)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1358021A1 (en) * 2000-08-04 2003-11-05 S. C. Fluids, Inc. Inverted pressure vessel with shielded closure mechanism
US6880560B2 (en) 2002-11-18 2005-04-19 Techsonic Substrate processing apparatus for processing substrates using dense phase gas and sonic waves
EP1573779A2 (en) * 2001-04-10 2005-09-14 Tokyo Electron Limited High pressure processing chamber for semiconductor substrate including flow enhancing features
US7767145B2 (en) 2005-03-28 2010-08-03 Toyko Electron Limited High pressure fourier transform infrared cell
US9027576B2 (en) 2013-03-12 2015-05-12 Samsung Electronics Co., Ltd. Substrate treatment systems using supercritical fluid
CN109012493A (en) * 2018-08-16 2018-12-18 宋波 Depressurize operation type fuel rod application apparatus
CN114001526A (en) * 2021-11-01 2022-02-01 华海清科股份有限公司 Wafer lifting and drying method and wafer drying device

Families Citing this family (64)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW499696B (en) * 1999-04-27 2002-08-21 Tokyo Electron Ltd Processing apparatus and processing method
US6748960B1 (en) * 1999-11-02 2004-06-15 Tokyo Electron Limited Apparatus for supercritical processing of multiple workpieces
EP1234322A2 (en) * 1999-11-02 2002-08-28 Tokyo Electron Limited Method and apparatus for supercritical processing of multiple workpieces
US6415804B1 (en) * 1999-12-23 2002-07-09 Lam Research Corporation Bowl for processing semiconductor wafers
US20040003831A1 (en) * 2000-04-18 2004-01-08 Mount David J. Supercritical fluid cleaning process for precision surfaces
AU2001255656A1 (en) * 2000-04-25 2001-11-07 Tokyo Electron Limited Method of depositing metal film and metal deposition cluster tool including supercritical drying/cleaning module
KR100750018B1 (en) * 2000-07-26 2007-08-16 동경 엘렉트론 주식회사 High pressure processing chamber for semiconductor substrate
US20040040660A1 (en) * 2001-10-03 2004-03-04 Biberger Maximilian Albert High pressure processing chamber for multiple semiconductor substrates
US7028698B2 (en) * 2001-12-28 2006-04-18 Brian Nils Hansen Pressure processing apparatus with improved heating and closure system
AU2003215238A1 (en) * 2002-02-15 2003-09-09 Supercritical Systems Inc. Pressure enchanced diaphragm valve
US7387868B2 (en) * 2002-03-04 2008-06-17 Tokyo Electron Limited Treatment of a dielectric layer using supercritical CO2
DE10255231B4 (en) * 2002-11-26 2006-02-02 Uhde High Pressure Technologies Gmbh High pressure device for closing a pressure vessel in the clean room
US7021635B2 (en) * 2003-02-06 2006-04-04 Tokyo Electron Limited Vacuum chuck utilizing sintered material and method of providing thereof
US7077917B2 (en) * 2003-02-10 2006-07-18 Tokyo Electric Limited High-pressure processing chamber for a semiconductor wafer
US7270137B2 (en) * 2003-04-28 2007-09-18 Tokyo Electron Limited Apparatus and method of securing a workpiece during high-pressure processing
US20050034660A1 (en) * 2003-08-11 2005-02-17 Supercritical Systems, Inc. Alignment means for chamber closure to reduce wear on surfaces
US20050035514A1 (en) * 2003-08-11 2005-02-17 Supercritical Systems, Inc. Vacuum chuck apparatus and method for holding a wafer during high pressure processing
CN100363241C (en) * 2004-04-29 2008-01-23 家登精密工业股份有限公司 Filling device for transmission case
US7250374B2 (en) * 2004-06-30 2007-07-31 Tokyo Electron Limited System and method for processing a substrate using supercritical carbon dioxide processing
US7445015B2 (en) * 2004-09-30 2008-11-04 Lam Research Corporation Cluster tool process chamber having integrated high pressure and vacuum chambers
US20060065288A1 (en) * 2004-09-30 2006-03-30 Darko Babic Supercritical fluid processing system having a coating on internal members and a method of using
US20060065189A1 (en) * 2004-09-30 2006-03-30 Darko Babic Method and system for homogenization of supercritical fluid in a high pressure processing system
US7491036B2 (en) * 2004-11-12 2009-02-17 Tokyo Electron Limited Method and system for cooling a pump
US20060102208A1 (en) * 2004-11-12 2006-05-18 Tokyo Electron Limited System for removing a residue from a substrate using supercritical carbon dioxide processing
US20060102590A1 (en) * 2004-11-12 2006-05-18 Tokyo Electron Limited Method for treating a substrate with a high pressure fluid using a preoxide-based process chemistry
US20060102591A1 (en) * 2004-11-12 2006-05-18 Tokyo Electron Limited Method and system for treating a substrate using a supercritical fluid
US20060102282A1 (en) * 2004-11-15 2006-05-18 Supercritical Systems, Inc. Method and apparatus for selectively filtering residue from a processing chamber
US20060130966A1 (en) * 2004-12-20 2006-06-22 Darko Babic Method and system for flowing a supercritical fluid in a high pressure processing system
US20060134332A1 (en) * 2004-12-22 2006-06-22 Darko Babic Precompressed coating of internal members in a supercritical fluid processing system
US20060135047A1 (en) * 2004-12-22 2006-06-22 Alexei Sheydayi Method and apparatus for clamping a substrate in a high pressure processing system
US7140393B2 (en) * 2004-12-22 2006-11-28 Tokyo Electron Limited Non-contact shuttle valve for flow diversion in high pressure systems
US7434590B2 (en) * 2004-12-22 2008-10-14 Tokyo Electron Limited Method and apparatus for clamping a substrate in a high pressure processing system
US7435447B2 (en) * 2005-02-15 2008-10-14 Tokyo Electron Limited Method and system for determining flow conditions in a high pressure processing system
US20060180572A1 (en) * 2005-02-15 2006-08-17 Tokyo Electron Limited Removal of post etch residue for a substrate with open metal surfaces
US20060180174A1 (en) * 2005-02-15 2006-08-17 Tokyo Electron Limited Method and system for treating a substrate with a high pressure fluid using a peroxide-based process chemistry in conjunction with an initiator
US7291565B2 (en) * 2005-02-15 2007-11-06 Tokyo Electron Limited Method and system for treating a substrate with a high pressure fluid using fluorosilicic acid
JP4559250B2 (en) * 2005-02-16 2010-10-06 シチズンファインテックミヨタ株式会社 Actuator and manufacturing method thereof
US7380984B2 (en) * 2005-03-28 2008-06-03 Tokyo Electron Limited Process flow thermocouple
US20060226117A1 (en) * 2005-03-29 2006-10-12 Bertram Ronald T Phase change based heating element system and method
US20060225772A1 (en) * 2005-03-29 2006-10-12 Jones William D Controlled pressure differential in a high-pressure processing chamber
US7494107B2 (en) * 2005-03-30 2009-02-24 Supercritical Systems, Inc. Gate valve for plus-atmospheric pressure semiconductor process vessels
US20060225769A1 (en) * 2005-03-30 2006-10-12 Gentaro Goshi Isothermal control of a process chamber
DK1885827T3 (en) * 2005-04-29 2016-08-29 Univ Michigan Regents METHOD OF LUBRICATING METAL BASED ON OVERCritical CARBON Dioxide
US20060255012A1 (en) * 2005-05-10 2006-11-16 Gunilla Jacobson Removal of particles from substrate surfaces using supercritical processing
US7789971B2 (en) * 2005-05-13 2010-09-07 Tokyo Electron Limited Treatment of substrate using functionalizing agent in supercritical carbon dioxide
US7524383B2 (en) * 2005-05-25 2009-04-28 Tokyo Electron Limited Method and system for passivating a processing chamber
US20070012337A1 (en) * 2005-07-15 2007-01-18 Tokyo Electron Limited In-line metrology for supercritical fluid processing
JP5303992B2 (en) * 2008-03-31 2013-10-02 パナソニック株式会社 Heating device
CN101433562B (en) * 2008-12-17 2011-09-07 中国林业科学研究院林产化学工业研究所 Method for preparing ginkgo leaf extract powder using supercritical liquid desiccation and device thereof
JP5477131B2 (en) * 2010-04-08 2014-04-23 東京エレクトロン株式会社 Substrate processing equipment
JP5985156B2 (en) * 2011-04-04 2016-09-06 東京エレクトロン株式会社 Method and apparatus for supercritical drying of semiconductor substrate
US9275852B2 (en) * 2012-05-31 2016-03-01 Semes Co., Ltd. Substrate treating apparatus and substrate treating method
US9587880B2 (en) * 2012-05-31 2017-03-07 Semes Co., Ltd. Apparatus and method for drying substrate
JP6068029B2 (en) 2012-07-18 2017-01-25 株式会社東芝 Substrate processing method, substrate processing apparatus, and storage medium
TWI826650B (en) 2012-11-26 2023-12-21 美商應用材料股份有限公司 Stiction-free drying process with contaminant removal for high-aspect-ratio semiconductor device structures
CN103363783B (en) * 2013-07-15 2014-12-10 哈尔滨工业大学 Supercritical fluid drying device and application method thereof
CN116207033A (en) 2015-10-04 2023-06-02 应用材料公司 Substrate support and baffle plate apparatus
KR102189211B1 (en) 2015-10-04 2020-12-09 어플라이드 머티어리얼스, 인코포레이티드 Small thermal mass pressurized chamber
CN116206947A (en) 2015-10-04 2023-06-02 应用材料公司 Reduced space processing chamber
JP6644881B2 (en) 2015-10-04 2020-02-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Drying process for high aspect ratio features
KR20180006716A (en) * 2016-07-11 2018-01-19 세메스 주식회사 Apparatus and method fdr treating substrates
CN108598019A (en) * 2018-04-17 2018-09-28 德淮半导体有限公司 Wafer cleaning equipment and its cleaning method
CN113834315A (en) * 2021-11-26 2021-12-24 江苏鑫华半导体材料科技有限公司 Method and system for drying polycrystalline silicon
CN115540527B (en) * 2022-09-29 2024-02-27 浙江大学 Supercritical fluid drying system and drying method

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4245154A (en) * 1977-09-24 1981-01-13 Tokyo Ohka Kogyo Kabushiki Kaisha Apparatus for treatment with gas plasma
US5167716A (en) * 1990-09-28 1992-12-01 Gasonics, Inc. Method and apparatus for batch processing a semiconductor wafer
US5571330A (en) * 1992-11-13 1996-11-05 Asm Japan K.K. Load lock chamber for vertical type heat treatment apparatus
US5589224A (en) * 1992-09-30 1996-12-31 Applied Materials, Inc. Apparatus for full wafer deposition
US5621982A (en) * 1992-07-29 1997-04-22 Shinko Electric Co., Ltd. Electronic substrate processing system using portable closed containers and its equipments
US5644855A (en) * 1995-04-06 1997-07-08 Air Products And Chemicals, Inc. Cryogenically purged mini environment
US5746008A (en) * 1992-07-29 1998-05-05 Shinko Electric Co., Ltd. Electronic substrate processing system using portable closed containers
US5934991A (en) * 1998-02-01 1999-08-10 Fortrend Engineering Corporation Pod loader interface improved clean air system
US6013317A (en) * 1994-09-09 2000-01-11 Tokyo Electron Limited Coating apparatus and method therefor
US6029371A (en) * 1997-09-17 2000-02-29 Tokyo Electron Limited Drying treatment method and apparatus
US6082948A (en) * 1992-11-06 2000-07-04 Applied Materials, Inc. Controlled environment enclosure and mechanical interface

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3744660A (en) 1970-12-30 1973-07-10 Combustion Eng Shield for nuclear reactor vessel
GB1594935A (en) 1976-11-01 1981-08-05 Gen Descaling Co Ltd Closure for pipe or pressure vessel and seal therefor
US4789077A (en) 1988-02-24 1988-12-06 Public Service Electric & Gas Company Closure apparatus for a high pressure vessel
US4823976A (en) 1988-05-04 1989-04-25 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Quick actuating closure
FR2651827A1 (en) 1989-09-08 1991-03-15 Clera Sa MANUAL DEVICE FOR OPENING AND CLOSING A TANK DOOR.
US5236669A (en) 1990-09-12 1993-08-17 E. I. Du Pont De Nemours And Company Pressure vessel
CH684402A5 (en) 1991-03-04 1994-09-15 Xorella Ag Wettingen Device for sliding and pivoting of a container-closure.
US5251776A (en) 1991-08-12 1993-10-12 H. William Morgan, Jr. Pressure vessel
DE9112761U1 (en) 1991-10-14 1992-04-09 Krones Ag Hermann Kronseder Maschinenfabrik, 8402 Neutraubling, De
US5221019A (en) 1991-11-07 1993-06-22 Hahn & Clay Remotely operable vessel cover positioner
US5427625A (en) * 1992-12-18 1995-06-27 Tokyo Electron Kabushiki Kaisha Method for cleaning heat treatment processing apparatus
US5433334A (en) 1993-09-08 1995-07-18 Reneau; Raymond P. Closure member for pressure vessel
US5509431A (en) * 1993-12-14 1996-04-23 Snap-Tite, Inc. Precision cleaning vessel
US5556497A (en) 1995-01-09 1996-09-17 Essef Corporation Fitting installation process
US6097015A (en) 1995-05-22 2000-08-01 Healthbridge, Inc. Microwave pressure vessel and method of sterilization
US5992680A (en) 1996-01-29 1999-11-30 Smith; Philip E. Slidable sealing lid apparatus for subsurface storage containers
DK9600149U3 (en) 1996-05-01 1997-09-12 Moerch & Soenner A S cover assembly
US5706319A (en) 1996-08-12 1998-01-06 Joseph Oat Corporation Reactor vessel seal and method for temporarily sealing a reactor pressure vessel from the refueling canal
US5908510A (en) * 1996-10-16 1999-06-01 International Business Machines Corporation Residue removal by supercritical fluids
US6068002A (en) * 1997-04-02 2000-05-30 Tokyo Electron Limited Cleaning and drying apparatus, wafer processing system and wafer processing method
US6085935A (en) 1998-08-10 2000-07-11 Alliance Laundry Systems Llc Pressure vessel door operating apparatus
JP2000227283A (en) * 1999-02-03 2000-08-15 Kobe Steel Ltd Apparatus for pressurizing treatment of semiconductor

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4245154A (en) * 1977-09-24 1981-01-13 Tokyo Ohka Kogyo Kabushiki Kaisha Apparatus for treatment with gas plasma
US5167716A (en) * 1990-09-28 1992-12-01 Gasonics, Inc. Method and apparatus for batch processing a semiconductor wafer
US5621982A (en) * 1992-07-29 1997-04-22 Shinko Electric Co., Ltd. Electronic substrate processing system using portable closed containers and its equipments
US5746008A (en) * 1992-07-29 1998-05-05 Shinko Electric Co., Ltd. Electronic substrate processing system using portable closed containers
US5589224A (en) * 1992-09-30 1996-12-31 Applied Materials, Inc. Apparatus for full wafer deposition
US6082948A (en) * 1992-11-06 2000-07-04 Applied Materials, Inc. Controlled environment enclosure and mechanical interface
US5571330A (en) * 1992-11-13 1996-11-05 Asm Japan K.K. Load lock chamber for vertical type heat treatment apparatus
US6013317A (en) * 1994-09-09 2000-01-11 Tokyo Electron Limited Coating apparatus and method therefor
US5644855A (en) * 1995-04-06 1997-07-08 Air Products And Chemicals, Inc. Cryogenically purged mini environment
US6029371A (en) * 1997-09-17 2000-02-29 Tokyo Electron Limited Drying treatment method and apparatus
US5934991A (en) * 1998-02-01 1999-08-10 Fortrend Engineering Corporation Pod loader interface improved clean air system

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1358021A1 (en) * 2000-08-04 2003-11-05 S. C. Fluids, Inc. Inverted pressure vessel with shielded closure mechanism
EP1358021A4 (en) * 2000-08-04 2004-03-31 S C Fluids Inc Inverted pressure vessel with shielded closure mechanism
EP1573779A2 (en) * 2001-04-10 2005-09-14 Tokyo Electron Limited High pressure processing chamber for semiconductor substrate including flow enhancing features
EP1573779A4 (en) * 2001-04-10 2006-11-15 Tokyo Electron Ltd High pressure processing chamber for semiconductor substrate including flow enhancing features
CN100392796C (en) * 2001-04-10 2008-06-04 东京毅力科创株式会社 High pressure processing chamber for semiconductor substrate including flow enhancing features
US6880560B2 (en) 2002-11-18 2005-04-19 Techsonic Substrate processing apparatus for processing substrates using dense phase gas and sonic waves
US7767145B2 (en) 2005-03-28 2010-08-03 Toyko Electron Limited High pressure fourier transform infrared cell
US9027576B2 (en) 2013-03-12 2015-05-12 Samsung Electronics Co., Ltd. Substrate treatment systems using supercritical fluid
CN109012493A (en) * 2018-08-16 2018-12-18 宋波 Depressurize operation type fuel rod application apparatus
CN114001526A (en) * 2021-11-01 2022-02-01 华海清科股份有限公司 Wafer lifting and drying method and wafer drying device

Also Published As

Publication number Publication date
ATE285555T1 (en) 2005-01-15
KR20020060182A (en) 2002-07-16
EP1214555A4 (en) 2004-04-07
IL148424A0 (en) 2002-09-12
DE60016938T2 (en) 2005-12-15
US6508259B1 (en) 2003-01-21
EP1214555A1 (en) 2002-06-19
DE60016938D1 (en) 2005-01-27
EP1214555B1 (en) 2004-12-22
CN1371462A (en) 2002-09-25
JP2003510801A (en) 2003-03-18
AU7593900A (en) 2001-04-24
CN1127653C (en) 2003-11-12

Similar Documents

Publication Publication Date Title
US6334266B1 (en) Supercritical fluid drying system and method of use
EP1214555B1 (en) Supercritical fluid drying system
US6846380B2 (en) Substrate processing apparatus and related systems and methods
KR100750018B1 (en) High pressure processing chamber for semiconductor substrate
US6162367A (en) Gas-phase silicon etching with bromine trifluoride
JP4546314B2 (en) Fine structure drying method and apparatus
KR20010089292A (en) Wafer cleaning and vapor drying system and method
KR101187375B1 (en) Apparatus for etching silicon oxide layer of semiconductor substrate
JP2007502550A (en) Method and apparatus for thin layer chemical processing of semiconductor wafers
JP2007049065A (en) Super-critical processor
US8685172B2 (en) Integrated processing and critical point drying systems for semiconductor and MEMS devices
JPH11246970A (en) Treatment system for workpiece
JP4085870B2 (en) Microstructure drying method and apparatus and system
Dyck et al. Supercritical carbon dioxide solvent extraction from surface-micromachined micromechanical structures
KR102164247B1 (en) Substrate drying chamber
US6858466B1 (en) System and a method for fluid filling wafer level packages
KR20040037245A (en) High pressure processing chamber for multiple semiconductor substrates
US7028698B2 (en) Pressure processing apparatus with improved heating and closure system
JP4247087B2 (en) Fine structure drying method and apparatus
WO2001010733A1 (en) Inverted pressure vessel with horizontal through loading
KR100516644B1 (en) Substrate processing method and substrate processing apparatus
JP2012094848A (en) Drying processing apparatus and drying processing method for microstructure
KR20050019129A (en) Substrate processing apparatus and related systems and methods
JP4342896B2 (en) Fine structure drying method and apparatus
JP2005286105A (en) Fine structure drying method and apparatus thereof

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CR CU CZ DE DK DM DZ EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NO NZ PL PT RO RU SD SE SG SI SK SL TJ TM TR TT TZ UA UG UZ VN YU ZA ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZW AM AZ BY KG KZ MD RU TJ TM AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE BF BJ CF CG CI CM GA GN GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
WWE Wipo information: entry into national phase

Ref document number: 2000965176

Country of ref document: EP

WWE Wipo information: entry into national phase

Ref document number: 008120471

Country of ref document: CN

WWE Wipo information: entry into national phase

Ref document number: 148424

Country of ref document: IL

WWE Wipo information: entry into national phase

Ref document number: 1020027003637

Country of ref document: KR

ENP Entry into the national phase

Ref country code: JP

Ref document number: 2001 525145

Kind code of ref document: A

Format of ref document f/p: F

WWP Wipo information: published in national office

Ref document number: 2000965176

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 1020027003637

Country of ref document: KR

WWG Wipo information: grant in national office

Ref document number: 2000965176

Country of ref document: EP