WO2001017692A9 - Improved apparatus and method for growth of a thin film - Google Patents

Improved apparatus and method for growth of a thin film

Info

Publication number
WO2001017692A9
WO2001017692A9 PCT/US2000/024586 US0024586W WO0117692A9 WO 2001017692 A9 WO2001017692 A9 WO 2001017692A9 US 0024586 W US0024586 W US 0024586W WO 0117692 A9 WO0117692 A9 WO 0117692A9
Authority
WO
WIPO (PCT)
Prior art keywords
pulses
reactant
reactants
carrier gas
excited species
Prior art date
Application number
PCT/US2000/024586
Other languages
French (fr)
Other versions
WO2001017692A1 (en
Inventor
Ivo Raaijmakers
Original Assignee
Asm Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=23550311&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=WO2001017692(A9) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Asm Inc filed Critical Asm Inc
Priority to EP00963326.4A priority Critical patent/EP1216106B1/en
Priority to JP2001521471A priority patent/JP4994551B2/en
Publication of WO2001017692A1 publication Critical patent/WO2001017692A1/en
Publication of WO2001017692A9 publication Critical patent/WO2001017692A9/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • H01L21/205Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy using reduction or decomposition of a gaseous compound yielding a solid condensate, i.e. chemical deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • C23C16/45521Inert gas curtains the gas, other than thermal contact gas, being introduced the rear of the substrate to flow around its periphery
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/10Inorganic compounds or compositions
    • C30B29/38Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T117/00Single-crystal, oriented-crystal, and epitaxy growth processes; non-coating apparatus therefor
    • Y10T117/10Apparatus

Definitions

  • This invention relates generally to improved apparatus and methods for deposition processes in the manufacture of semiconductor materials.
  • Chemical vapor deposition (CVD) processes have long been used to form thin layers on substrates (and wafers) by sequential layer deposition by thermal reaction or decomposition of gaseous material (reactants) at the substrate surface.
  • atomic layer epitaxy (ALE) sequential monolayers are deposited on a substrate surface by alternate exposure to chemical reactants. Repeated exposure cycles of the substrate to reactant gases builds the desired layer structure.
  • ALE techniques are described for example in M. Ritala et al. (1998) J. Electrochemical Society 145: 2914; H.shrinriki et al. (1998) J. Electrochemical Society 145:3247 and J.L. Vossen et al. "Thin Film Deposition Processes II" (Academic Press, CA 1991 ).
  • the terms ALE and "atomic layer chemical vapor deposition," ALCVD are considered equivalent in this disclosure.
  • a basic system includes a reactant chamber, a substrate holder with a heater, a gas flow system including gas inlets for providing reactants to the substrate surface and an exhaust system for removing used gases.
  • Deposition apparatus are configured for batch processing of multiple substrates or single-substrate processing.
  • Single-substrate processing is presently more preferred for larger substrates to improve uniformity of deposition.
  • Horizontal gas flow reactors such as described in Ozias U.S. patents 4,846,102; 5,096,534; and 5,244,694 which concentrate reactant gas flow at the substrate surface and particularly those equipped with gas manifolds that create uniform reactant gas velocity profiles (Hawkins et al. U.S. patent 5,221 ,556 ) provide efficient uniform processing of large single substrates.
  • ALCVD deposition processes are performed in a step-wise manner, in which a first reactant is introduced into the reaction chamber through a gas inlet or manifold to form a deposited layer on the substrate. Excess reactant gas is then evacuated from the reaction chamber in a pump-down step (see for example, Sherman, U.S. Patent No. 5,916,365). Optionally, an inert purge gas is flowed through the gas inlet to remove residual reactant. After the pump down, a second reactant is introduced into the chamber to react with the deposited reactant to form the desired substrate layer. Excess reactant is then removed in another pump-down step.
  • Step-wise processing with chamber evacuation is employed to separate reactant gases and minimize reaction of these gases in the gas phase or in parts of the reaction chamber other than on the substrate to avoid formation of particles that are detrimental to substrate processing and to avoid depletion of reactants.
  • Intervening chamber evacuation steps represent a significant portion of the time required for processing a substrate, in most cases exceeding 50%. A significant decrease in process time leading to a significant decrease in manufacturing cost could be achieved by eliminating the chamber evacuation steps.
  • radicals can, for example, be generated (along with ions) by application of RF or microwave energy to form a plasma.
  • a number of alternative methods for formation of radicals are known in the art, including, for example, thermal decomposition and photolysis.
  • Reactive species including radicals
  • Reactive species can be generated in situ in the reactant chamber at or near the substrate surface or generated remotely and subsequently carried, e.g., by gas flow, to the reaction chamber.
  • Reactive species including radicals
  • Remote radical generation allows exclusion of potentially undesirable reactive species (e.g., ions) that may be detrimental to substrate processing.
  • remote radical generation techniques should provide sufficient radical densities at the substrate surface, notwithstanding the significant losses that can occur on transport of the radical to the reaction chamber. Radical losses are generally severe at higher pressure (> 10 Torr), thus precluding the use of higher pressure to separate the reactants in an ALE process.
  • the present invention provides an improved apparatus and method for substrate layer deposition in which substrate layers are grown by carrier gas delivery of sequential pulses of reactants to the substrate surface.
  • At least one of the reactants comprises excited species, e.g., radicals.
  • the apparatus of this invention provides sequential repeated pulses of reactants in a flow of carrier gas for reaction at a substrate surface.
  • the reactant pulses are delivered with sufficient intervening delay times to minimize undesirable reaction between reactants in adjacent pulses in the gas phase or undesired uncontrolled reactions on the substrate surface.
  • the present invention provides an improved apparatus and method for substrate layer deposition that combines a horizontal flow 5 reactor chamber having uniform gas flow parallel to and concentrated at the substrate surface with continuous flow carrier gas delivery of sequential concentration pulses of reactants to the substrate surface.
  • reactant pulses separated in time and space in a carrier gas flow significantly increases the speed of processing because intervening chamber pump [0 down steps are not required.
  • Use of the methods and apparatus of this invention results in high layer growth rates typically greater than about 1 -10 nm/min.
  • the method of this invention can be employed in any reaction chamber designed for thin film growth, including among others horizontal flow reactors, vertical furnaces, and stagnant flow reactors, as long as recirculation of [5 reactant gases is minimized.
  • Figure 1 is a schematic illustration of a reaction chamber of this invention provided with a microwave plasma generator remote from the chamber.
  • Figures 2A and B are a schematic illustration of alternate reaction chambers 10 of this invention.
  • Figure 2A is a reaction chamber with an R excited species generator in the reaction chamber.
  • Figure 2B is a reaction chamber with an excited species generator in the gas inlet line.
  • Figures 3A-C are graphs of reactant concentration as a function of distance x along a flow path through the reaction chamber schematically illustrating the 15 progress of sequential pulses of reactants A and B * (containing radicals) in the same carrier gas flow through an apparatus of this invention.
  • the graph illustrates that the concentration pulse travels in the positive x direction due to convection, and broadens with time and distance due to diffusion.
  • Fig. 3B illustrates the A and B *
  • FIG. 3A illustrates a more preferred embodiment of this invention wherein the pulses of reactants A and B * are sufficiently separated in time and space that there is no overlap of the reactant pulses in the carrier gas flow. No gas phase or uncontrolled reaction should occur in
  • Fig. 3C illustrates a different timing sequence of reactant pulses for the method of this invention indicating a maximum reactant pulse overlap that is typically sufficiently low to avoid undesired reactions.
  • Figure 1 illustrates a deposition apparatus of this invention adapted for delivery of sequential pulses of two different reactants A and B * , one of which B * contains excited species, to a substrate.
  • the apparatus has a reaction chamber 10 with substrate 5 positioned on holder 15 which is optionally heated.
  • the substrate is optionally heated.
  • the 20 holder can be heated resistively, inductively or by use of infrared lamps, as is known in the art. Gases flow into the reactor through one or more gas inlets 4 at the injector flange 6. Residual gases, after passage over the substrate are removed through an exhaust conduit 12 provided with a throttle valve 14 and a pressure measurement and control 14A.
  • the reactor chamber illustrated is a radiantly 5 heated, horizontal flow cold wall reactor, typically made of quartz, and having a divider plate 16 substantially aligned with the top surface of the substrate holder and separating the reaction chamber into upper and lower portions. The divider plate provides a substantially constant cross-section in the reaction area, thus, preventing recirculation cells.
  • a bottom purge 17 is provided in order to prevent reactants from reaching the bottom of the reaction chamber.
  • a generator of excited species 20 is provided remotely and upstream from the reaction area, and preferably upstream from the chamber 10. Most generally this generator couples an energy source into a flow of a precursor molecule (or mixture to molecules) to generate excited species.
  • the generator 20 couples microwave energy from a magnetion to a gas line 8, so that the gas in inlet 7 contains excited species.
  • Alternative generators suitable for use in this apparatus couple thermal energy or visable UV or IR radiation into a precursor.
  • An exemplary microwave radical generator suitable for use in this invention is Rapid Reactive Radicals Technology, R 3 T, Kunststoff, Germany, model number TWR850.
  • Precursors B are introduced into the excited species generator, illustrated as a microwave plasma generator, through gas line 8.
  • a source of second reactant (A) is provided in gas line 9.
  • Each gas line is provided with separate mass flow controls (MFC) (21a, b and c) to allow selection of relative amounts of carrier, reactant and excited species B* introduced into the reaction chamber.
  • Shut off valves (22a, b, c) to completely stop the flow of gas are provided in lines 11 , 9 and 8.
  • C is a carrier gas (e.g., typically N 2 or Ar)
  • B is the precursor to the excited species (e.g., N 2 , H 2 , NH 3 , O 2 )
  • A is a second reactant gas.
  • Reactant A line 9 is provided with a dump circuit 30a which is in selective communication with line 9 via two-way valve 27a.
  • Precursor B line 8 is optionally provided with a similar dump circuit 30b, two-way valve 27b and inert carrier gas circuit D (22d, 21 d), analogous to the circuit for C.
  • no valves are provided in conduit 7 extending from the generator of excited species to the reaction chamber to minimize decay of excited species during transport to the reaction area.
  • the tube 7 is wide and short (approximately 2 cm in diameter x 15 cm long) to minimize wall losses of radicals.
  • excited species can be introduced in the main carrier gas stream 4, just before it enters in the reactor. In operation to deposit sequential atomic layers formed by deposition of A followed by reaction of deposited A with excited species derived from B the following preferred procedure is used.
  • a unprocessed wafer is positioned on the holder in the reaction chamber, as is well known in the art.
  • a main carrier gas C flow (13) is established into the reaction chamber (10) through MFC 21c, valve 22c and the injector flange (6) on the inlet side of the reaction chamber.
  • a flow of about 50 slm is used.
  • the preferred gas flow is dependent on the design of the chamber and can be optimized without due experimentation.
  • the pressure in the reaction chamber is preferably set at 0.5 to 100 Torr, and preferably 1-20 Torr, and more preferably 2-10 Torr, with conventional techniques using, for example, throttle valves (e.g., 14) and dry pumps (as known in the art, but not shown in Fig. 1 ).
  • the temperature of the holder (susceptor) and substrate is controlled at a desired value by heating and thermocouple control, as is well known in the art. The optimum temperature is highly dependent on the reactants used. For the exemplary reactions discussed below, the temperature is typically in the range of about 200°C-500°C.
  • a flow of reactant A is established at a desired flow rate (typically, ⁇ 1slm, dependent upon the exact process chemistry) set by MFC 21a through valve 27a which is initially set to flow into dump circuit 30a.
  • a flow of precursor gas B is established at a desired flow rate (typically a few slm, also dependent upon the exact process chemistry) set by MFC 21 b through radical generator 20 via valve 22B.
  • Flow through the generator of excited species may be a mixture of a precursor B in a carrier gas established by components D, 27d, 21d.
  • precursor gas B can be a mixture of a carrier gas and a precursor gas.
  • both carrier gas C and precursor gas B are nitrogen.
  • the dump circuit 30b, and carrier gas supply D are not needed.
  • valve 27a is switched from the dump circuit to the reactor inlet for a predetermined time (t A , typically ranging from a fraction of a second to several seconds) and then switched back to the dump circuit providing a concentration pulse of reactant A into the flow of carrier gas C.
  • t A typically ranging from a fraction of a second to several seconds
  • the radical generator is turned on to provide excited species B*, e.g. radicals, into the reaction chamber.
  • the radical generator is kept on for a predetermined time (t B , typically ranging from a fraction of a second to several seconds) and switched off to provide a pulse of excited species B * .
  • t B a predetermined time
  • t s 2 a predetermined delay time
  • the cycle of A and B* pulses is repeated, until a desired layer thickness is achieved.
  • t s 2 can be different from t s 1 .
  • the microwave power used is generally on the order of 100W - 5kw, and more preferably, is in the range of 500W - 2kw.
  • the gas flow is almost constant, as B and C are flowing continuously and A ⁇ C, and B* ⁇ B * .
  • the delay times are adjusted to avoid undesirable levels of gas phase reaction and undesirable levels of uncontrolled reaction on the substrate surface.
  • Undesirable levels of gas phase and uncontrolled surface reactions are those levels of reaction that result in unacceptable defects in the layers formed on the substrate. Such defects can render the processed substrate or wafer unuseable.
  • Time scheduling of pulses of reactants can readily be determined for a given reaction system and reactants by routine experimentation, as will be understood in view of the present disclosure, and is further discussed below with reference to Figs. 3A-C.
  • the delay times between pulses of reactants may be different and may change during processing if desired.
  • the apparatus of this invention can be provided with microprocessor and/or computer control for the automation of valves and for switching the generator of excited species on and off to provide desired timed pulses of reactants.
  • Such controls are known in the art and can be readily provided for a given apparatus.
  • the apparatus of this invention has a gas inlet system having one or more gas inlets into the reaction chamber which allow establishment of carrier gas flow into the reaction chamber and into contact with a substrate surface in the chamber.
  • the gas inlet system also allows introduction of one or more reactants including at least one reactant comprising excited species into the carrier gas flow which carries the reactants into contact with the substrate surface.
  • Carrier gas flow is exhausted from the reaction chamber through a vacuum pump exhaust.
  • the gas inlet system can have valves for generating concentration pulses of reactants.
  • L0 excited species can be generated remotely from the reaction chamber. Excited species can also be generated in situ in the reaction chamber, as is known in the art.
  • the gas inlet system provides a separate conduit for introducing excited species into the carrier gas flow. The excited species can also be introduced into the carrier gas flow just before it enters the chamber. In this
  • the 20 invention can be readily adapted for use with vertical batch reaction chambers and furnaces, such as those described in U.S. patents 5,294,572, 5,336,325 and 5,662,470.
  • the invention can also be readily adapted for use in reaction chambers in which gas flow is delivered perpendicular (rather than parallel) to the substrate surface, for example through an inlet positioned above the substrate surface, such
  • reaction chamber design care is taken in reaction chamber design to avoid recirculation of reactants.
  • Recirculation greatly enhances the residence time of reactive species which can lead to undesired gas phase reactions.
  • Undesired gas phase reactions can lead to particle formation in the reaction chamber decreasing the quality of the layers formed on the substrate.
  • Recirculation can be especially harmful when it occurs upstream from the wafer as then it affects the deposition process.
  • Care must also be taken to operate the excited species as close as practically possible to the chamber to assure sufficient survival of radicals.
  • FIG. 2A illustrates an alternate reaction chamber 10 of this invention in which excited species are formed in the reaction chamber.
  • a showerhead inlet 40 is provided through which carrier flow and reactant gas pulses are established.
  • a plasma can be generated by application of RF power electrically connected to the showerhead using an RF generator 42 coupled to an RF matching network, as is well-known in the art.
  • Gas line 41 directs gases from gas manifolds into the showerhead inlet.
  • a source of carrier gas C with valves and mass flow controller as in the apparatus of Fig. 1 , provides carrier gas flow into the chamber passing in contact with a substrate or wafer (5) on optionally heated holder 15 to exit through exhaust lines 12.
  • a source of reactant A with valves, mass flow controller and dump circuit 30A, as in Fig.
  • A provides concentration pulses of A into carrier gas C by timed operation of valve 27a. Pulses of A are alternated with pulses of RF power to generate alternate pulses of A and excited C * species. In this case, layers are formed by reaction of excited C* species with deposited A reactant. The pulses of A and C* are separated in time and space, as discussed above and in Figs. 3A - 3C below, to avoid an undesired level of gas phase or uncontrolled surface reactions.
  • C can, for example, be nitrogen carrier gas and C * can be excited nitrogen species.
  • Plasmas may also be generated in the reaction chamber using RF coils as is known in the art. RF power can be modulated as is well-known in the art to provide concentration pulse of excited species.
  • An alternate means for generating a plasma employing a showerhead -type inlet is disclosed in U.S. patent 5,134,965, which is incorporated by reference herein.
  • FIG. 2B illustrates an alternative reactor design with remote plasma generation of excited species employing a showerhead inlet 40.
  • An RF cavity or coil 47 is provided in the inlet line 41.
  • RF power is applied from RF generator 42 through RF matching network 45 to generate a plasma in the inlet line 41.
  • Excited species are formed in the inlet by pulsing the RF power on and off. Concentration pulses of excited species are carried into the reaction chamber in the carrier gas flow.
  • the operation of RF generators and RF matching circuits are well-known in the art.
  • Figures 3A and 3B schematically illustrate, in one dimension (x), progress of gas pulses traversing the reaction chamber in graphs of reactant concentration as a function of flow distance through the reaction chamber.
  • Fig. 3A is at time t 0 , just as a pulse of reactant B * enters the reaction chamber (by, e.g., switching on the radical generator).
  • an earlier pulse of A has traversed a distance equal to L s (the distance traversed in delay time t s between pulses of different reactant).
  • L s the distance traversed in delay time t s between pulses of different reactant
  • L D is the characteristic diffusion length, a measure of the broadening of the reactant pulse as a function of time due to gas diffusion
  • L D ijDt
  • D is the diffusion coefficient of the reactant gas in the carrier
  • t is the residence time
  • t L R /D.
  • Fig. 3B illustrates the B * pulse and A pulse at time t 0 + 1/2(L R /v).
  • pulses broaden due to diffusion of reactants when the pulses traverse the reaction chamber. Pulses illustrated in Fig. 3B are sufficiently separated in distance, despite pulse broadening, to avoid interaction and reaction of reactants in different pulses.
  • L R is the length of the reaction chamber and v is the flow velocity of the gas
  • the delay t s between pulses is preferably sufficiently long to minimize overlap of reactant gas pulses in the reactor chamber and minimize gas phase or uncontrolled surface reactions. Significant gas phase reactions or uncontrolled reactions on the surface are avoided when L D ⁇ L s , e.g., preferably where L s is at least about 5 - 10 times L D . It should be noted that short cycles are desirable to maximize the throughput of the reactor. The desire to minimize pulse overlap is a trade-off with increased throughput.
  • the delay time (t s ) between pulses e.g., the time between shutting of one reactant and admitting another reactant
  • the delay time exceeds one second only at the lowest flow and highest pressure.
  • Fig. 3B illustrates a pulse time scheme in which the time between pulses is sufficiently long that adjacent pulses of reactants do not overlap as they broaden and traverse the reaction chamber.
  • the leading edge (LE B .) of one pulse does not overlap the trailing edge (TE A ) of the preceding pulse.
  • Significant gas phase reactions are avoided when L D is smaller (preferably 5-10 times smaller) than the distance between the trailing and leading edges of the pulses.
  • Fig. 3C illustrates an embodiment where some overlap of reactant pulses is allowed. The amount of overlap illustrated in the figure typically does not result in levels of gas phase reaction or uncontrolled surface reaction that are high enough to detrimentally affect the quality of the layers formed on the substrate or wafer.
  • Reactant pulse overlap can be characterized in terms of the relative concentration of the reactants at a given point in time, space or both during flow through the reactor. A preferred maximum overlap is defined as follows.
  • the concentration of reactant B* at that same point is less than about 10% of the maximum concentration of B * (M B ) and at any point in time or space where the concentration of reactant B * is at its maximum (M B ), the concentration of reactant A at that same point is less than about 10% of the maximum concentration of A (M A ).
  • Delay times selected to meet this maximum overlap criterium typically provide sufficiently clean layer deposition and decreased process times. In certain cases where more reactive chemistries are employed for layer deposition, the maximum overlap should be decreased to avoid undesired reactions which can affect layer quality. In such cases, the maximum concentrations of reactants A and B * at the maximum concentrations of B* and A, respectively, is 1 % or less.
  • the pulse reactant system is operated under conditions that minimize diffusion, i.e., at high flow velocity. Delay times t s between pulse of gas are selected as described above to avoid or minimize undesired gas phase reaction between reactants and undesired uncontrolled surface reactions and to minimize processing time.
  • the preferred carrier gas or gases used are non- reactive with any reactants that are to be used in a given process and also non- reactive with the substrate surface. Examples are N 2 , H 2 , noble gases and mixtures of these, the choice of which depends upon the process choice or precursors and exposed layers.
  • Reactant gases include pure reactant gases, a mixture of reactants, excited species (e.g., radicals) or mixtures of excited neutrals and mixtures of excited neutrals with diluent or carrier gas. Reactants are typically introduced into the reaction chamber in a carrier gas. Reactants are in the vapor phase when introduced into the reaction chamber.
  • one monolayer or less is typically grown (on the order of about 0.03 - 0.3 nm/ layer).
  • Practical films useful in semiconductor applications ange in thickness from about 1 to about 50 nm. Processing times in a single wafer processing apparatus for practical films will require about 6-600 s for the illustrated reactor.
  • the process cycle of layer deposition has been exemplified with sequential pulses of two reactants, one of which contains excited species.
  • the apparatus and method of this invention can be employed in formation of complex layers requiring more than two reactants (including reactants containing excited or activated species or radicals).
  • the schedule or order of multiple reactant pulses employed in this invention can be sequential or readily adapted to any order of reactant pulsing for a wide variety of deposition or layering processes.
  • the method of this invention has been exemplified with sequential pulses of reactant separated by a selected delay time (t s ) and of selected pulse duration (t A and t B ).
  • the delay time between pulses of reactants can be varied over the course of multiple cycles, so long as the delay time used is sufficient to avoid an undesirable level of gas phase or uncontrolled surface reaction among reactants.
  • the pulse duration of the different reactants may be the same or different and will preferably be selected to achieve a desired level of surface reaction.
  • the pulse duration of the same reactants over the course of multiple cycles of layer deposition may be the same or different and will also preferably be selected to achieve a desired level of surface reaction.
  • the reactant pulse schemes of Figs. 3A-C illustrate multiple pulses carried in a single flow of carrier gas, such as illustrated in the apparatus of Fig. 1 or Fig. 2.
  • sequential pulses of reactants can be delivered to a reaction area containing one or more substrates in separate carrier gas flows.
  • Radicals or excited species useful in processing of substrates include, among others, active nitrogen (N*, N 2 * , N 2 + or mixtures thereof) generated by microwave discharge of nitrogen, oxygen radicals generated by microwave discharge of oxygen, radicals or reactive species generated by photolysis or thermal decomposition.
  • Plasmas for radical generation can be generated by microwave or RF energy, as is well-known in the art. Radicals or excited species tend to recombine or decay into the non-excited state during transport to the reaction area through gas phase reactions and on the walls of conduits. Therefore, it is beneficial to install the radical generator as close as practical to the reaction area on the wafer surface. Further, the pressures during transport of the excited species cannot be too high.
  • Excited neutrals can include radicals, and atoms and molecules in excited electronic states.
  • Excited neutrals can be generated in plasmas sustained by RF or microwave energy. Alternatively, they can be generated by irradiation of precursor neutral species at selected wavelengths including ultra-violet (U.V.), visible and infra-red (IR) wavelengths to decompose neutrals forming radicals and or excited states.
  • Excited neutrals, particularly radicals can also be formed by thermal decomposition of precursors.
  • the apparatus of this invention is preferably implemented with a microwave radical, excited neutrals generator which may be remote from the reactor chamber or within the reaction chamber.
  • pressures are selected to be sufficiently high to facilitate ignition of a plasma as well as to limit diffusion of reactant pulses into each other to avoid undesired reactions. Pressures are also selected to be sufficiently low to avoid substantial decay of excited species or recombination of radicals so that practical levels of excited species reach the reaction area. Reactor pressures ranging from about 0.5 up to
  • the apparatus of this invention can be used to produce silicon nitride layers on silicon wafers.
  • reactant A is SiH 4 using nitrogen as the carrier gas and the substrate is heated to about 300- 550°C.
  • B* is active nitrogen generated by passing nitrogen through a microwave radical generator. Repeated sequential pulses of SiH 4 and active nitrogen grows a SiN layer of desired thickness.
  • Tantalum oxide layers can be deposited on appropriate substrates employing the apparatus of this invention.
  • reactant A is volatile source of Ta, preferably tantalum pentaethoxide, using nitrogen carrier gas C and the substrate is heated to about 200°C-400°C.
  • B* are oxygen radicals generated by passing oxygen source gas B, preferably O 2 , through a microwave radical generator;
  • Tantalum nitride layers can be deposited on appropriate substrates employing the apparatus of this invention.
  • reactant A can be a volatile source of Ta, preferably pentakis(dimethylamino)tantalum using nitrogen carrier gas and the substrate is heated to about 200°C-400°C.
  • B * are nitrogen or ammonia radicals, which may be generated by passing nitrogen or ammonia through a microwave radical generator. Alternatively, a mixture of N 2 and H 2 can be passed through the radical generator. Tantalum nitride layers can be used, for example as a diffusion barrier in copper metallization;
  • Titanium nitride layers can be deposited on appropriate substrates employing the apparatus of this invention.
  • reactant A can be a volatile, non-oxygen containing Ti source, preferably pentakis(dimethylamino)titanium, using nitrogen carrier gas and the substrate is heated to about 200°C-400°C.
  • B* are nitrogen or ammonia radicals, which may be generated by passing nitrogen or ammonia through a microwave radical generator. Alternatively, a mixture of N 2 and H 2 can be passed through the radical generator. Titanium nitride layers can be used, for example, as a diffusion barrier in aluminum-based metallization;
  • Metal layers such as Ta or Ti can be deposited on appropriate substrates employing the apparatus of this invention.
  • reactant A can be TaCI 5 or TiCI 4 , for example, using nitrogen carrier gas and the substrate is heated to about 200°C-400°C.
  • B* are hydrogen radicals, which may be generated by passing hydrogen through a microwave radical generator.
  • the apparatus of this invention can be employed in the growth of layers by selective adsorption of a reactant.
  • metal organic precursors or SiH 4 can be made to preferentially adsorb onto Si and not onto SiO 2 or SiN 4 . Exposure of the selectively adsorbed species on Si to radicals effectively completes reaction only on Si. Thus, a layer is grown only on the area of Si. Similar adsorption selectivity of reactants and selective layer growth can be achieved on metal areas (e.g., Cu or Al) with respect to dielectrics.
  • the pulsed gas flow apparatus of this invention is preferably implemented in a horizontal flow reactor in a reactor system as described, for example, in Crabb et al. U.S. patents 4,828,224, 5, 156,521 , 5,092,728, and
  • Substrates are positioned in a substrate holder (a susceptor) that is typically heated.
  • a variety of substrate holders are known in the art. Multiple substrates can be processed simultaneously, for example, by stacking the wafers in a boat, positioning wafers laterally apart on a single susceptor or by having multiple susceptors for holding one or more wafers in a reaction chamber.

Abstract

An improved apparatus and method for substrate layer deposition in which substrate layers are grown by carrier gas (C, D) delivery of sequential pulses of reactants (A, B) to the substrate surfaces. (5) At least one of the reactants (A, B) comprises excited species, e.g., radicals. In a specific embodiment, the apparatus of this invention provides sequential repeated pulses of reactants in a flow of carrier gas (4, 13) for reaction at a substrate surfaces. The reactant pulses are delivered with sufficient intervening delay times to minimize undesirable reaction between reactants (A, B) in adjacent pulses in the gas phase or undesired uncontrolled reactions on the substrate surface (5).

Description

IMPROVED APPARATUS AND METHOD FOR GROWTH OF A THIN FILM
BACKGROUND OF THE INVENTION This invention relates generally to improved apparatus and methods for deposition processes in the manufacture of semiconductor materials.
Chemical vapor deposition (CVD) processes have long been used to form thin layers on substrates (and wafers) by sequential layer deposition by thermal reaction or decomposition of gaseous material (reactants) at the substrate surface. In a specific type of deposition process, atomic layer epitaxy (ALE), sequential monolayers are deposited on a substrate surface by alternate exposure to chemical reactants. Repeated exposure cycles of the substrate to reactant gases builds the desired layer structure. ALE techniques are described for example in M. Ritala et al. (1998) J. Electrochemical Society 145: 2914; H. Shrinriki et al. (1998) J. Electrochemical Society 145:3247 and J.L. Vossen et al. "Thin Film Deposition Processes II" (Academic Press, CA 1991 ). The terms ALE and "atomic layer chemical vapor deposition," ALCVD are considered equivalent in this disclosure.
A variety of apparatus have been employed for layer deposition processes. A basic system includes a reactant chamber, a substrate holder with a heater, a gas flow system including gas inlets for providing reactants to the substrate surface and an exhaust system for removing used gases.
Deposition apparatus are configured for batch processing of multiple substrates or single-substrate processing. Single-substrate processing is presently more preferred for larger substrates to improve uniformity of deposition. Horizontal gas flow reactors, such as described in Ozias U.S. patents 4,846,102; 5,096,534; and 5,244,694 which concentrate reactant gas flow at the substrate surface and particularly those equipped with gas manifolds that create uniform reactant gas velocity profiles (Hawkins et al. U.S. patent 5,221 ,556 ) provide efficient uniform processing of large single substrates. ALCVD deposition processes, particularly as practiced in horizontal flow reactors for single wafer processing, are performed in a step-wise manner, in which a first reactant is introduced into the reaction chamber through a gas inlet or manifold to form a deposited layer on the substrate. Excess reactant gas is then evacuated from the reaction chamber in a pump-down step (see for example, Sherman, U.S. Patent No. 5,916,365). Optionally, an inert purge gas is flowed through the gas inlet to remove residual reactant. After the pump down, a second reactant is introduced into the chamber to react with the deposited reactant to form the desired substrate layer. Excess reactant is then removed in another pump-down step. Layers are added to the substrate surface by sequential additions of various reactant gases with intervening chamber pump-down. Step-wise processing with chamber evacuation is employed to separate reactant gases and minimize reaction of these gases in the gas phase or in parts of the reaction chamber other than on the substrate to avoid formation of particles that are detrimental to substrate processing and to avoid depletion of reactants. Intervening chamber evacuation steps represent a significant portion of the time required for processing a substrate, in most cases exceeding 50%. A significant decrease in process time leading to a significant decrease in manufacturing cost could be achieved by eliminating the chamber evacuation steps.
Suntola et al. U.S. patent 4,389,973 and U.S. patent 5,711 ,811 describe apparatus for ALE of a substrate in which sequentially applied reactant gases are separated by inert gas phase diffusion barriers. For example, timed pulses of reactant gases are transported into a reaction chamber to interact with the substrate in a continuous flow of carrier gas passing through the chamber. Reactant gases are thus applied separately to the substrate in a continuous gas flow without need of intervening chamber evacuations. U.S. patents 4,747,367 and 4,761 ,269 (Crystal Specialties) describe chemical vapor deposition methods in which a constant flow and pressure of gas is maintained on sequentially pulsing of reactant gases into a neutral carrier gas stream. When a reactant gas is switched into or out of the carrier gas flow, the carrier gas flow is decreased or increased, respectively, to maintain constant flow and pressure in the reaction chamber. It is important to note that these techniques work only at sufficiently high pressures (>10 torr), where diffusion in the gas phase is sufficiently low.
It is known in substrate deposition processes to employ excited species, particularly radicals, to react with and/ or decompose chemical species at the substrate surface to form the deposited layer. In processes using activated species, the apparatus is provided with a device for excitation. Radicals can, for example, be generated (along with ions) by application of RF or microwave energy to form a plasma. A number of alternative methods for formation of radicals are known in the art, including, for example, thermal decomposition and photolysis.
Reactive species, including radicals, can be generated in situ in the reactant chamber at or near the substrate surface or generated remotely and subsequently carried, e.g., by gas flow, to the reaction chamber. See, U.S. patents 4,664,937, 4,615,905 and 4,517,223 for in situ radical generation and U.S. 5,489,362 for remote radical generation. Remote radical generation allows exclusion of potentially undesirable reactive species (e.g., ions) that may be detrimental to substrate processing. However, remote radical generation techniques should provide sufficient radical densities at the substrate surface, notwithstanding the significant losses that can occur on transport of the radical to the reaction chamber. Radical losses are generally severe at higher pressure (> 10 Torr), thus precluding the use of higher pressure to separate the reactants in an ALE process.
SUMMARY OF THE INVENTION
The present invention provides an improved apparatus and method for substrate layer deposition in which substrate layers are grown by carrier gas delivery of sequential pulses of reactants to the substrate surface. At least one of the reactants comprises excited species, e.g., radicals. In a specific embodiment, the apparatus of this invention provides sequential repeated pulses of reactants in a flow of carrier gas for reaction at a substrate surface. The reactant pulses are delivered with sufficient intervening delay times to minimize undesirable reaction between reactants in adjacent pulses in the gas phase or undesired uncontrolled reactions on the substrate surface. We have found that depending on reactor design, there is a pressure range where sufficient excited species, including radicals, survive and where an inert gas phase diffusion barrier can be used.
In a more specific embodiment, the present invention provides an improved apparatus and method for substrate layer deposition that combines a horizontal flow 5 reactor chamber having uniform gas flow parallel to and concentrated at the substrate surface with continuous flow carrier gas delivery of sequential concentration pulses of reactants to the substrate surface.
The use of reactant pulses separated in time and space in a carrier gas flow significantly increases the speed of processing because intervening chamber pump [0 down steps are not required. Use of the methods and apparatus of this invention results in high layer growth rates typically greater than about 1 -10 nm/min.
In general the method of this invention can be employed in any reaction chamber designed for thin film growth, including among others horizontal flow reactors, vertical furnaces, and stagnant flow reactors, as long as recirculation of [5 reactant gases is minimized.
BRIEF DESCRIPTION OF THE DRAWINGS Figure 1 is a schematic illustration of a reaction chamber of this invention provided with a microwave plasma generator remote from the chamber.
Figures 2A and B are a schematic illustration of alternate reaction chambers 10 of this invention. Figure 2A is a reaction chamber with an R excited species generator in the reaction chamber. Figure 2B is a reaction chamber with an excited species generator in the gas inlet line.
Figures 3A-C are graphs of reactant concentration as a function of distance x along a flow path through the reaction chamber schematically illustrating the 15 progress of sequential pulses of reactants A and B* (containing radicals) in the same carrier gas flow through an apparatus of this invention. Fig. 3A illustrates the reactant pulses at time t0 just as a peak of B* enters the reaction chamber at x = 0, where x is the distance along the reaction chamber. The graph illustrates that the concentration pulse travels in the positive x direction due to convection, and broadens with time and distance due to diffusion. Fig. 3B illustrates the A and B*
5 reactant concentration pulses of Fig. 3A at a time t= t0 + 1/2(LR/v) where LR is the length of the reaction chamber and v is the gas velocity. Fig. 3B illustrates a more preferred embodiment of this invention wherein the pulses of reactants A and B* are sufficiently separated in time and space that there is no overlap of the reactant pulses in the carrier gas flow. No gas phase or uncontrolled reaction should occur in
L0 this case. Fig. 3C illustrates a different timing sequence of reactant pulses for the method of this invention indicating a maximum reactant pulse overlap that is typically sufficiently low to avoid undesired reactions.
DETAILED DESCRIPTION OF THE SPECIFIC EMBODIMENTS The invention is further described by reference to the figures in which the [5 same numbers indicate like features.
Figure 1 illustrates a deposition apparatus of this invention adapted for delivery of sequential pulses of two different reactants A and B*, one of which B* contains excited species, to a substrate. The apparatus has a reaction chamber 10 with substrate 5 positioned on holder 15 which is optionally heated. The substrate
20 holder can be heated resistively, inductively or by use of infrared lamps, as is known in the art. Gases flow into the reactor through one or more gas inlets 4 at the injector flange 6. Residual gases, after passage over the substrate are removed through an exhaust conduit 12 provided with a throttle valve 14 and a pressure measurement and control 14A. The reactor chamber illustrated is a radiantly 5 heated, horizontal flow cold wall reactor, typically made of quartz, and having a divider plate 16 substantially aligned with the top surface of the substrate holder and separating the reaction chamber into upper and lower portions. The divider plate provides a substantially constant cross-section in the reaction area, thus, preventing recirculation cells. In order to prevent reactants from reaching the bottom of the reaction chamber, a bottom purge 17 is provided.
A generator of excited species 20 is provided remotely and upstream from the reaction area, and preferably upstream from the chamber 10. Most generally this generator couples an energy source into a flow of a precursor molecule (or mixture to molecules) to generate excited species. In the illustrated embodiment, the generator 20 couples microwave energy from a magnetion to a gas line 8, so that the gas in inlet 7 contains excited species. Alternative generators suitable for use in this apparatus couple thermal energy or visable UV or IR radiation into a precursor. An exemplary microwave radical generator suitable for use in this invention is Rapid Reactive Radicals Technology, R3T, Munich, Germany, model number TWR850. Precursors B are introduced into the excited species generator, illustrated as a microwave plasma generator, through gas line 8. A source of second reactant (A) is provided in gas line 9. A source of carrier gas (C), a gas inert to the reactants and inert to the substrate, is provided in gas line 11. Each gas line is provided with separate mass flow controls (MFC) (21a, b and c) to allow selection of relative amounts of carrier, reactant and excited species B* introduced into the reaction chamber. Shut off valves (22a, b, c) to completely stop the flow of gas are provided in lines 11 , 9 and 8. In Fig. 1 , C is a carrier gas (e.g., typically N2 or Ar), B is the precursor to the excited species (e.g., N2, H2, NH3, O2) and A is a second reactant gas. Reactant A line 9 is provided with a dump circuit 30a which is in selective communication with line 9 via two-way valve 27a. Precursor B line 8 is optionally provided with a similar dump circuit 30b, two-way valve 27b and inert carrier gas circuit D (22d, 21 d), analogous to the circuit for C. In a preferred embodiment, no valves are provided in conduit 7 extending from the generator of excited species to the reaction chamber to minimize decay of excited species during transport to the reaction area. Moreover, in a preferred embodiment, the tube 7 is wide and short (approximately 2 cm in diameter x 15 cm long) to minimize wall losses of radicals. In another embodiment, excited species can be introduced in the main carrier gas stream 4, just before it enters in the reactor. In operation to deposit sequential atomic layers formed by deposition of A followed by reaction of deposited A with excited species derived from B the following preferred procedure is used.
An unprocessed wafer is positioned on the holder in the reaction chamber, as is well known in the art. A main carrier gas C flow (13) is established into the reaction chamber (10) through MFC 21c, valve 22c and the injector flange (6) on the inlet side of the reaction chamber. Typically, in a 200-mm reactor with a cross- section of 50 cm2, a flow of about 50 slm is used. The preferred gas flow is dependent on the design of the chamber and can be optimized without due experimentation. The pressure in the reaction chamber is preferably set at 0.5 to 100 Torr, and preferably 1-20 Torr, and more preferably 2-10 Torr, with conventional techniques using, for example, throttle valves (e.g., 14) and dry pumps (as known in the art, but not shown in Fig. 1 ). The temperature of the holder (susceptor) and substrate is controlled at a desired value by heating and thermocouple control, as is well known in the art. The optimum temperature is highly dependent on the reactants used. For the exemplary reactions discussed below, the temperature is typically in the range of about 200°C-500°C.
A flow of reactant A is established at a desired flow rate (typically, < 1slm, dependent upon the exact process chemistry) set by MFC 21a through valve 27a which is initially set to flow into dump circuit 30a. A flow of precursor gas B is established at a desired flow rate (typically a few slm, also dependent upon the exact process chemistry) set by MFC 21 b through radical generator 20 via valve 22B. Flow through the generator of excited species may be a mixture of a precursor B in a carrier gas established by components D, 27d, 21d. Alternatively, precursor gas B can be a mixture of a carrier gas and a precursor gas. Initially the radical generator is not switched on, so only non-excited precursor gas is flowing through conduit 7 into the reaction chamber into the flow of carrier gas C. In one embodiment, where excited nitrogen species are employed, both carrier gas C and precursor gas B are nitrogen. In that case, the dump circuit 30b, and carrier gas supply D are not needed. To begin a cycle of layer deposition, valve 27a is switched from the dump circuit to the reactor inlet for a predetermined time (tA , typically ranging from a fraction of a second to several seconds) and then switched back to the dump circuit providing a concentration pulse of reactant A into the flow of carrier gas C. After a predetermined delay time (ts 1), the radical generator is turned on to provide excited species B*, e.g. radicals, into the reaction chamber. The radical generator is kept on for a predetermined time (tB, typically ranging from a fraction of a second to several seconds) and switched off to provide a pulse of excited species B*. After a predetermined delay time (ts 2), the cycle of A and B* pulses is repeated, until a desired layer thickness is achieved. Note that ts 2 can be different from ts 1. The microwave power used is generally on the order of 100W - 5kw, and more preferably, is in the range of 500W - 2kw. Note that in this preferred embodiment, the gas flow is almost constant, as B and C are flowing continuously and A < C, and B* < B*. After a wafer is processed, the chamber conditions (pressure, gas flow, and temperature) are adjusted, as is known in the art, to exchange the processed wafer for an unprocessed wafer and the processing cycle is repeated on the unprocessed wafer.
The delay times (e.g., ts 1 and ts 2) are adjusted to avoid undesirable levels of gas phase reaction and undesirable levels of uncontrolled reaction on the substrate surface. Undesirable levels of gas phase and uncontrolled surface reactions are those levels of reaction that result in unacceptable defects in the layers formed on the substrate. Such defects can render the processed substrate or wafer unuseable. Time scheduling of pulses of reactants can readily be determined for a given reaction system and reactants by routine experimentation, as will be understood in view of the present disclosure, and is further discussed below with reference to Figs. 3A-C. The delay times between pulses of reactants may be different and may change during processing if desired.
The apparatus of this invention can be provided with microprocessor and/or computer control for the automation of valves and for switching the generator of excited species on and off to provide desired timed pulses of reactants. Such controls are known in the art and can be readily provided for a given apparatus.
The apparatus of this invention has a gas inlet system having one or more gas inlets into the reaction chamber which allow establishment of carrier gas flow into the reaction chamber and into contact with a substrate surface in the chamber.
5 The gas inlet system also allows introduction of one or more reactants including at least one reactant comprising excited species into the carrier gas flow which carries the reactants into contact with the substrate surface. Carrier gas flow is exhausted from the reaction chamber through a vacuum pump exhaust. The gas inlet system can have valves for generating concentration pulses of reactants. Preferably,
L0 excited species can be generated remotely from the reaction chamber. Excited species can also be generated in situ in the reaction chamber, as is known in the art. In the preferred case, the gas inlet system provides a separate conduit for introducing excited species into the carrier gas flow. The excited species can also be introduced into the carrier gas flow just before it enters the chamber. In this
L5 case, more losses of radicals are possible, but still enough radicals can be present to complete the reaction.
Although the invention is illustrated with a horizontal flow, cold wall reactor having a constant cross-section reaction area, other horizontal designs, such as hot wall reactors, can also be employed in the methods of this invention. Similarly, the
20 invention can be readily adapted for use with vertical batch reaction chambers and furnaces, such as those described in U.S. patents 5,294,572, 5,336,325 and 5,662,470. The invention can also be readily adapted for use in reaction chambers in which gas flow is delivered perpendicular (rather than parallel) to the substrate surface, for example through an inlet positioned above the substrate surface, such
25 as a shower head design. In each case, care is taken in reaction chamber design to avoid recirculation of reactants. Recirculation greatly enhances the residence time of reactive species which can lead to undesired gas phase reactions. Undesired gas phase reactions can lead to particle formation in the reaction chamber decreasing the quality of the layers formed on the substrate. Recirculation can be especially harmful when it occurs upstream from the wafer as then it affects the deposition process. Care must also be taken to operate the excited species as close as practically possible to the chamber to assure sufficient survival of radicals.
Figure 2A illustrates an alternate reaction chamber 10 of this invention in which excited species are formed in the reaction chamber. In this case a showerhead inlet 40 is provided through which carrier flow and reactant gas pulses are established. A plasma can be generated by application of RF power electrically connected to the showerhead using an RF generator 42 coupled to an RF matching network, as is well-known in the art. Gas line 41 directs gases from gas manifolds into the showerhead inlet. A source of carrier gas C with valves and mass flow controller, as in the apparatus of Fig. 1 , provides carrier gas flow into the chamber passing in contact with a substrate or wafer (5) on optionally heated holder 15 to exit through exhaust lines 12. A source of reactant A with valves, mass flow controller and dump circuit 30A, as in Fig. 1 , provides concentration pulses of A into carrier gas C by timed operation of valve 27a. Pulses of A are alternated with pulses of RF power to generate alternate pulses of A and excited C* species. In this case, layers are formed by reaction of excited C* species with deposited A reactant. The pulses of A and C* are separated in time and space, as discussed above and in Figs. 3A - 3C below, to avoid an undesired level of gas phase or uncontrolled surface reactions. C can, for example, be nitrogen carrier gas and C* can be excited nitrogen species.
Plasmas may also be generated in the reaction chamber using RF coils as is known in the art. RF power can be modulated as is well-known in the art to provide concentration pulse of excited species. An alternate means for generating a plasma employing a showerhead -type inlet is disclosed in U.S. patent 5,134,965, which is incorporated by reference herein.
Figure 2B illustrates an alternative reactor design with remote plasma generation of excited species employing a showerhead inlet 40. An RF cavity or coil 47 is provided in the inlet line 41. RF power is applied from RF generator 42 through RF matching network 45 to generate a plasma in the inlet line 41. Excited species are formed in the inlet by pulsing the RF power on and off. Concentration pulses of excited species are carried into the reaction chamber in the carrier gas flow. The operation of RF generators and RF matching circuits are well-known in the art.
Figures 3A and 3B schematically illustrate, in one dimension (x), progress of gas pulses traversing the reaction chamber in graphs of reactant concentration as a function of flow distance through the reaction chamber. Fig. 3A is at time t0, just as a pulse of reactant B* enters the reaction chamber (by, e.g., switching on the radical generator). At this time, an earlier pulse of A has traversed a distance equal to Ls (the distance traversed in delay time ts between pulses of different reactant). In the drawing it is assumed that the time each of the gases is on is negligible compared to the delay time between gas pulses. LD is the characteristic diffusion length, a measure of the broadening of the reactant pulse as a function of time due to gas diffusion where LD = ijDt , where D is the diffusion coefficient of the reactant gas in the carrier and t is the residence time, where t = LR/D. Fig. 3B illustrates the B* pulse and A pulse at time t0 + 1/2(LR/v). As indicated in the these figures, pulses broaden due to diffusion of reactants when the pulses traverse the reaction chamber. Pulses illustrated in Fig. 3B are sufficiently separated in distance, despite pulse broadening, to avoid interaction and reaction of reactants in different pulses. LR is the length of the reaction chamber and v is the flow velocity of the gas
(v=Q/pA, where Q is the mass flow rate, p is the pressure in the reaction chamber and A is the cross-sectional area of the reactor). The delay ts between pulses is preferably sufficiently long to minimize overlap of reactant gas pulses in the reactor chamber and minimize gas phase or uncontrolled surface reactions. Significant gas phase reactions or uncontrolled reactions on the surface are avoided when LD < Ls, e.g., preferably where Ls is at least about 5 - 10 times LD. It should be noted that short cycles are desirable to maximize the throughput of the reactor. The desire to minimize pulse overlap is a trade-off with increased throughput. Table 1 presents the results of a calculation of Ls and ts over the pressure range 0.2 - 20 Torr and N2 gas flow rate (Q) range 1 - 50 slm, assuming Ls = 10 LD. In most cases, the delay time (ts) between pulses (e.g., the time between shutting of one reactant and admitting another reactant) is small, i.e., less than one second. The delay time exceeds one second only at the lowest flow and highest pressure. Thus, even when Ls is constrained to be 10 LD, reactant pulse sequences can follow each other in quite rapid succession, typically one every second, enabling a high layer growth rate. It should be noted that conditions do exist where LS»LR. Essentially this implies that one reactant is fully purged from the reactor before the other reactant is introduced.
Fig. 3B illustrates a pulse time scheme in which the time between pulses is sufficiently long that adjacent pulses of reactants do not overlap as they broaden and traverse the reaction chamber. In this case, the leading edge (LEB.) of one pulse does not overlap the trailing edge (TEA) of the preceding pulse. Significant gas phase reactions are avoided when LD is smaller (preferably 5-10 times smaller) than the distance between the trailing and leading edges of the pulses. Fig. 3C illustrates an embodiment where some overlap of reactant pulses is allowed. The amount of overlap illustrated in the figure typically does not result in levels of gas phase reaction or uncontrolled surface reaction that are high enough to detrimentally affect the quality of the layers formed on the substrate or wafer. The use of shorter delay times between pulses, so long as the quality of the layers is not detrimentally affected, is preferred to speed up processing times and thereby improve wafer throughput. Reactant pulse overlap can be characterized in terms of the relative concentration of the reactants at a given point in time, space or both during flow through the reactor. A preferred maximum overlap is defined as follows.
At any point in time or space where the concentration of reactant A is at its maximum (MA ), the concentration of reactant B* at that same point is less than about 10% of the maximum concentration of B* (MB) and at any point in time or space where the concentration of reactant B* is at its maximum (MB), the concentration of reactant A at that same point is less than about 10% of the maximum concentration of A (MA). Delay times selected to meet this maximum overlap criterium typically provide sufficiently clean layer deposition and decreased process times. In certain cases where more reactive chemistries are employed for layer deposition, the maximum overlap should be decreased to avoid undesired reactions which can affect layer quality. In such cases, the maximum concentrations of reactants A and B* at the maximum concentrations of B* and A, respectively, is 1 % or less.
Generally, it is preferred that the pulse reactant system is operated under conditions that minimize diffusion, i.e., at high flow velocity. Delay times ts between pulse of gas are selected as described above to avoid or minimize undesired gas phase reaction between reactants and undesired uncontrolled surface reactions and to minimize processing time. The preferred carrier gas or gases used are non- reactive with any reactants that are to be used in a given process and also non- reactive with the substrate surface. Examples are N2, H2, noble gases and mixtures of these, the choice of which depends upon the process choice or precursors and exposed layers. Reactant gases include pure reactant gases, a mixture of reactants, excited species (e.g., radicals) or mixtures of excited neutrals and mixtures of excited neutrals with diluent or carrier gas. Reactants are typically introduced into the reaction chamber in a carrier gas. Reactants are in the vapor phase when introduced into the reaction chamber.
In a cycle, consisting of one pulse of each reactant with appropriate delay times between pulses, one monolayer or less is typically grown (on the order of about 0.03 - 0.3 nm/ layer). Practical films useful in semiconductor applications ange in thickness from about 1 to about 50 nm. Processing times in a single wafer processing apparatus for practical films will require about 6-600 s for the illustrated reactor.
The process cycle of layer deposition has been exemplified with sequential pulses of two reactants, one of which contains excited species. The apparatus and method of this invention can be employed in formation of complex layers requiring more than two reactants (including reactants containing excited or activated species or radicals). The schedule or order of multiple reactant pulses employed in this invention can be sequential or readily adapted to any order of reactant pulsing for a wide variety of deposition or layering processes. The method of this invention has been exemplified with sequential pulses of reactant separated by a selected delay time (ts) and of selected pulse duration (tA and tB). The delay time between pulses of reactants can be varied over the course of multiple cycles, so long as the delay time used is sufficient to avoid an undesirable level of gas phase or uncontrolled surface reaction among reactants. The pulse duration of the different reactants may be the same or different and will preferably be selected to achieve a desired level of surface reaction. The pulse duration of the same reactants over the course of multiple cycles of layer deposition may be the same or different and will also preferably be selected to achieve a desired level of surface reaction.
The reactant pulse schemes of Figs. 3A-C, illustrate multiple pulses carried in a single flow of carrier gas, such as illustrated in the apparatus of Fig. 1 or Fig. 2. In alternative embodiments, sequential pulses of reactants can be delivered to a reaction area containing one or more substrates in separate carrier gas flows.
Radicals or excited species useful in processing of substrates include, among others, active nitrogen (N*, N2 *, N2 +or mixtures thereof) generated by microwave discharge of nitrogen, oxygen radicals generated by microwave discharge of oxygen, radicals or reactive species generated by photolysis or thermal decomposition. Plasmas for radical generation can be generated by microwave or RF energy, as is well-known in the art. Radicals or excited species tend to recombine or decay into the non-excited state during transport to the reaction area through gas phase reactions and on the walls of conduits. Therefore, it is beneficial to install the radical generator as close as practical to the reaction area on the wafer surface. Further, the pressures during transport of the excited species cannot be too high. Successful generation and transport of radicals has been demonstrated at pressures up to about 50 torr in N2. Preferably the pressure in the apparatus of this invention is kept at about 5-10 torr. Excited neutrals can include radicals, and atoms and molecules in excited electronic states. Excited neutrals can be generated in plasmas sustained by RF or microwave energy. Alternatively, they can be generated by irradiation of precursor neutral species at selected wavelengths including ultra-violet (U.V.), visible and infra-red (IR) wavelengths to decompose neutrals forming radicals and or excited states. Excited neutrals, particularly radicals, can also be formed by thermal decomposition of precursors.
The apparatus of this invention is preferably implemented with a microwave radical, excited neutrals generator which may be remote from the reactor chamber or within the reaction chamber. For applications in the pulsed reactant system, pressures are selected to be sufficiently high to facilitate ignition of a plasma as well as to limit diffusion of reactant pulses into each other to avoid undesired reactions. Pressures are also selected to be sufficiently low to avoid substantial decay of excited species or recombination of radicals so that practical levels of excited species reach the reaction area. Reactor pressures ranging from about 0.5 up to
10-20 Torr are preferred. A preferred microwave plasma source which provides high efficiency at these relatively low reactant pressures is described in Steinhardt et al. U.S. patent 5,489,362, and is commercially available from R3T, as noted above.
In a specific embodiment, the apparatus of this invention can be used to produce silicon nitride layers on silicon wafers. In this application, reactant A is SiH4 using nitrogen as the carrier gas and the substrate is heated to about 300- 550°C. B* is active nitrogen generated by passing nitrogen through a microwave radical generator. Repeated sequential pulses of SiH4 and active nitrogen grows a SiN layer of desired thickness.
In other specific embodiments:
(1 ) Tantalum oxide layers can be deposited on appropriate substrates employing the apparatus of this invention. In this application, reactant A is volatile source of Ta, preferably tantalum pentaethoxide, using nitrogen carrier gas C and the substrate is heated to about 200°C-400°C. B* are oxygen radicals generated by passing oxygen source gas B, preferably O2 , through a microwave radical generator;
(2) Tantalum nitride layers can be deposited on appropriate substrates employing the apparatus of this invention. In this application, reactant A can be a volatile source of Ta, preferably pentakis(dimethylamino)tantalum using nitrogen carrier gas and the substrate is heated to about 200°C-400°C. B* are nitrogen or ammonia radicals, which may be generated by passing nitrogen or ammonia through a microwave radical generator. Alternatively, a mixture of N2 and H2 can be passed through the radical generator. Tantalum nitride layers can be used, for example as a diffusion barrier in copper metallization;
(3) Titanium nitride layers can be deposited on appropriate substrates employing the apparatus of this invention. In this application, reactant A can be a volatile, non-oxygen containing Ti source, preferably pentakis(dimethylamino)titanium, using nitrogen carrier gas and the substrate is heated to about 200°C-400°C. B* are nitrogen or ammonia radicals, which may be generated by passing nitrogen or ammonia through a microwave radical generator. Alternatively, a mixture of N2 and H2 can be passed through the radical generator. Titanium nitride layers can be used, for example, as a diffusion barrier in aluminum-based metallization;
(4) Metal layers, such as Ta or Ti, can be deposited on appropriate substrates employing the apparatus of this invention. In this application, reactant A can be TaCI5 or TiCI4, for example, using nitrogen carrier gas and the substrate is heated to about 200°C-400°C. B* are hydrogen radicals, which may be generated by passing hydrogen through a microwave radical generator. In yet another specific embodiment, the apparatus of this invention can be employed in the growth of layers by selective adsorption of a reactant. For example, under certain conditions metal organic precursors or SiH4 can be made to preferentially adsorb onto Si and not onto SiO2 or SiN4. Exposure of the selectively adsorbed species on Si to radicals effectively completes reaction only on Si. Thus, a layer is grown only on the area of Si. Similar adsorption selectivity of reactants and selective layer growth can be achieved on metal areas (e.g., Cu or Al) with respect to dielectrics.
As noted above, the pulsed gas flow apparatus of this invention is preferably implemented in a horizontal flow reactor in a reactor system as described, for example, in Crabb et al. U.S. patents 4,828,224, 5, 156,521 , 5,092,728, and
5,435,682. A variety of substrates and wafers of different sizes, shapes and materials can be processed by the apparatus and method of this invention.
Substrates are positioned in a substrate holder (a susceptor) that is typically heated.
A variety of substrate holders are known in the art. Multiple substrates can be processed simultaneously, for example, by stacking the wafers in a boat, positioning wafers laterally apart on a single susceptor or by having multiple susceptors for holding one or more wafers in a reaction chamber.
Those of ordinary skill in the art will recognize that reactants, precursors of excited species, materials, procedures, conditions and structures other than those specifically described herein can be employed in the practice of this invention without expense of undue experimentation. All known functional equivalents of the reactants, precursors, materials, procedures, conditions and structures specifically disclosed herein are intended to be encompassed by this invention.
All references cited herein are incorporated by reference in their entirety herein to the extent that they are not inconsistent with the disclosure herein. Table 1
o
3
>
Figure imgf000019_0001
Calculated assuming Ls = 10 LD

Claims

I claim:
1. An apparatus for growing a thin film which comprises:
(a) a reaction chamber;
(b) a substrate mounted in the reaction chamber;
(c) a gas inlet system for establishing a carrier gas flow into the reaction chamber and for introducing one or more reactants into the carrier gas flow wherein at least one of the reactants comprises excited species;
(d) a gas outlet.
wherein during operation of the chamber time separated pulses of reactants are introduced into the carrier gas such that a desired film is deposited on the substrate.
2. The apparatus of claim 1 further comprising a vacuum exhaust system in communication with the gas outlet.
3. The apparatus of claim 1 further comprising a generator of excited species for introduction into the carrier gas.
4. The apparatus of claim 1 wherein the pulses of reactants are sufficiently separated to minimize undesired reaction of reactant in adjacent pulses in the reaction chamber.
5. The apparatus of claim 1 wherein the pulses of reactants are sufficiently separated in time such that the characteristic diffusion length of the reactants sequential in the carrier gas (LD) is smaller than the distance between the trailing and leading edges of the sequential pulses.
6. The apparatus of claim 5 wherein the pulses of reactants are sufficiently separated in time such that the characteristic diffusion length of the reactants in the carrier gas (LD) is 5 to 10 times smaller than the distance between the trailing and leading edges of the sequential pulses.
7. The apparatus of claim 3 wherein the generator of excited species is a microwave radical generator.
8. The apparatus of claim 7 wherein the microwave radical generator is remote from the reaction chamber.
9. The apparatus of claim 3 wherein excited species are produced in a plasma generated in the reaction chamber.
10. The apparatus of claim 1 wherein pulses of a reactant without excited species alternate with pulses of a reactant comprising excited species.
11. The apparatus of claim 3 wherein the gas inlet system comprises a first carrier gas inlet in communication with a reactant source, and a second gas inlet in communication with a generator of excited species.
12. The apparatus of claim 1 wherein the concentration of a reactant in a carrier gas flow at a point in space and time as it passes through the reaction chamber is no more than about 10% of its maximum value when at that point in space and time the concentration of a second reactant in a carrier gas flow is at its maximum value.
13. The apparatus of claim 1 wherein the concentration of a reactant in a carrier gas flow at a point in space and time as it passes through the reaction chamber is no more than about 1 % of its maximum value when at that point in space and time the concentration of a second reactant in a carrier gas flow is at its maximum value.
14. The apparatus of claim 1 wherein alternating pulses of a reactant are carried in the same carrier gas flow.
15. The apparatus of claim 1 further comprising a control system to provide for the timing of pulses of reactants.
16. A method for depositing a layer on a substrate comprising the steps of:
(a) providing a reaction chamber for receiving said substrate and for receiving a carrier gas:
(b) providing concentration pulses of reactants in the carrier gas, at least one of which contains excited species that are sufficiently separated in time and space during transit through the reaction chamber to minimize undesired gas phase reactions or uncontrolled surface reactions;
(c) repeating the pulses of reactants until the desired layer thickness has been formed on the substrate surface.
17. The method of claim 16 where the layer thickness per cycle is less than about
1 nm.
18. The method of claim 16 wherein the concentration of any one reactant in a carrier flow at a point in space and time is no greater than 10% of its maximum value when at that same point in space, time, or both another reactant is at its maximum concentration.
19. The method of claim 16 wherein the concentration of any one reactant in a carrier flow at a point in space and time is no greater than 1 % of its maximum value when at that same point in space and time another reactant is at its maximum concentration.
20. The method of claim 16 wherein the pulses of reactants are sufficiently separated in time such that the characteristic diffusion length of the reactants or excited species in the carrier gas (LD) is smaller than the distance between the trailing and leading edges of the pulses.
21. The method of claim 16 wherein the pulses of reactants are sufficiently separated in time and space such that the characteristic diffusion length of the reactants or excited species in the carrier gas (LD) is 5 to 10 times smaller than the distance between the trailing and leading edges of the pulses.
22. The method of claim 16 wherein the excited species are excited neutrals or radicals formed in a plasma.
23. The method of claim 16 wherein pulses of a reactant gas without excited species alternate with pulses of a reactant comprising excited species.
24. The method of claim 16 wherein the gas inlet system comprises a first gas inlet for introducing carrier gas flow into the reaction chamber and a second gas inlet for introducing reactants comprising excited species into said carrier gas flow.
25. The method of claim 16 wherein concentration pulses of the reactant containing excited species are generated by pulsing on an energy source coupled to a flow of precursor of the excited species.
26. The method of claim 24 where the energy source provides thermal energy.
27. The method of claim 24 wherein the energy source provides visable, UV or IR radiation.
PCT/US2000/024586 1999-09-08 2000-09-08 Improved apparatus and method for growth of a thin film WO2001017692A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
EP00963326.4A EP1216106B1 (en) 1999-09-08 2000-09-08 Improved apparatus and method for growth of a thin film
JP2001521471A JP4994551B2 (en) 1999-09-08 2000-09-08 Improved apparatus and method for growing thin films

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/392,371 1999-09-08
US09/392,371 US6511539B1 (en) 1999-09-08 1999-09-08 Apparatus and method for growth of a thin film

Publications (2)

Publication Number Publication Date
WO2001017692A1 WO2001017692A1 (en) 2001-03-15
WO2001017692A9 true WO2001017692A9 (en) 2002-10-03

Family

ID=23550311

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2000/024586 WO2001017692A1 (en) 1999-09-08 2000-09-08 Improved apparatus and method for growth of a thin film

Country Status (6)

Country Link
US (4) US6511539B1 (en)
EP (1) EP1216106B1 (en)
JP (1) JP4994551B2 (en)
KR (1) KR100853702B1 (en)
TW (1) TW527224B (en)
WO (1) WO2001017692A1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9032906B2 (en) 2005-11-04 2015-05-19 Applied Materials, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
US9209074B2 (en) 2001-07-25 2015-12-08 Applied Materials, Inc. Cobalt deposition on barrier surfaces

Families Citing this family (668)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7393561B2 (en) * 1997-08-11 2008-07-01 Applied Materials, Inc. Method and apparatus for layer by layer deposition of thin films
US6974766B1 (en) * 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6319766B1 (en) 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
US7494927B2 (en) * 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
WO2001099166A1 (en) * 2000-06-08 2001-12-27 Genitech Inc. Thin film forming method
US6620723B1 (en) * 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US6551929B1 (en) * 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7405158B2 (en) * 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US6936538B2 (en) * 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US20020036780A1 (en) * 2000-09-27 2002-03-28 Hiroaki Nakamura Image processing apparatus
US9255329B2 (en) * 2000-12-06 2016-02-09 Novellus Systems, Inc. Modulated ion-induced atomic layer deposition (MII-ALD)
US6765178B2 (en) 2000-12-29 2004-07-20 Applied Materials, Inc. Chamber for uniform substrate heating
US6825447B2 (en) 2000-12-29 2004-11-30 Applied Materials, Inc. Apparatus and method for uniform substrate heating and contaminate collection
US6951804B2 (en) * 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
AU2002306436A1 (en) * 2001-02-12 2002-10-15 Asm America, Inc. Improved process for deposition of semiconductor films
US6613656B2 (en) * 2001-02-13 2003-09-02 Micron Technology, Inc. Sequential pulse deposition
US6660126B2 (en) 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6878206B2 (en) * 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
WO2002071463A1 (en) * 2001-03-02 2002-09-12 Tokyo Electron Limited Shower head gas injection apparatus with secondary high pressure pulsed gas injection
US6627268B1 (en) * 2001-05-03 2003-09-30 Novellus Systems, Inc. Sequential ion, UV, and electron induced chemical vapor deposition
US6596643B2 (en) * 2001-05-07 2003-07-22 Applied Materials, Inc. CVD TiSiN barrier for copper integration
JP2002339071A (en) * 2001-05-18 2002-11-27 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude Treating-gas feed mechanism in alcvd system
JP2002343790A (en) * 2001-05-21 2002-11-29 Nec Corp Vapor-phase deposition method of metallic compound thin film and method for manufacturing semiconductor device
US7037574B2 (en) 2001-05-23 2006-05-02 Veeco Instruments, Inc. Atomic layer deposition for fabricating thin films
US6828218B2 (en) * 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6849545B2 (en) * 2001-06-20 2005-02-01 Applied Materials, Inc. System and method to form a composite film stack utilizing sequential deposition techniques
US20030198754A1 (en) * 2001-07-16 2003-10-23 Ming Xi Aluminum oxide chamber and process
US20060201428A1 (en) * 2001-07-19 2006-09-14 Park Young H Shower head and method of fabricating the same
KR100427996B1 (en) * 2001-07-19 2004-04-28 주식회사 아이피에스 Apparatus and method for depositing thin film on wafer
US8110489B2 (en) * 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US20090004850A1 (en) * 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
WO2003030224A2 (en) * 2001-07-25 2003-04-10 Applied Materials, Inc. Barrier formation using novel sputter-deposition method
US20030029715A1 (en) * 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US20080268635A1 (en) * 2001-07-25 2008-10-30 Sang-Ho Yu Process for forming cobalt and cobalt silicide materials in copper contact applications
US7085616B2 (en) 2001-07-27 2006-08-01 Applied Materials, Inc. Atomic layer deposition apparatus
EP1421606A4 (en) * 2001-08-06 2008-03-05 Genitech Co Ltd Plasma enhanced atomic layer deposition (peald) equipment and method of forming a conducting thin film using the same thereof
US6820570B2 (en) 2001-08-15 2004-11-23 Nobel Biocare Services Ag Atomic layer deposition reactor
US7199328B2 (en) * 2001-08-29 2007-04-03 Tokyo Electron Limited Apparatus and method for plasma processing
US6718126B2 (en) * 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US6936906B2 (en) * 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
US7049226B2 (en) * 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
US20030059538A1 (en) * 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
KR100782529B1 (en) * 2001-11-08 2007-12-06 에이에스엠지니텍코리아 주식회사 Apparatus for depositing
KR100760291B1 (en) * 2001-11-08 2007-09-19 에이에스엠지니텍코리아 주식회사 Method for forming thin film
US6773507B2 (en) * 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US7081271B2 (en) * 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6729824B2 (en) 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
US6939801B2 (en) * 2001-12-21 2005-09-06 Applied Materials, Inc. Selective deposition of a barrier layer on a dielectric material
AU2003238853A1 (en) * 2002-01-25 2003-09-02 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6866746B2 (en) * 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US6998014B2 (en) * 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6911391B2 (en) * 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6787185B2 (en) * 2002-02-25 2004-09-07 Micron Technology, Inc. Deposition methods for improved delivery of metastable species
US6833161B2 (en) * 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6972267B2 (en) * 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US6893506B2 (en) * 2002-03-11 2005-05-17 Micron Technology, Inc. Atomic layer deposition apparatus and method
US6825134B2 (en) * 2002-03-26 2004-11-30 Applied Materials, Inc. Deposition of film layers by alternately pulsing a precursor and high frequency power in a continuous gas flow
US6800134B2 (en) * 2002-03-26 2004-10-05 Micron Technology, Inc. Chemical vapor deposition methods and atomic layer deposition methods
US6720027B2 (en) * 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
JP4695343B2 (en) * 2002-04-11 2011-06-08 株式会社日立国際電気 Vertical semiconductor manufacturing equipment
US7279432B2 (en) * 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US20030235961A1 (en) * 2002-04-17 2003-12-25 Applied Materials, Inc. Cyclical sequential deposition of multicomponent films
US7374617B2 (en) * 2002-04-25 2008-05-20 Micron Technology, Inc. Atomic layer deposition methods and chemical vapor deposition methods
US20030215570A1 (en) * 2002-05-16 2003-11-20 Applied Materials, Inc. Deposition of silicon nitride
US7041335B2 (en) * 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
US6838125B2 (en) * 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US20040013803A1 (en) * 2002-07-16 2004-01-22 Applied Materials, Inc. Formation of titanium nitride films using a cyclical deposition process
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US6955211B2 (en) 2002-07-17 2005-10-18 Applied Materials, Inc. Method and apparatus for gas temperature control in a semiconductor processing system
US7066194B2 (en) * 2002-07-19 2006-06-27 Applied Materials, Inc. Valve design and configuration for fast delivery system
US6772072B2 (en) * 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
US6915592B2 (en) 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
US6887521B2 (en) * 2002-08-15 2005-05-03 Micron Technology, Inc. Gas delivery system for pulsed-type deposition processes used in the manufacturing of micro-devices
US6995081B2 (en) * 2002-08-28 2006-02-07 Micron Technology, Inc. Systems and methods for forming tantalum silicide layers
US6967159B2 (en) * 2002-08-28 2005-11-22 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using organic amines
US6794284B2 (en) * 2002-08-28 2004-09-21 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using disilazanes
JP3594947B2 (en) * 2002-09-19 2004-12-02 東京エレクトロン株式会社 Method for forming insulating film, method for manufacturing semiconductor device, substrate processing apparatus
KR100467357B1 (en) * 2002-09-24 2005-01-24 삼성전자주식회사 Method for manufacturing a mos transister
US20040065255A1 (en) * 2002-10-02 2004-04-08 Applied Materials, Inc. Cyclical layer deposition system
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US20040069227A1 (en) * 2002-10-09 2004-04-15 Applied Materials, Inc. Processing chamber configured for uniform gas flow
US6905737B2 (en) * 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
US7540920B2 (en) 2002-10-18 2009-06-02 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
US7097782B2 (en) 2002-11-12 2006-08-29 Micron Technology, Inc. Method of exposing a substrate to a surface microwave plasma, etching method, deposition method, surface microwave plasma generating apparatus, semiconductor substrate etching apparatus, semiconductor substrate deposition apparatus, and microwave plasma generating antenna assembly
US7022605B2 (en) 2002-11-12 2006-04-04 Micron Technology, Inc. Atomic layer deposition methods
EP1420080A3 (en) * 2002-11-14 2005-11-09 Applied Materials, Inc. Apparatus and method for hybrid chemical deposition processes
US20040142558A1 (en) 2002-12-05 2004-07-22 Granneman Ernst H. A. Apparatus and method for atomic layer deposition on substrates
US7244683B2 (en) * 2003-01-07 2007-07-17 Applied Materials, Inc. Integration of ALD/CVD barriers with porous low k materials
US7262133B2 (en) * 2003-01-07 2007-08-28 Applied Materials, Inc. Enhancement of copper line reliability using thin ALD tan film to cap the copper line
US6753248B1 (en) 2003-01-27 2004-06-22 Applied Materials, Inc. Post metal barrier/adhesion film
US6994319B2 (en) * 2003-01-29 2006-02-07 Applied Materials, Inc. Membrane gas valve for pulsing a gas
US6868859B2 (en) * 2003-01-29 2005-03-22 Applied Materials, Inc. Rotary gas valve for pulsing a gas
US20040177813A1 (en) 2003-03-12 2004-09-16 Applied Materials, Inc. Substrate support lift mechanism
US6955986B2 (en) 2003-03-27 2005-10-18 Asm International N.V. Atomic layer deposition methods for forming a multi-layer adhesion-barrier layer for integrated circuits
US6972055B2 (en) * 2003-03-28 2005-12-06 Finens Corporation Continuous flow deposition system
US20040198069A1 (en) * 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
US20050070126A1 (en) * 2003-04-21 2005-03-31 Yoshihide Senzaki System and method for forming multi-component dielectric films
TW200506093A (en) * 2003-04-21 2005-02-16 Aviza Tech Inc System and method for forming multi-component films
US7537662B2 (en) 2003-04-29 2009-05-26 Asm International N.V. Method and apparatus for depositing thin films on a surface
US7601223B2 (en) 2003-04-29 2009-10-13 Asm International N.V. Showerhead assembly and ALD methods
KR20060079144A (en) * 2003-06-18 2006-07-05 어플라이드 머티어리얼스, 인코포레이티드 Atomic layer deposition of barrier materials
US20050011459A1 (en) * 2003-07-15 2005-01-20 Heng Liu Chemical vapor deposition reactor
US20050178336A1 (en) * 2003-07-15 2005-08-18 Heng Liu Chemical vapor deposition reactor having multiple inlets
US7399388B2 (en) * 2003-07-25 2008-07-15 Applied Materials, Inc. Sequential gas flow oxide deposition technique
WO2005017963A2 (en) * 2003-08-04 2005-02-24 Asm America, Inc. Surface preparation prior to deposition on germanium
US7378129B2 (en) * 2003-08-18 2008-05-27 Micron Technology, Inc. Atomic layer deposition methods of forming conductive metal nitride comprising layers
ATE554196T1 (en) 2003-08-20 2012-05-15 Veeco Instr Inc VERTICALLY FLOWED TURNTABLE DISK REACTORS AND TREATMENT METHODS THEREWITH
US7235482B2 (en) * 2003-09-08 2007-06-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a contact interconnection layer containing a metal and nitrogen by atomic layer deposition for deep sub-micron semiconductor technology
US7018469B2 (en) * 2003-09-23 2006-03-28 Micron Technology, Inc. Atomic layer deposition methods of forming silicon dioxide comprising layers
US6867152B1 (en) 2003-09-26 2005-03-15 Novellus Systems, Inc. Properties of a silica thin film produced by a rapid vapor deposition (RVD) process
US20050067103A1 (en) * 2003-09-26 2005-03-31 Applied Materials, Inc. Interferometer endpoint monitoring device
US20050069641A1 (en) * 2003-09-30 2005-03-31 Tokyo Electron Limited Method for depositing metal layers using sequential flow deposition
US6924223B2 (en) 2003-09-30 2005-08-02 Tokyo Electron Limited Method of forming a metal layer using an intermittent precursor gas flow process
US7166528B2 (en) * 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
US20050095859A1 (en) * 2003-11-03 2005-05-05 Applied Materials, Inc. Precursor delivery system with rate control
US7071118B2 (en) * 2003-11-12 2006-07-04 Veeco Instruments, Inc. Method and apparatus for fabricating a conformal thin film on a substrate
US20050109276A1 (en) * 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
KR100560654B1 (en) * 2004-01-08 2006-03-16 삼성전자주식회사 Nitrogenous compound for forming silicon nitride film and method of forming silicon nitride film using the same
KR101025323B1 (en) * 2004-01-13 2011-03-29 가부시키가이샤 아루박 Etching apparatus and etching method
US20050210455A1 (en) * 2004-03-18 2005-09-22 International Business Machines Corporation Method for generating an executable workflow code from an unstructured cyclic process model
US20050252449A1 (en) * 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US20060062917A1 (en) * 2004-05-21 2006-03-23 Shankar Muthukrishnan Vapor deposition of hafnium silicate materials with tris(dimethylamino)silane
US20060153995A1 (en) * 2004-05-21 2006-07-13 Applied Materials, Inc. Method for fabricating a dielectric stack
US8323754B2 (en) * 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US20060019033A1 (en) * 2004-05-21 2006-01-26 Applied Materials, Inc. Plasma treatment of hafnium-containing materials
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US7097878B1 (en) 2004-06-22 2006-08-29 Novellus Systems, Inc. Mixed alkoxy precursors and methods of their use for rapid vapor deposition of SiO2 films
US7297608B1 (en) 2004-06-22 2007-11-20 Novellus Systems, Inc. Method for controlling properties of conformal silica nanolaminates formed by rapid vapor deposition
US7202185B1 (en) 2004-06-22 2007-04-10 Novellus Systems, Inc. Silica thin films produced by rapid surface catalyzed vapor deposition (RVD) using a nucleation layer
US7129189B1 (en) 2004-06-22 2006-10-31 Novellus Systems, Inc. Aluminum phosphate incorporation in silica thin films produced by rapid surface catalyzed vapor deposition (RVD)
US7241686B2 (en) * 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
US20060019032A1 (en) * 2004-07-23 2006-01-26 Yaxin Wang Low thermal budget silicon nitride formation for advance transistor fabrication
DE102004040943B4 (en) * 2004-08-24 2008-07-31 Qimonda Ag Method for the selective deposition of a layer by means of an ALD method
US20060046719A1 (en) * 2004-08-30 2006-03-02 Holtschneider David J Method and apparatus for automatic connection of communication devices
US8158488B2 (en) * 2004-08-31 2012-04-17 Micron Technology, Inc. Method of increasing deposition rate of silicon dioxide on a catalyst
US20060084283A1 (en) * 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7790633B1 (en) 2004-10-26 2010-09-07 Novellus Systems, Inc. Sequential deposition/anneal film densification method
KR100636037B1 (en) * 2004-11-19 2006-10-18 삼성전자주식회사 Method of forming a titanium nitride layer and apparatus for performing the same
KR100636036B1 (en) * 2004-11-19 2006-10-18 삼성전자주식회사 Method of forming a titanium nitride layer and apparatus for performing the same
US7312128B2 (en) * 2004-12-01 2007-12-25 Applied Materials, Inc. Selective epitaxy process with alternating gas supply
US7560352B2 (en) * 2004-12-01 2009-07-14 Applied Materials, Inc. Selective deposition
US7682940B2 (en) 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7429402B2 (en) * 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US7294583B1 (en) 2004-12-23 2007-11-13 Novellus Systems, Inc. Methods for the use of alkoxysilanol precursors for vapor deposition of SiO2 films
US7223707B1 (en) 2004-12-30 2007-05-29 Novellus Systems, Inc. Dynamic rapid vapor deposition process for conformal silica laminates
US7482247B1 (en) 2004-12-30 2009-01-27 Novellus Systems, Inc. Conformal nanolaminate dielectric deposition and etch bag gap fill process
US7271112B1 (en) 2004-12-30 2007-09-18 Novellus Systems, Inc. Methods for forming high density, conformal, silica nanolaminate films via pulsed deposition layer in structures of confined geometry
WO2006078666A2 (en) 2005-01-18 2006-07-27 Asm America, Inc. Reaction system for growing a thin film
US7235492B2 (en) 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US20060177601A1 (en) * 2005-02-10 2006-08-10 Hyung-Sang Park Method of forming a ruthenium thin film using a plasma enhanced atomic layer deposition apparatus and the method thereof
TW200634982A (en) * 2005-02-22 2006-10-01 Asm Inc Plasma pre-treating surfaces for atomic layer deposition
US7109129B1 (en) 2005-03-09 2006-09-19 Novellus Systems, Inc. Optimal operation of conformal silica deposition reactors
US7135418B1 (en) 2005-03-09 2006-11-14 Novellus Systems, Inc. Optimal operation of conformal silica deposition reactors
US7666773B2 (en) 2005-03-15 2010-02-23 Asm International N.V. Selective deposition of noble metal thin films
US7608549B2 (en) * 2005-03-15 2009-10-27 Asm America, Inc. Method of forming non-conformal layers
US8025922B2 (en) 2005-03-15 2011-09-27 Asm International N.V. Enhanced deposition of noble metals
US20060216548A1 (en) * 2005-03-22 2006-09-28 Ming Mao Nanolaminate thin films and method for forming the same using atomic layer deposition
CN100595974C (en) * 2005-03-30 2010-03-24 松下电器产业株式会社 Transmission line
KR100628995B1 (en) 2005-03-30 2006-09-27 이선영 Auto dilution system of hydrogen gas for semiconductor wafer processing
US7396415B2 (en) * 2005-06-02 2008-07-08 Asm America, Inc. Apparatus and methods for isolating chemical vapor reactions at a substrate surface
US20060272577A1 (en) * 2005-06-03 2006-12-07 Ming Mao Method and apparatus for decreasing deposition time of a thin film
US20060286774A1 (en) * 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7648927B2 (en) 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7651955B2 (en) * 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20070014919A1 (en) * 2005-07-15 2007-01-18 Jani Hamalainen Atomic layer deposition of noble metal oxides
US20070020890A1 (en) * 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing
US20070049043A1 (en) * 2005-08-23 2007-03-01 Applied Materials, Inc. Nitrogen profile engineering in HI-K nitridation for device performance enhancement and reliability improvement
US7402534B2 (en) * 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US7521356B2 (en) * 2005-09-01 2009-04-21 Micron Technology, Inc. Atomic layer deposition systems and methods including silicon-containing tantalum precursor compounds
US20070065576A1 (en) * 2005-09-09 2007-03-22 Vikram Singh Technique for atomic layer deposition
US20070065578A1 (en) * 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
US20070082507A1 (en) * 2005-10-06 2007-04-12 Applied Materials, Inc. Method and apparatus for the low temperature deposition of doped silicon nitride films
US7464917B2 (en) * 2005-10-07 2008-12-16 Appiled Materials, Inc. Ampoule splash guard apparatus
US8993055B2 (en) 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
US20070099422A1 (en) * 2005-10-28 2007-05-03 Kapila Wijekoon Process for electroless copper deposition
US7589028B1 (en) 2005-11-15 2009-09-15 Novellus Systems, Inc. Hydroxyl bond removal and film densification method for oxide films using microwave post treatment
US7658802B2 (en) * 2005-11-22 2010-02-09 Applied Materials, Inc. Apparatus and a method for cleaning a dielectric film
US7491653B1 (en) 2005-12-23 2009-02-17 Novellus Systems, Inc. Metal-free catalysts for pulsed deposition layer process for conformal silica laminates
KR101379015B1 (en) 2006-02-15 2014-03-28 한국에이에스엠지니텍 주식회사 METHOD OF DEPOSITING Ru FILM USING PEALD AND DENSE Ru FILM
US7964514B2 (en) * 2006-03-02 2011-06-21 Applied Materials, Inc. Multiple nitrogen plasma treatments for thin SiON dielectrics
US20070218702A1 (en) * 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
US20070215036A1 (en) * 2006-03-15 2007-09-20 Hyung-Sang Park Method and apparatus of time and space co-divided atomic layer deposition
WO2007112058A2 (en) * 2006-03-24 2007-10-04 Applied Materials, Inc. Carbon precursors for use during silicon epitaxial firm formation
US7737035B1 (en) 2006-03-31 2010-06-15 Novellus Systems, Inc. Dual seal deposition process chamber and process
US7674337B2 (en) * 2006-04-07 2010-03-09 Applied Materials, Inc. Gas manifolds for use during epitaxial film formation
US20070252299A1 (en) * 2006-04-27 2007-11-01 Applied Materials, Inc. Synchronization of precursor pulsing and wafer rotation
US7537804B2 (en) 2006-04-28 2009-05-26 Micron Technology, Inc. ALD methods in which two or more different precursors are utilized with one or more reactants to form materials over substrates
US7288463B1 (en) 2006-04-28 2007-10-30 Novellus Systems, Inc. Pulsed deposition layer gap fill with expansion material
US7798096B2 (en) * 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US20070259111A1 (en) * 2006-05-05 2007-11-08 Singh Kaushal K Method and apparatus for photo-excitation of chemicals for atomic layer deposition of dielectric film
US7625820B1 (en) 2006-06-21 2009-12-01 Novellus Systems, Inc. Method of selective coverage of high aspect ratio structures with a conformal film
US7501355B2 (en) * 2006-06-29 2009-03-10 Applied Materials, Inc. Decreasing the etch rate of silicon nitride by carbon addition
TWI395335B (en) * 2006-06-30 2013-05-01 Applied Materials Inc Nanocrystal formation
US7795160B2 (en) * 2006-07-21 2010-09-14 Asm America Inc. ALD of metal silicate films
US8187679B2 (en) * 2006-07-29 2012-05-29 Lotus Applied Technology, Llc Radical-enhanced atomic layer deposition system and method
US7588980B2 (en) * 2006-07-31 2009-09-15 Applied Materials, Inc. Methods of controlling morphology during epitaxial layer formation
DE112007001814T5 (en) * 2006-07-31 2009-06-04 Applied Materials, Inc., Santa Clara A method of forming carbonaceous silicon epitaxial layers
US20080038486A1 (en) * 2006-08-03 2008-02-14 Helmuth Treichel Radical Assisted Batch Film Deposition
US7435484B2 (en) * 2006-09-01 2008-10-14 Asm Japan K.K. Ruthenium thin film-formed structure
US8053372B1 (en) 2006-09-12 2011-11-08 Novellus Systems, Inc. Method of reducing plasma stabilization time in a cyclic deposition process
US7871678B1 (en) 2006-09-12 2011-01-18 Novellus Systems, Inc. Method of increasing the reactivity of a precursor in a cyclic deposition process
KR20080027009A (en) * 2006-09-22 2008-03-26 에이에스엠지니텍코리아 주식회사 Atomic layer deposition apparatus and method for depositing laminated films using the same
US20080081114A1 (en) * 2006-10-03 2008-04-03 Novellus Systems, Inc. Apparatus and method for delivering uniform fluid flow in a chemical deposition system
US7521379B2 (en) * 2006-10-09 2009-04-21 Applied Materials, Inc. Deposition and densification process for titanium nitride barrier layers
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US9087877B2 (en) * 2006-10-24 2015-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Low-k interconnect structures with reduced RC delay
US8268409B2 (en) * 2006-10-25 2012-09-18 Asm America, Inc. Plasma-enhanced deposition of metal carbide films
US20080176149A1 (en) * 2006-10-30 2008-07-24 Applied Materials, Inc. Endpoint detection for photomask etching
US20080099436A1 (en) * 2006-10-30 2008-05-01 Michael Grimbergen Endpoint detection for photomask etching
US7775508B2 (en) * 2006-10-31 2010-08-17 Applied Materials, Inc. Ampoule for liquid draw and vapor draw with a continuous level sensor
US7611751B2 (en) * 2006-11-01 2009-11-03 Asm America, Inc. Vapor deposition of metal carbide films
US20080124484A1 (en) * 2006-11-08 2008-05-29 Asm Japan K.K. Method of forming ru film and metal wiring structure
DE102007037527B4 (en) * 2006-11-10 2013-05-08 Schott Ag Process for coating objects with alternating layers
US20080145536A1 (en) * 2006-12-13 2008-06-19 Applied Materials, Inc. METHOD AND APPARATUS FOR LOW TEMPERATURE AND LOW K SiBN DEPOSITION
US7993457B1 (en) 2007-01-23 2011-08-09 Novellus Systems, Inc. Deposition sub-chamber with variable flow
US7598170B2 (en) * 2007-01-26 2009-10-06 Asm America, Inc. Plasma-enhanced ALD of tantalum nitride films
US7595270B2 (en) * 2007-01-26 2009-09-29 Asm America, Inc. Passivated stoichiometric metal nitride films
US20080206987A1 (en) * 2007-01-29 2008-08-28 Gelatos Avgerinos V Process for tungsten nitride deposition by a temperature controlled lid assembly
US8043432B2 (en) * 2007-02-12 2011-10-25 Tokyo Electron Limited Atomic layer deposition systems and methods
US20080241387A1 (en) * 2007-03-29 2008-10-02 Asm International N.V. Atomic layer deposition reactor
US20080241384A1 (en) * 2007-04-02 2008-10-02 Asm Genitech Korea Ltd. Lateral flow deposition apparatus and method of depositing film by using the apparatus
US20090096349A1 (en) * 2007-04-26 2009-04-16 Moshtagh Vahid S Cross flow cvd reactor
US8216419B2 (en) * 2008-03-28 2012-07-10 Bridgelux, Inc. Drilled CVD shower head
US7713874B2 (en) * 2007-05-02 2010-05-11 Asm America, Inc. Periodic plasma annealing in an ALD-type process
US8092599B2 (en) * 2007-07-10 2012-01-10 Veeco Instruments Inc. Movable injectors in rotating disc gas reactors
US20090035946A1 (en) * 2007-07-31 2009-02-05 Asm International N.V. In situ deposition of different metal-containing films using cyclopentadienyl metal precursors
US20090041952A1 (en) 2007-08-10 2009-02-12 Asm Genitech Korea Ltd. Method of depositing silicon oxide films
KR20090018290A (en) * 2007-08-17 2009-02-20 에이에스엠지니텍코리아 주식회사 Deposition apparatus
US7678298B2 (en) * 2007-09-25 2010-03-16 Applied Materials, Inc. Tantalum carbide nitride materials by vapor deposition processes
US7585762B2 (en) * 2007-09-25 2009-09-08 Applied Materials, Inc. Vapor deposition processes for tantalum carbide nitride materials
US20090087550A1 (en) * 2007-09-27 2009-04-02 Tokyo Electron Limited Sequential flow deposition of a tungsten silicide gate electrode film
US20090087339A1 (en) * 2007-09-28 2009-04-02 Asm Japan K.K. METHOD FOR FORMING RUTHENIUM COMPLEX FILM USING Beta-DIKETONE-COORDINATED RUTHENIUM PRECURSOR
US7824743B2 (en) 2007-09-28 2010-11-02 Applied Materials, Inc. Deposition processes for titanium nitride barrier and aluminum
KR101544198B1 (en) 2007-10-17 2015-08-12 한국에이에스엠지니텍 주식회사 Method of depositing ruthenium film
US7939447B2 (en) * 2007-10-26 2011-05-10 Asm America, Inc. Inhibitors for selective deposition of silicon containing films
US8668775B2 (en) * 2007-10-31 2014-03-11 Toshiba Techno Center Inc. Machine CVD shower head
KR101376336B1 (en) * 2007-11-27 2014-03-18 한국에이에스엠지니텍 주식회사 Atomic layer deposition apparatus
US7655564B2 (en) * 2007-12-12 2010-02-02 Asm Japan, K.K. Method for forming Ta-Ru liner layer for Cu wiring
US8092606B2 (en) 2007-12-18 2012-01-10 Asm Genitech Korea Ltd. Deposition apparatus
US7655543B2 (en) * 2007-12-21 2010-02-02 Asm America, Inc. Separate injection of reactive species in selective formation of films
KR20090067505A (en) * 2007-12-21 2009-06-25 에이에스엠지니텍코리아 주식회사 Method of depositing ruthenium film
US20110054633A1 (en) * 2008-01-18 2011-03-03 Nanosurface Technologies, Llc Nanofilm Protective and Release Matrices
EP2257971A4 (en) * 2008-01-18 2012-11-28 Nanosurface Technologies Llc Nanofilm protective and release matrices
US7799674B2 (en) * 2008-02-19 2010-09-21 Asm Japan K.K. Ruthenium alloy film for copper interconnects
US7767572B2 (en) * 2008-02-21 2010-08-03 Applied Materials, Inc. Methods of forming a barrier layer in an interconnect structure
US8273178B2 (en) * 2008-02-28 2012-09-25 Asm Genitech Korea Ltd. Thin film deposition apparatus and method of maintaining the same
US7618893B2 (en) * 2008-03-04 2009-11-17 Applied Materials, Inc. Methods of forming a layer for barrier applications in an interconnect structure
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
WO2009129332A2 (en) * 2008-04-16 2009-10-22 Asm America, Inc. Atomic layer deposition of metal carbide films using aluminum hydrocarbon compounds
US8383525B2 (en) * 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
US7666474B2 (en) 2008-05-07 2010-02-23 Asm America, Inc. Plasma-enhanced pulsed deposition of metal carbide films
US8076237B2 (en) * 2008-05-09 2011-12-13 Asm America, Inc. Method and apparatus for 3D interconnect
US8084104B2 (en) * 2008-08-29 2011-12-27 Asm Japan K.K. Atomic composition controlled ruthenium alloy film formed by plasma-enhanced atomic layer deposition
US8491967B2 (en) * 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8133555B2 (en) 2008-10-14 2012-03-13 Asm Japan K.K. Method for forming metal film by ALD using beta-diketone metal complex
US8146896B2 (en) * 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
US9175388B2 (en) * 2008-11-01 2015-11-03 Ultratech, Inc. Reaction chamber with removable liner
US9328417B2 (en) * 2008-11-01 2016-05-03 Ultratech, Inc. System and method for thin film deposition
DE102008056890A1 (en) * 2008-11-12 2010-05-20 Fleissner Gmbh Device for coloring webs
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US20100151676A1 (en) * 2008-12-16 2010-06-17 Applied Materials, Inc. Densification process for titanium nitride layer for submicron applications
US9379011B2 (en) 2008-12-19 2016-06-28 Asm International N.V. Methods for depositing nickel films and for making nickel silicide and nickel germanide
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8486191B2 (en) * 2009-04-07 2013-07-16 Asm America, Inc. Substrate reactor with adjustable injectors for mixing gases within reaction chamber
US20100266765A1 (en) * 2009-04-21 2010-10-21 White Carl L Method and apparatus for growing a thin film onto a substrate
US20110020546A1 (en) * 2009-05-15 2011-01-27 Asm International N.V. Low Temperature ALD of Noble Metals
DE102009026249B4 (en) * 2009-07-24 2012-11-15 Q-Cells Se Plasma assisted deposition process, semiconductor device and deposition device
US8329569B2 (en) * 2009-07-31 2012-12-11 Asm America, Inc. Deposition of ruthenium or ruthenium dioxide
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8637123B2 (en) * 2009-12-29 2014-01-28 Lotus Applied Technology, Llc Oxygen radical generation for radical-enhanced thin film deposition
JP5742185B2 (en) 2010-03-19 2015-07-01 東京エレクトロン株式会社 Film forming apparatus, film forming method, rotation speed optimization method, and storage medium
EP2553143B1 (en) * 2010-03-29 2017-10-04 Koolerheadz Modular gas injection device
US8778204B2 (en) 2010-10-29 2014-07-15 Applied Materials, Inc. Methods for reducing photoresist interference when monitoring a target layer in a plasma process
KR101881181B1 (en) 2010-11-04 2018-08-16 노벨러스 시스템즈, 인코포레이티드 Ion-induced atomic layer deposition of tantalum
US9303319B2 (en) 2010-12-17 2016-04-05 Veeco Instruments Inc. Gas injection system for chemical vapor deposition using sequenced valves
US8642473B2 (en) 2011-03-04 2014-02-04 Applied Materials, Inc. Methods for contact clean
US8871617B2 (en) 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
US8912096B2 (en) 2011-04-28 2014-12-16 Applied Materials, Inc. Methods for precleaning a substrate prior to metal silicide fabrication process
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9218961B2 (en) 2011-09-19 2015-12-22 Applied Materials, Inc. Methods of forming a metal containing layer on a substrate with high uniformity and good profile control
US8961804B2 (en) 2011-10-25 2015-02-24 Applied Materials, Inc. Etch rate detection for photomask etching
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8808559B2 (en) 2011-11-22 2014-08-19 Applied Materials, Inc. Etch rate detection for reflective multi-material layers etching
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US8927423B2 (en) 2011-12-16 2015-01-06 Applied Materials, Inc. Methods for annealing a contact metal layer to form a metal silicidation layer
US8900469B2 (en) 2011-12-19 2014-12-02 Applied Materials, Inc. Etch rate detection for anti-reflective coating layer and absorber layer etching
US8586479B2 (en) 2012-01-23 2013-11-19 Applied Materials, Inc. Methods for forming a contact metal layer in semiconductor devices
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US9330939B2 (en) 2012-03-28 2016-05-03 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (en) 2012-05-02 2018-05-01 Asm智慧財產控股公司 Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9805939B2 (en) 2012-10-12 2017-10-31 Applied Materials, Inc. Dual endpoint detection for advanced phase shift and binary photomasks
US8778574B2 (en) 2012-11-30 2014-07-15 Applied Materials, Inc. Method for etching EUV material layers utilized to form a photomask
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9412602B2 (en) 2013-03-13 2016-08-09 Asm Ip Holding B.V. Deposition of smooth metal nitride films
US8846550B1 (en) 2013-03-14 2014-09-30 Asm Ip Holding B.V. Silane or borane treatment of metal thin films
US8841182B1 (en) 2013-03-14 2014-09-23 Asm Ip Holding B.V. Silane and borane treatments for titanium carbide films
US9353439B2 (en) 2013-04-05 2016-05-31 Lam Research Corporation Cascade design showerhead for transient uniformity
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9543163B2 (en) 2013-08-20 2017-01-10 Applied Materials, Inc. Methods for forming features in a material layer utilizing a combination of a main etching and a cyclical etching process
KR102263554B1 (en) 2013-09-27 2021-06-09 어플라이드 머티어리얼스, 인코포레이티드 Method of enabling seamless cobalt gap-fill
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9236294B2 (en) * 2014-01-13 2016-01-12 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor device structure
JP6559706B2 (en) 2014-01-27 2019-08-14 ビーコ インストルメンツ インコーポレイテッド Wafer carrier with holding pockets with compound radius for chemical vapor deposition systems
US9394609B2 (en) 2014-02-13 2016-07-19 Asm Ip Holding B.V. Atomic layer deposition of aluminum fluoride thin films
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9508561B2 (en) 2014-03-11 2016-11-29 Applied Materials, Inc. Methods for forming interconnection structures in an integrated cluster system for semicondcutor applications
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10643925B2 (en) 2014-04-17 2020-05-05 Asm Ip Holding B.V. Fluorine-containing conductive films
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9528185B2 (en) 2014-08-22 2016-12-27 Applied Materials, Inc. Plasma uniformity control by arrays of unit cell plasmas
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102216575B1 (en) 2014-10-23 2021-02-18 에이에스엠 아이피 홀딩 비.브이. Titanium aluminum and tantalum aluminum thin films
KR102300403B1 (en) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. Method of depositing thin film
US9920844B2 (en) 2014-11-26 2018-03-20 Lam Research Corporation Valve manifold deadleg elimination via reentrant flow path
US9631276B2 (en) 2014-11-26 2017-04-25 Lam Research Corporation Systems and methods enabling low defect processing via controlled separation and delivery of chemicals during atomic layer deposition
KR102263121B1 (en) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. Semiconductor device and manufacuring method thereof
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
TWI723024B (en) 2015-06-26 2021-04-01 美商應用材料股份有限公司 Recursive inject apparatus for improved distribution of gas
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9607842B1 (en) 2015-10-02 2017-03-28 Asm Ip Holding B.V. Methods of forming metal silicides
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US9941425B2 (en) 2015-10-16 2018-04-10 Asm Ip Holdings B.V. Photoactive devices and materials
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
CN107026100A (en) * 2016-02-01 2017-08-08 中芯国际集成电路制造(上海)有限公司 Semiconductor manufacturing facility and manufacture method
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102378021B1 (en) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. Formation of SiOC thin films
KR102592471B1 (en) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. Method of forming metal interconnection and method of fabricating semiconductor device using the same
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (en) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
FR3058162B1 (en) * 2016-11-02 2021-01-01 Commissariat Energie Atomique DEPOSIT PROCESS FOR THIN CHALCOGENURE FILMS
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) * 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10504901B2 (en) 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
JP7249952B2 (en) 2017-05-05 2023-03-31 エーエスエム アイピー ホールディング ビー.ブイ. Plasma-enhanced deposition process for controlled formation of oxygen-containing thin films
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
CN111095513B (en) 2017-08-18 2023-10-31 应用材料公司 High-pressure high-temperature annealing chamber
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10872803B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10872804B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
EP4321649A2 (en) 2017-11-11 2024-02-14 Micromaterials LLC Gas delivery system for high pressure processing chamber
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
JP2021503714A (en) 2017-11-17 2021-02-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Capacitor system for high pressure processing system
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
CN111316417B (en) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 Storage device for storing wafer cassettes for use with batch ovens
TWI761636B (en) 2017-12-04 2022-04-21 荷蘭商Asm Ip控股公司 PLASMA ENHANCED ATOMIC LAYER DEPOSITION PROCESS AND METHOD OF DEPOSITING SiOC THIN FILM
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (en) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 Method for depositing gap filling layer by plasma auxiliary deposition
TW202325889A (en) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 Deposition method
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN116732497A (en) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 Method for depositing ruthenium-containing films on substrates by cyclical deposition processes
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR20230079236A (en) 2018-03-09 2023-06-05 어플라이드 머티어리얼스, 인코포레이티드 High pressure annealing process for metal containing materials
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
US11661654B2 (en) 2018-04-18 2023-05-30 Lam Research Corporation Substrate processing systems including gas delivery system with reduced dead legs
TWI811348B (en) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
TW202349473A (en) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (en) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
KR20210027265A (en) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. Periodic deposition method for forming metal-containing material and film and structure comprising metal-containing material
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (en) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 Method of forming device structure, structure formed by the method and system for performing the method
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
KR20200102357A (en) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for plug fill deposition in 3-d nand applications
KR102638425B1 (en) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for filling a recess formed within a substrate surface
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
TW202104632A (en) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
KR20200116033A (en) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
KR20200123380A (en) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (en) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 Method of forming topology-controlled amorphous carbon polymer film
CN112309843A (en) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 Selective deposition method for achieving high dopant doping
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (en) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. Liquid level sensor for a chemical source vessel
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
TW202115273A (en) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
TW202125596A (en) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210132605A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Vertical batch furnace assembly comprising a cooling gas supply
KR20210132576A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Method of forming vanadium nitride-containing layer and structure comprising the same
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
KR20220010438A (en) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
KR20220027026A (en) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. Method and system for forming metal silicon oxide and metal silicon oxynitride
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
CN114639631A (en) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 Fixing device for measuring jumping and swinging
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SE393967B (en) * 1974-11-29 1977-05-31 Sateko Oy PROCEDURE AND PERFORMANCE OF LAYING BETWEEN THE STORAGE IN A LABOR PACKAGE
US4282267A (en) 1979-09-20 1981-08-04 Western Electric Co., Inc. Methods and apparatus for generating plasmas
US4389973A (en) * 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
US5693139A (en) 1984-07-26 1997-12-02 Research Development Corporation Of Japan Growth of doped semiconductor monolayers
GB8516537D0 (en) 1985-06-29 1985-07-31 Standard Telephones Cables Ltd Pulsed plasma apparatus
US5769950A (en) * 1985-07-23 1998-06-23 Canon Kabushiki Kaisha Device for forming deposited film
US4761269A (en) 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
US4747367A (en) 1986-06-12 1988-05-31 Crystal Specialties, Inc. Method and apparatus for producing a constant flow, constant pressure chemical vapor deposition
US4767494A (en) * 1986-07-04 1988-08-30 Nippon Telegraph & Telephone Corporation Preparation process of compound semiconductor
US5221556A (en) 1987-06-24 1993-06-22 Epsilon Technology, Inc. Gas injectors for reaction chambers in CVD systems
US5180435A (en) * 1987-09-24 1993-01-19 Research Triangle Institute, Inc. Remote plasma enhanced CVD method and apparatus for growing an epitaxial semiconductor layer
JP2789587B2 (en) * 1988-01-08 1998-08-20 日本電気株式会社 Manufacturing method of insulating thin film
US5166092A (en) 1988-01-28 1992-11-24 Fujitsu Limited Method of growing compound semiconductor epitaxial layer by atomic layer epitaxy
US4851095A (en) 1988-02-08 1989-07-25 Optical Coating Laboratory, Inc. Magnetron sputtering apparatus and process
US5549937A (en) 1989-10-11 1996-08-27 U.S. Philips Corporation Method of plasma-activated reactive deposition of electrically conducting multicomponent material from a gas phase
JP2822536B2 (en) 1990-02-14 1998-11-11 住友電気工業株式会社 Method for forming cubic boron nitride thin film
US5071670A (en) 1990-06-11 1991-12-10 Kelly Michael A Method for chemical vapor deposition under a single reactor vessel divided into separate reaction chambers each with its own depositing and exhausting means
US5356673A (en) 1991-03-18 1994-10-18 Jet Process Corporation Evaporation system and method for gas jet deposition of thin film materials
JPH04349107A (en) * 1991-05-24 1992-12-03 Hitachi Ltd Formation of oxide superconducting thin film
US5270247A (en) 1991-07-12 1993-12-14 Fujitsu Limited Atomic layer epitaxy of compound semiconductor
US5242530A (en) 1991-08-05 1993-09-07 International Business Machines Corporation Pulsed gas plasma-enhanced chemical vapor deposition of silicon
US5443647A (en) 1993-04-28 1995-08-22 The United States Of America As Represented By The Secretary Of The Army Method and apparatus for depositing a refractory thin film by chemical vapor deposition
JPH07109576A (en) * 1993-10-07 1995-04-25 Shinko Seiki Co Ltd Formation of film by plasma cvd
US5620523A (en) * 1994-04-11 1997-04-15 Canon Sales Co., Inc. Apparatus for forming film
US5522934A (en) * 1994-04-26 1996-06-04 Tokyo Electron Limited Plasma processing apparatus using vertical gas inlets one on top of another
US6200389B1 (en) * 1994-07-18 2001-03-13 Silicon Valley Group Thermal Systems Llc Single body injector and deposition chamber
FI97731C (en) 1994-11-28 1997-02-10 Mikrokemia Oy Method and apparatus for making thin films
FI100409B (en) 1994-11-28 1997-11-28 Asm Int Method and apparatus for making thin films
FI97730C (en) * 1994-11-28 1997-02-10 Mikrokemia Oy Equipment for the production of thin films
JPH09270421A (en) * 1996-04-01 1997-10-14 Mitsubishi Electric Corp Surface treatment apparatus and method
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5993916A (en) * 1996-07-12 1999-11-30 Applied Materials, Inc. Method for substrate processing with improved throughput and yield
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
FI100758B (en) * 1996-09-11 1998-02-13 Planar Internat Oy Ltd Methods to Form a Luminescence Layer of ZnS: Mn for Thin Film Electroluminescence Components
KR100274603B1 (en) * 1997-10-01 2001-01-15 윤종용 Method and apparatus for fabricating semiconductor device
US6104074A (en) * 1997-12-11 2000-08-15 Apa Optics, Inc. Schottky barrier detectors for visible-blind ultraviolet detection
KR100269328B1 (en) * 1997-12-31 2000-10-16 윤종용 Method for forming conductive layer using atomic layer deposition process
KR100275738B1 (en) 1998-08-07 2000-12-15 윤종용 Method for producing thin film using atomatic layer deposition
US6188134B1 (en) * 1998-08-20 2001-02-13 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with rubidium barrier film and process for making same
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
KR100273473B1 (en) 1999-04-06 2000-11-15 이경수 Method for forming a thin film
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6203613B1 (en) 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9209074B2 (en) 2001-07-25 2015-12-08 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US9032906B2 (en) 2005-11-04 2015-05-19 Applied Materials, Inc. Apparatus and process for plasma-enhanced atomic layer deposition

Also Published As

Publication number Publication date
US7141499B2 (en) 2006-11-28
TW527224B (en) 2003-04-11
US6511539B1 (en) 2003-01-28
US7431767B2 (en) 2008-10-07
US6764546B2 (en) 2004-07-20
JP2003508932A (en) 2003-03-04
EP1216106B1 (en) 2014-05-21
KR20020046282A (en) 2002-06-20
US20030101927A1 (en) 2003-06-05
WO2001017692A1 (en) 2001-03-15
KR100853702B1 (en) 2008-08-25
EP1216106A1 (en) 2002-06-26
JP4994551B2 (en) 2012-08-08
US20030089308A1 (en) 2003-05-15
EP1216106A4 (en) 2005-09-28
US20070089669A1 (en) 2007-04-26

Similar Documents

Publication Publication Date Title
US7141499B2 (en) Apparatus and method for growth of a thin film
KR101480529B1 (en) In situ silicon and titanium nitride deposition
JP2637265B2 (en) Method of forming silicon nitride film
US7393561B2 (en) Method and apparatus for layer by layer deposition of thin films
US9932670B2 (en) Method of decontamination of process chamber after in-situ chamber clean
US5916365A (en) Sequential chemical vapor deposition
EP1641031B1 (en) Deposition of TiN films in a batch reactor
US6689220B1 (en) Plasma enhanced pulsed layer deposition
US6652924B2 (en) Sequential chemical vapor deposition
WO2004077515A2 (en) Apparatus and method for delivery of reactive chemical precursors to the surface to be treated
WO2003089683A1 (en) Apparatus and method for depositing thin film on wafer using remote plasma
WO2004007795A1 (en) Film formation method for semiconductor processing
WO2005017987A1 (en) Substrate treatment appratus and method of manufacturing semiconductor device
US7399357B2 (en) Atomic layer deposition using multilayers
WO2005024926A1 (en) Substrate treating device and method of manufacturing semiconductor device
KR100375834B1 (en) gas transfer device of ALE apparatus using the remote plasma

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): JP KR

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE

121 Ep: the epo has been informed by wipo that ep was designated in this application
DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
WWE Wipo information: entry into national phase

Ref document number: 1020027002315

Country of ref document: KR

ENP Entry into the national phase

Ref country code: JP

Ref document number: 2001 521471

Kind code of ref document: A

Format of ref document f/p: F

WWE Wipo information: entry into national phase

Ref document number: 2000963326

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 1020027002315

Country of ref document: KR

WWP Wipo information: published in national office

Ref document number: 2000963326

Country of ref document: EP

AK Designated states

Kind code of ref document: C2

Designated state(s): JP KR

AL Designated countries for regional patents

Kind code of ref document: C2

Designated state(s): AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE

COP Corrected version of pamphlet

Free format text: PAGE 18, DESCRIPTION, REPLACED BY A NEW PAGE 18; PAGES 1/3-3/3, DRAWINGS, REPLACED BY NEW PAGES 1/3-3/3; DUE TO LATE TRANSMITTAL BY THE RECEIVING OFFICE