WO2001009945A1 - Methods of forming an interlevel dielectric layer between different levels of metal layers in the fabrication of integrated circuit - Google Patents

Methods of forming an interlevel dielectric layer between different levels of metal layers in the fabrication of integrated circuit Download PDF

Info

Publication number
WO2001009945A1
WO2001009945A1 PCT/US2000/021111 US0021111W WO0109945A1 WO 2001009945 A1 WO2001009945 A1 WO 2001009945A1 US 0021111 W US0021111 W US 0021111W WO 0109945 A1 WO0109945 A1 WO 0109945A1
Authority
WO
WIPO (PCT)
Prior art keywords
etching
insulating dielectric
conductive
mass
substrate
Prior art date
Application number
PCT/US2000/021111
Other languages
French (fr)
Inventor
Terrence Mcdaniel
Max F. Hineman
Original Assignee
Micron Technology, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology, Inc. filed Critical Micron Technology, Inc.
Priority to EP00952420A priority Critical patent/EP1203407A1/en
Priority to AU65124/00A priority patent/AU6512400A/en
Priority to JP2001514476A priority patent/JP2003506869A/en
Publication of WO2001009945A1 publication Critical patent/WO2001009945A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures

Definitions

  • This invention relates to methods of providing an interlevel dielectric layer intermediate different elevation conductive metal layers in the fabrication of integrated circuitry. 0
  • conductive layers can be electrically isolated from
  • Insulating material received between two different elevation conductive or component layers is typically referred to as an interlevel dielectric material.
  • devices which extend into a semiconductive substrate can be electrically isolated from one another by insulative materials formed within the substrate between the components, such as for example,
  • One typical insulative material for isolating components of integrated circuits is silicon dioxide, which has a dielectric constant of about 4. Yet in many applications, it is desired to utilize insulative materials having dielectric constants lower than that of silicon dioxide to reduce parasitic capacitance from occurring
  • methylsilane in a gaseous form and H2O2 in a liquid form are separately introduced into a chamber, such as a parallel plate reaction chamber.
  • a reaction between the methylsilane and H2O2 can be moderated by introduction of nitrogen into the reaction chamber.
  • a wafer is provided within the chamber and ideally maintained at a suitable low temperature, such as 0° C, at a exemplary pressure of 1 Torr to achieve formation of a methylsilanol structure.
  • a suitable low temperature such as 0° C
  • the deposited material is in the form of a viscus liquid which flows to fill small gaps on the wafer surface.
  • surface tension drives the deposited layer flat, thus forming a planarized layer over the substrate.
  • the liquid methylsilanol is converted to a silicon dioxide structure by a two- step process occurring in two separate chambers from that in which the silanol-type structure was deposited.
  • planarization of the liquid film is promoted by increasing the temperature to above 100° C, while maintaining the pressure at about 1 Torr, to result in solidification and formation of a polymer layer.
  • the temperature is raised to approximately 450 °C, while maintaining a pressure of about 1 Torr, to form (CH3)ySiO(2-y).
  • y/2 is the percentage of CH3 incorporated.
  • the (CH3)ySiO(2-y) has a dielectric constant of less than or equal to about 3, and is accordingly less likely to be involved in parasitic capacitance than silicon dioxide and/or phosphorous doped silicon dioxide.
  • Other example low k dielectric layer materials include fluorine doped silicon dioxide, high carbon and hydrogen containing materials, and other organic films having less than 20% silicon.
  • a prior art problem associated with low k dielectric material usage is that many of these materials cannot withstand high temperature processing. Specifically, many melt or gassify at comparatively low temperatures at which the substrate is subjected after deposition of the low k materials. This can essentially destroy the circuitry being fabricated. It is further very difficult to quickly strip photoresist when processing over such low k dielectric layers, as the typical photoresist stripping processes undesirably cause some isotropic etching of the low k dielectric layers.
  • a method of providing an interlevel dielectric layer intermediate different elevation conductive metal layers in the fabrication of integrated circuitry includes forming a conductive metal interconnect layer over a substrate. An insulating dielectric mass is provided about the conductive metal interconnect layer. The insulating dielectric mass has a first dielectric constant. At least a majority of the insulating dielectric mass is etched away from the substrate. After the etching, an interlevel dielectric layer is deposited to replace at least some of the etched insulating dielectric material. The interlevel dielectric layer has a second dielectric constant which is less than the first dielectric constant.
  • Fig. 1 is a diagrammatic sectional view of a semiconductor wafer fragment in process in accordance with an aspect of the invention.
  • Fig. 2 is a view of the Fig. 1 wafer at a processing step subsequent to that depicted by Fig. 1.
  • Fig. 3 is a view of the Fig. 1 wafer at a processing step subsequent to that depicted by Fig. 2.
  • Fig. 4 is a view of an alternate embodiment semiconductor wafer fragment at a processing step subsequent to that depicted by Fig. 2.
  • Fig. 5 is a view of the Fig. 1 wafer fragment at a processing step subsequent to that depicted by Fig. 3.
  • Fig. 6 is a diagrammatic sectional view of another alternate embodiment wafer fragment at a processing step in accordance with an aspect of the invention.
  • Fig. 7 is a view of the Fig. 6 wafer at a processing step subsequent to that shown by Fig. 6.
  • Fig. 8 is a view of the Fig. 6 wafer at a processing step subsequent to that depicted by Fig. 7.
  • Fig. 9 is a view of the Fig. 6 wafer fragment at a processing step subsequent to that depicted by Fig. 8.
  • Fig. 10 is a view of the Fig. 6 wafer at a processing step subsequent to that depicted by Fig. 9. Best Modes for Carrying Out the Invention and Disclosure of Invention
  • a semiconductor wafer fragment in process is indicated generally with reference numeral 10.
  • Such comprises a bulk semiconductive substrate 12, preferably lightly p-doped monocrystalline silicon, having field oxide regions 14 formed therein.
  • semiconductor substrate or “semiconductive substrate” is defined to mean any construction comprising semiconductive material, including, but not limited to, bulk semiconductive materials such as a semiconductive wafer (either alone or in assemblies comprising other materials thereon), and semiconductive material layers (either alone or in assemblies comprising other materials).
  • substrate refers to any supporting structure, including, but not limited to, the semiconductive substrates described above.
  • a pair of gate line constructions 16 are fabricated over substrate 12, and comprise a gate dielectric layer, a conductive polysilicon layer, a conductive suicide layer, and insulating sidewalls spacers and caps, which are not specifically designated.
  • Source/drain diffusion regions 18 are fabricated within substrate 12. Such constitute exemplary circuit devices which are at least partially fabricated over a substrate. Any alternate electric devices or components are also, of course, contemplated.
  • An insulating layer 20 is formed over devices 16 and substrate 12.
  • An example and preferred material is borophosphosilicate glass (BPSG).
  • An exemplary thickness is from 5,000 Angstroms to 30,000 Angstroms.
  • An insulating layer 22 is formed over insulating layer 20. Such is preferably provided to function as an etch stop material, as will be described subsequently.
  • Example materials include undoped silicon dioxide deposited by decomposition of tetraethylorthosilicate (TEOS) and silicon nitride.
  • An exemplary thickness for layer 22 is from 0 Angstroms to 2,000 Angstroms.
  • Layer 20 and 22 comprise but one example insulating dielectric mass which is formed over at least partially fabricated circuit devices over a substrate.
  • An opening 33 has been formed in insulating dielectric mass 24 between word line 16 to substrate diffusion region 18. Such has been filled with a conductive plugging material 34, for example conductively doped polysilicon or a metal, and planarized back to have an outermost surface substantially coincident with the outer surface of layer 22.
  • a first conductive interconnect layer 26 is formed over substrate 12. Preferred materials are elemental metals or metal alloys. Conductive interconnect layer 26 has been formed into the shape of at least one conductive interconnect line 28 having an outer top 29, an inner base 30 and sidewalls 31. Accordingly, first conductive interconnect layer 26 and line 28 are supported by second insulating dielectric mass 24.
  • An insulating dielectric mass 36 is provided about conductive metal interconnect layer 26. Accordingly in this example, insulating dielectric mass 36 is formed over another conductive metal interconnect layer, here in the form of layer 28. Further accordingly, insulating dielectric mass 36 is formed over top 29 and sidewalls 31 of line 28.
  • Example and preferred materials for layer 36 are the same as layer 20, for example BPSG. Insulating dielectric mass 24 has some first dielectric constant, with the dielectric constant of BPSG being about 3.9.
  • An exemplary deposition thickness for layer 36 is from 1,000 Angstroms to 15,000 Angstroms, with layer 36 being shown as having been planarized subsequent to deposition.
  • An opening 38 has been formed through layer 36 and filled with conductive material 40 for making electric connection with conductive line 28.
  • An exemplary conductive line 42 has been patterned thereatop and over insulating dielectric mass 36 for, in this example, providing conductive line interconnection between conductive line 42 and line 28. Accordingly, line 42 constitutes a second conductive interconnect layer and line which is supported at least partially by second insulating dielectric mass 36.
  • Layers 42, 40, 26, and 34 are all preferably metallic. Most preferably at this point in the process, substantially all of the integrated circuitry to be fabricated relative to substrate 10 has been so fabricated. Further preferably, any subsequent processing relative to substrate 10 is preferably void of any photolithographic processing.
  • insulating dielectric mass 36 is etched away from substrate 10. More preferably, the etching removes at least a majority (if not all) of insulating dielectric mass 36 from substrate 10.
  • Fig. 3 depicts exemplary anisotropic etching, with a dry etching being an example.
  • a specific example where layer 36 comprises BPSG is 12 seem C4F8, 200 seem Ar, 1300W source, 1400W bias, at 10 mTorr in a LAM 9100TM oxide etch chamber.
  • the etching preferably comprises etching second insulating dielectric mass 36 inwardly to proximate line base 30. In the Fig.
  • the etching has also been conducted substantially selectively relative to first conductive interconnect line 28 and second conductive interconnect line 42, and substantially selectively relative to etch stop layer 22 and accordingly relative to insulative dielectric mass 24. Further preferably, such etching has been conducted to expose first conductive interconnect line 28 and insulating dielectric mass 24.
  • Such etching in this example leaves insulating dielectric material 36 beneath conductive line 42 as shown.
  • Exemplary alternate processing to that depicted by Fig. 3 is shown in Fig. 4.
  • essentially isotropic etching has been conducted and to a degree sufficient whereby no insulating dielectric material 36 has been left beneath conductive line 42, and such that the etching comprises etching essentially all of insulating dielectric mass 36 from substrate 10.
  • Exemplary preferred processing to achieve the illustrated Fig. 4 construction includes wet etching, for example utilizing a chemistry of HF, NH4F:HF or a nonaqueous blend of glycol, phosphoric acid, HF and a carboxylic acid.
  • an interlevel dielectric layer 46 has been deposited to replace at least some of etched insulating dielectric material 36.
  • Interlevel dielectric layer 46 has a second dielectric constant which is less than the first dielectric constant of layer 36.
  • Example and preferred low k materials are those described above in the "Background" section, and include low k dielectric materials yet to be developed.
  • the interlevel dielectric layer depositing preferably replaces all of insulating dielectric material 36 which was etched from the substrate as exemplified in the Figs. 4 and 5 embodiments. Such layer is preferably planarized back as shown.
  • exemplary processing depicts conductive metal interconnect layer and line 42 as being formed or otherwise provided after formation of insulating dielectric mass 36, and by a subtractive etching of a deposited metal interconnect layer from which line 42 is formed.
  • Figs. 6-10 depict alternate exemplary processing whereby the line forming comprises a damascene etching of an insulating dielectric mass into a desired line shape.
  • Fig. 6 depicts a wafer fragment in process indicated generally by reference numeral 50. Such includes an insulating layer 52 having an opening 54 formed therein. A conductive plugging material 56 is received within opening 54. An insulating dielectric layer 60 is formed over layer 52, and a preferred insulating etch stop layer 62 is formed thereover.
  • An opening 64 has been etched through layers 62 and 60 to expose plugging material 56. Thereafter, insulating and etch stop layers 64 and 66, respectively, have been formed over layer 62.
  • a desired line shape opening 68 has been patterned and formed through layers 66 and 64, and overlies the illustrated singular opening 64.
  • Layers 60, 62, 64 and 66 constitute but one exemplary insulating dielectric mass 70.
  • a conductive interconnect layer 72 is deposited to fill damascene line opening 68 and contact opening 64.
  • layer 72 has been planarized back to provide a desired patterned and isolated conductive interconnect line 74.
  • insulating dielectric mass 70 has been etched away from substrate 50. Isotropic or anisotropic etching could be conducted as described above, whereby some or none of insulating dielectric mass 70 remains over the substrate.
  • an interlevel dielectric layer 76 has been deposited to replace at least some, and here all, of etched insulating dielectric material 70.
  • Layer 76 has a lower overall k value than does insulative mass 70 which was removed.

Abstract

The invention comprises methods of providing an interlevel dielectric layer intermediate different elevation conductive metal layers in the fabrication of integrated circuitry. In one implementation, a method of providing an interlevel dielectric layer intermediate different elevation conductive metal layers in the fabrication of integrated circuitry includes forming a conductive metal interconnect layer over a substrate. An insulating dielectric mass is provided about the conductive metal interconnect layer. The insulating dielectric mass has a first dielectric constant. At least a majority of the insulating dielectric mass is etched away from the substrate. After the etching, an interlevel dielectric layer is deposited to replace at least some of the etched insulating dielectric material. The interlevel dielectric layer has a second dielectric constant which is less than the first dielectric constant.

Description

DESCRIPTION
METHODS OF FORMING AN I NTERLEVEL DIELECTRIC LAYER BETWEEN DI FFERENT LEVELS OF METAL LAYERS IN THE FABRICATION OF I NTEGRATED CI RCUIT
Technical Field
This invention relates to methods of providing an interlevel dielectric layer intermediate different elevation conductive metal layers in the fabrication of integrated circuitry. 0
Background Art
In methods of forming integrated circuits, it is frequently desired to electrically isolate components of the integrated circuits from one another with an insulative material. For example, conductive layers can be electrically isolated from
15 one another by separating them with an insulating material. Insulating material received between two different elevation conductive or component layers is typically referred to as an interlevel dielectric material. Also, devices which extend into a semiconductive substrate can be electrically isolated from one another by insulative materials formed within the substrate between the components, such as for example,
20 trench isolation regions.
One typical insulative material for isolating components of integrated circuits is silicon dioxide, which has a dielectric constant of about 4. Yet in many applications, it is desired to utilize insulative materials having dielectric constants lower than that of silicon dioxide to reduce parasitic capacitance from occurring
25 between conductive components separated by the insulative material. Parasitic capacitance reduction continues to have increasing importance in the semiconductor fabrication industry as device dimensions and component spacing continues to shrink. Closer spacing adversely effects parasitic capacitance.
One way of reducing the dielectric constant of certain inherently insulative
30 materials is to provide some degree of carbon content therein. One example technique for doing so has recently been developed by Trikon Technology of Bristol, UK which they refer to as Flowfill™ Technology. Where more carbon incorporation is desired, methylsilane in a gaseous form and H2O2 in a liquid form are separately introduced into a chamber, such as a parallel plate reaction chamber. A reaction between the methylsilane and H2O2 can be moderated by introduction of nitrogen into the reaction chamber. A wafer is provided within the chamber and ideally maintained at a suitable low temperature, such as 0° C, at a exemplary pressure of 1 Torr to achieve formation of a methylsilanol structure. Such structure/material condenses on the wafer surface. Although the reaction occurs in the gas phase, the deposited material is in the form of a viscus liquid which flows to fill small gaps on the wafer surface. In applications where deposition thickness increases, surface tension drives the deposited layer flat, thus forming a planarized layer over the substrate. The liquid methylsilanol is converted to a silicon dioxide structure by a two- step process occurring in two separate chambers from that in which the silanol-type structure was deposited. First, planarization of the liquid film is promoted by increasing the temperature to above 100° C, while maintaining the pressure at about 1 Torr, to result in solidification and formation of a polymer layer. Thereafter, the temperature is raised to approximately 450 °C, while maintaining a pressure of about 1 Torr, to form (CH3)ySiO(2-y). y/2 is the percentage of CH3 incorporated. The (CH3)ySiO(2-y) has a dielectric constant of less than or equal to about 3, and is accordingly less likely to be involved in parasitic capacitance than silicon dioxide and/or phosphorous doped silicon dioxide. Other example low k dielectric layer materials include fluorine doped silicon dioxide, high carbon and hydrogen containing materials, and other organic films having less than 20% silicon.
A prior art problem associated with low k dielectric material usage is that many of these materials cannot withstand high temperature processing. Specifically, many melt or gassify at comparatively low temperatures at which the substrate is subjected after deposition of the low k materials. This can essentially destroy the circuitry being fabricated. It is further very difficult to quickly strip photoresist when processing over such low k dielectric layers, as the typical photoresist stripping processes undesirably cause some isotropic etching of the low k dielectric layers.
Disclosure of the Invention
The invention comprises methods of providing an interlevel dielectric layer intermediate different elevation conductive metal layers in the fabrication of integrated circuitry. In one implementation, a method of providing an interlevel dielectric layer intermediate different elevation conductive metal layers in the fabrication of integrated circuitry includes forming a conductive metal interconnect layer over a substrate. An insulating dielectric mass is provided about the conductive metal interconnect layer. The insulating dielectric mass has a first dielectric constant. At least a majority of the insulating dielectric mass is etched away from the substrate. After the etching, an interlevel dielectric layer is deposited to replace at least some of the etched insulating dielectric material. The interlevel dielectric layer has a second dielectric constant which is less than the first dielectric constant.
Brief Description of the Drawings
Preferred embodiments of the invention are described below with reference to the following accompanying drawings.
Fig. 1 is a diagrammatic sectional view of a semiconductor wafer fragment in process in accordance with an aspect of the invention.
Fig. 2 is a view of the Fig. 1 wafer at a processing step subsequent to that depicted by Fig. 1.
Fig. 3 is a view of the Fig. 1 wafer at a processing step subsequent to that depicted by Fig. 2. Fig. 4 is a view of an alternate embodiment semiconductor wafer fragment at a processing step subsequent to that depicted by Fig. 2.
Fig. 5 is a view of the Fig. 1 wafer fragment at a processing step subsequent to that depicted by Fig. 3.
Fig. 6 is a diagrammatic sectional view of another alternate embodiment wafer fragment at a processing step in accordance with an aspect of the invention. Fig. 7 is a view of the Fig. 6 wafer at a processing step subsequent to that shown by Fig. 6.
Fig. 8 is a view of the Fig. 6 wafer at a processing step subsequent to that depicted by Fig. 7. Fig. 9 is a view of the Fig. 6 wafer fragment at a processing step subsequent to that depicted by Fig. 8.
Fig. 10 is a view of the Fig. 6 wafer at a processing step subsequent to that depicted by Fig. 9. Best Modes for Carrying Out the Invention and Disclosure of Invention
A method of providing an interlevel dielectric layer intermediate different elevation conductive layers in the fabrication of integrated circuitry is initially described with reference to Figs. 1-5. Referring initially to Fig. 1, a semiconductor wafer fragment in process is indicated generally with reference numeral 10. Such comprises a bulk semiconductive substrate 12, preferably lightly p-doped monocrystalline silicon, having field oxide regions 14 formed therein. In the context of this document, the term "semiconductor substrate" or "semiconductive substrate" is defined to mean any construction comprising semiconductive material, including, but not limited to, bulk semiconductive materials such as a semiconductive wafer (either alone or in assemblies comprising other materials thereon), and semiconductive material layers (either alone or in assemblies comprising other materials). The term "substrate" refers to any supporting structure, including, but not limited to, the semiconductive substrates described above. A pair of gate line constructions 16 are fabricated over substrate 12, and comprise a gate dielectric layer, a conductive polysilicon layer, a conductive suicide layer, and insulating sidewalls spacers and caps, which are not specifically designated. Source/drain diffusion regions 18 are fabricated within substrate 12. Such constitute exemplary circuit devices which are at least partially fabricated over a substrate. Any alternate electric devices or components are also, of course, contemplated.
An insulating layer 20 is formed over devices 16 and substrate 12. An example and preferred material is borophosphosilicate glass (BPSG). An exemplary thickness is from 5,000 Angstroms to 30,000 Angstroms. An insulating layer 22 is formed over insulating layer 20. Such is preferably provided to function as an etch stop material, as will be described subsequently. Example materials include undoped silicon dioxide deposited by decomposition of tetraethylorthosilicate (TEOS) and silicon nitride. An exemplary thickness for layer 22 is from 0 Angstroms to 2,000 Angstroms. Layer 20 and 22 comprise but one example insulating dielectric mass which is formed over at least partially fabricated circuit devices over a substrate. An opening 33 has been formed in insulating dielectric mass 24 between word line 16 to substrate diffusion region 18. Such has been filled with a conductive plugging material 34, for example conductively doped polysilicon or a metal, and planarized back to have an outermost surface substantially coincident with the outer surface of layer 22. A first conductive interconnect layer 26 is formed over substrate 12. Preferred materials are elemental metals or metal alloys. Conductive interconnect layer 26 has been formed into the shape of at least one conductive interconnect line 28 having an outer top 29, an inner base 30 and sidewalls 31. Accordingly, first conductive interconnect layer 26 and line 28 are supported by second insulating dielectric mass 24.
An insulating dielectric mass 36 is provided about conductive metal interconnect layer 26. Accordingly in this example, insulating dielectric mass 36 is formed over another conductive metal interconnect layer, here in the form of layer 28. Further accordingly, insulating dielectric mass 36 is formed over top 29 and sidewalls 31 of line 28. Example and preferred materials for layer 36 are the same as layer 20, for example BPSG. Insulating dielectric mass 24 has some first dielectric constant, with the dielectric constant of BPSG being about 3.9. An exemplary deposition thickness for layer 36 is from 1,000 Angstroms to 15,000 Angstroms, with layer 36 being shown as having been planarized subsequent to deposition. An opening 38 has been formed through layer 36 and filled with conductive material 40 for making electric connection with conductive line 28. An exemplary conductive line 42 has been patterned thereatop and over insulating dielectric mass 36 for, in this example, providing conductive line interconnection between conductive line 42 and line 28. Accordingly, line 42 constitutes a second conductive interconnect layer and line which is supported at least partially by second insulating dielectric mass 36. Layers 42, 40, 26, and 34 are all preferably metallic. Most preferably at this point in the process, substantially all of the integrated circuitry to be fabricated relative to substrate 10 has been so fabricated. Further preferably, any subsequent processing relative to substrate 10 is preferably void of any photolithographic processing.
Referring to Fig. 3, at least a portion of insulating dielectric mass 36 is etched away from substrate 10. More preferably, the etching removes at least a majority (if not all) of insulating dielectric mass 36 from substrate 10. Fig. 3 depicts exemplary anisotropic etching, with a dry etching being an example. A specific example where layer 36 comprises BPSG is 12 seem C4F8, 200 seem Ar, 1300W source, 1400W bias, at 10 mTorr in a LAM 9100™ oxide etch chamber. Regardless and further preferably, the etching preferably comprises etching second insulating dielectric mass 36 inwardly to proximate line base 30. In the Fig. 3 depicted example, the etching has also been conducted substantially selectively relative to first conductive interconnect line 28 and second conductive interconnect line 42, and substantially selectively relative to etch stop layer 22 and accordingly relative to insulative dielectric mass 24. Further preferably, such etching has been conducted to expose first conductive interconnect line 28 and insulating dielectric mass 24.
Such etching in this example leaves insulating dielectric material 36 beneath conductive line 42 as shown. Exemplary alternate processing to that depicted by Fig. 3 is shown in Fig. 4. Here, essentially isotropic etching has been conducted and to a degree sufficient whereby no insulating dielectric material 36 has been left beneath conductive line 42, and such that the etching comprises etching essentially all of insulating dielectric mass 36 from substrate 10. Exemplary preferred processing to achieve the illustrated Fig. 4 construction includes wet etching, for example utilizing a chemistry of HF, NH4F:HF or a nonaqueous blend of glycol, phosphoric acid, HF and a carboxylic acid. The above recited specific chemistries will provide etch selectivity relative to aluminum lines and plugs, BPSG, and silicon nitride for layer 22. Removal of layer 36 results in lines 42 being supported by various conductive pillars 40 and/or remnant insulating layer 36.
Referred to Fig. 5, an interlevel dielectric layer 46 has been deposited to replace at least some of etched insulating dielectric material 36. Interlevel dielectric layer 46 has a second dielectric constant which is less than the first dielectric constant of layer 36. Example and preferred low k materials are those described above in the "Background" section, and include low k dielectric materials yet to be developed. In the preferred and Fig. 5 depicted example, the interlevel dielectric layer depositing preferably replaces all of insulating dielectric material 36 which was etched from the substrate as exemplified in the Figs. 4 and 5 embodiments. Such layer is preferably planarized back as shown.
The above described exemplary processing depicts conductive metal interconnect layer and line 42 as being formed or otherwise provided after formation of insulating dielectric mass 36, and by a subtractive etching of a deposited metal interconnect layer from which line 42 is formed. Figs. 6-10 depict alternate exemplary processing whereby the line forming comprises a damascene etching of an insulating dielectric mass into a desired line shape. Fig. 6 depicts a wafer fragment in process indicated generally by reference numeral 50. Such includes an insulating layer 52 having an opening 54 formed therein. A conductive plugging material 56 is received within opening 54. An insulating dielectric layer 60 is formed over layer 52, and a preferred insulating etch stop layer 62 is formed thereover. An opening 64 has been etched through layers 62 and 60 to expose plugging material 56. Thereafter, insulating and etch stop layers 64 and 66, respectively, have been formed over layer 62. A desired line shape opening 68 has been patterned and formed through layers 66 and 64, and overlies the illustrated singular opening 64. Layers 60, 62, 64 and 66 constitute but one exemplary insulating dielectric mass 70. Referring to Fig. 7, a conductive interconnect layer 72 is deposited to fill damascene line opening 68 and contact opening 64.
Referring to Fig. 8, layer 72 has been planarized back to provide a desired patterned and isolated conductive interconnect line 74.
Referring to Fig. 9, insulating dielectric mass 70 has been etched away from substrate 50. Isotropic or anisotropic etching could be conducted as described above, whereby some or none of insulating dielectric mass 70 remains over the substrate.
Referring to Fig. 10, an interlevel dielectric layer 76 has been deposited to replace at least some, and here all, of etched insulating dielectric material 70. Layer 76 has a lower overall k value than does insulative mass 70 which was removed.

Claims

1. A method of providing an interlevel dielectric layer intermediate different elevation conductive metal layers in the fabrication of integrated circuitry, the method comprising: forming a conductive metal interconnect layer over a substrate; providing an insulating dielectric mass about the conductive metal interconnect layer, the insulating dielectric mass having a first dielectric constant; etching at least a majority of the insulating dielectric mass away from the substrate; and after the etching, depositing an interlevel dielectric layer to replace at least some of the etched insulating dielectric material, the interlevel dielectric layer having a second dielectric constant which is less than the first dielectric constant.
2. The method of claim 1 wherein the conductive metal interconnect layer is formed after providing the insulating dielectric mass.
3. The method of claim 1 comprising forming the conductive interconnect layer into a conductive line, the line forming comprising a subtractive etching of the metal interconnect layer.
4. The method of claim 1 comprising forming the conductive interconnect layer into a conductive line, the line forming comprising a damascene etching of the insulating dielectric mass into a desired line shape.
5. The method of claim 1 wherein the first dielectric constant is at least 3.9, and the second dielectric constant is less than 3.9.
6. The method of claim 1 wherein the depositing replaces all of the insulating material which was etched from the substrate.
7. The method of claim 1 wherein the etching comprises isotropic etching.
8. The method of claim 1 wherein the etching comprises anisotropic etching.
9. The method of claim 1 wherein the insulating dielectric mass is formed over another conductive metal interconnect layer, the etching comprising etching all the insulating dielectric mass from the substrate.
10. The method of claim 1 wherein the etching is conducted substantially selective relative to the conductive metal interconnect layer.
11. The method of claim 1 wherein the etching is conducted after substantially all of the integrated circuitry to be fabricated has been fabricated on the substrate.
12. The method of claim 1 being void of any photolithographic processing of the substrate after the etching.
13. A method of providing an interlevel dielectric layer intermediate different elevation conductive layers in the fabrication of integrated circuitry, the method comprising: forming a first insulating dielectric mass over at least partially fabricated circuit devices over a substrate; forming a first conductive interconnect layer supported by the first insulating dielectric mass; forming a second insulating dielectric mass over the first conductive interconnect layer, the second insulating dielectric mass having a first dielectric constant; forming a second conductive interconnect layer supported by the second insulating dielectric mass; etching at least a portion of the second insulating dielectric mass away from the substrate; and after the etching, depositing an interlevel dielectric layer to replace at least some of the etched second insulating dielectric material, the interlevel dielectric layer having a second dielectric constant which is less than the first dielectric constant.
14. The method of claim 13 wherein the portion etched is a majority of the second insulating dielectric mass.
15. The method of claim 13 comprising providing the first conductive interconnect layer in the shape of at least one conductive interconnect line, wherein the first conductive interconnect line has an inner base, sidewalls and an outer top, the second insulating dielectric mass being formed over the top and the sidewalls, the etching comprising etching the second insulating dielectric mass inwardly to proximate the base.
16. The method of claim 13 wherein the etching comprises isotropic etching.
17. The method of claim 13 wherein the etching comprises anisotropic etching.
18. The method of claim 13 wherein the etching etches all of the second insulating dielectric mass from the substrate.
19. The method of claim 13 wherein the etching exposes the first conductive interconnect layer.
20. The method of claim 13 comprising providing the second conductive interconnect layer into the shape of at least one conductive line, the etching leaving second insulating dielectric material beneath the conductive line.
21. The method of claim 13 comprising providing the second conductive interconnect layer into the shape of at least one conductive line, the etching not leaving second insulating dielectric material beneath the conductive line.
22. The method of claim 13 wherein the first and second conductive interconnect layers are metallic.
23. The method of claim 13 wherein the etching is conducted after substantially all of the integrated circuitry to be fabricated has been fabricated on the substrate.
24. The method of claim 13 being void of any photolithographic processing of the substrate after the etching.
25. A method of providing an interlevel dielectric layer intermediate different elevation conductive layers in the fabrication of integrated circuitry, the method comprising: forming a first insulating dielectric mass over at least partially fabricated circuit devices over a substrate; forming a first conductive interconnect line supported by the first insulating dielectric mass; forming a second insulating dielectric mass over the first conductive interconnect line, the second insulating dielectric mass having a first dielectric constant; forming a second conductive interconnect line supported by the second insulating dielectric mass; etching a majority of the second insulating dielectric mass away from the substrate substantially selectively relative to the first and second conductive interconnect lines and substantially selectively relative to the first insulative dielectric mass, the etching exposing the first conductive interconnect line and the first insulating dielectric mass; and after the etching, depositing an interlevel dielectric layer to replace at least some of the etched second insulating dielectric material, the interlevel dielectric layer having a second dielectric constant which is less than the first dielectric constant.
26. The method of claim 25 wherein the etching leaves second insulating dielectric material beneath the second conductive interconnect line.
27. The method of claim 25 wherein the etching does not leave second insulating dielectric material beneath the second conductive interconnect line.
28. The method of claim 25 wherein the etching comprises isotropic etching.
29. The method of claim 25 wherein the etching comprises anisotropic etching.
30. The method of claim 25 wherein the etching etches all of the second insulating dielectric mass from the substrate.
31. The method of claim 25 wherein the etching is conducted after substantially all of the integrated circuitry to be fabricated has been fabricated on the substrate.
32. The method of claim 25 being void of any photolithographic processing of the substrate after the etching.
PCT/US2000/021111 1999-08-03 2000-08-02 Methods of forming an interlevel dielectric layer between different levels of metal layers in the fabrication of integrated circuit WO2001009945A1 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
EP00952420A EP1203407A1 (en) 1999-08-03 2000-08-02 Methods of forming an interlevel dielectric layer between different levels of metal layers in the fabrication of integrated circuit
AU65124/00A AU6512400A (en) 1999-08-03 2000-08-02 Methods of forming an interlevel dielectric layer between different levels of metal layers in the fabrication of integrated circuit
JP2001514476A JP2003506869A (en) 1999-08-03 2000-08-02 Method of forming an interlayer dielectric layer between metal layers of different heights in the manufacture of integrated circuits

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/366,508 1999-08-03
US09/366,508 US6350679B1 (en) 1999-08-03 1999-08-03 Methods of providing an interlevel dielectric layer intermediate different elevation conductive metal layers in the fabrication of integrated circuitry

Publications (1)

Publication Number Publication Date
WO2001009945A1 true WO2001009945A1 (en) 2001-02-08

Family

ID=23443318

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2000/021111 WO2001009945A1 (en) 1999-08-03 2000-08-02 Methods of forming an interlevel dielectric layer between different levels of metal layers in the fabrication of integrated circuit

Country Status (6)

Country Link
US (2) US6350679B1 (en)
EP (1) EP1203407A1 (en)
JP (1) JP2003506869A (en)
KR (1) KR100455804B1 (en)
AU (1) AU6512400A (en)
WO (1) WO2001009945A1 (en)

Families Citing this family (90)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6333556B1 (en) 1997-10-09 2001-12-25 Micron Technology, Inc. Insulating materials
US6251470B1 (en) 1997-10-09 2001-06-26 Micron Technology, Inc. Methods of forming insulating materials, and methods of forming insulating materials around a conductive component
US6858526B2 (en) * 1998-07-14 2005-02-22 Micron Technology, Inc. Methods of forming materials between conductive electrical components, and insulating materials
US6350679B1 (en) * 1999-08-03 2002-02-26 Micron Technology, Inc. Methods of providing an interlevel dielectric layer intermediate different elevation conductive metal layers in the fabrication of integrated circuitry
TW471063B (en) * 2000-08-11 2002-01-01 Winbond Electronics Corp Method to form opening in insulator layer using ion implantation
US6653193B2 (en) 2000-12-08 2003-11-25 Micron Technology, Inc. Resistance variable device
US7172960B2 (en) * 2000-12-27 2007-02-06 Intel Corporation Multi-layer film stack for extinction of substrate reflections during patterning
US6638820B2 (en) 2001-02-08 2003-10-28 Micron Technology, Inc. Method of forming chalcogenide comprising devices, method of precluding diffusion of a metal into adjacent chalcogenide material, and chalcogenide comprising devices
JP4742429B2 (en) * 2001-02-19 2011-08-10 住友電気工業株式会社 Method for producing glass particulate deposit
US6727192B2 (en) 2001-03-01 2004-04-27 Micron Technology, Inc. Methods of metal doping a chalcogenide material
US6818481B2 (en) 2001-03-07 2004-11-16 Micron Technology, Inc. Method to manufacture a buried electrode PCRAM cell
US6734455B2 (en) 2001-03-15 2004-05-11 Micron Technology, Inc. Agglomeration elimination for metal sputter deposition of chalcogenides
US7102150B2 (en) * 2001-05-11 2006-09-05 Harshfield Steven T PCRAM memory cell and method of making same
US6951805B2 (en) * 2001-08-01 2005-10-04 Micron Technology, Inc. Method of forming integrated circuitry, method of forming memory circuitry, and method of forming random access memory circuitry
US6737312B2 (en) 2001-08-27 2004-05-18 Micron Technology, Inc. Method of fabricating dual PCRAM cells sharing a common electrode
US6881623B2 (en) * 2001-08-29 2005-04-19 Micron Technology, Inc. Method of forming chalcogenide comprising devices, method of forming a programmable memory cell of memory circuitry, and a chalcogenide comprising device
US6784018B2 (en) * 2001-08-29 2004-08-31 Micron Technology, Inc. Method of forming chalcogenide comprising devices and method of forming a programmable memory cell of memory circuitry
US6955940B2 (en) * 2001-08-29 2005-10-18 Micron Technology, Inc. Method of forming chalcogenide comprising devices
US6646902B2 (en) 2001-08-30 2003-11-11 Micron Technology, Inc. Method of retaining memory state in a programmable conductor RAM
US6709958B2 (en) 2001-08-30 2004-03-23 Micron Technology, Inc. Integrated circuit device and fabrication using metal-doped chalcogenide materials
US7081398B2 (en) * 2001-10-12 2006-07-25 Micron Technology, Inc. Methods of forming a conductive line
US6815818B2 (en) 2001-11-19 2004-11-09 Micron Technology, Inc. Electrode structure for use in an integrated circuit
US6791859B2 (en) * 2001-11-20 2004-09-14 Micron Technology, Inc. Complementary bit PCRAM sense amplifier and method of operation
US6873538B2 (en) * 2001-12-20 2005-03-29 Micron Technology, Inc. Programmable conductor random access memory and a method for writing thereto
US6909656B2 (en) * 2002-01-04 2005-06-21 Micron Technology, Inc. PCRAM rewrite prevention
US20030143782A1 (en) * 2002-01-31 2003-07-31 Gilton Terry L. Methods of forming germanium selenide comprising devices and methods of forming silver selenide comprising structures
US6791885B2 (en) * 2002-02-19 2004-09-14 Micron Technology, Inc. Programmable conductor random access memory and method for sensing same
US7151273B2 (en) * 2002-02-20 2006-12-19 Micron Technology, Inc. Silver-selenide/chalcogenide glass stack for resistance variable memory
US6809362B2 (en) 2002-02-20 2004-10-26 Micron Technology, Inc. Multiple data state memory cell
US6847535B2 (en) 2002-02-20 2005-01-25 Micron Technology, Inc. Removable programmable conductor memory card and associated read/write device and method of operation
US7087919B2 (en) * 2002-02-20 2006-08-08 Micron Technology, Inc. Layered resistance variable memory device and method of fabrication
US6891749B2 (en) * 2002-02-20 2005-05-10 Micron Technology, Inc. Resistance variable ‘on ’ memory
US6937528B2 (en) * 2002-03-05 2005-08-30 Micron Technology, Inc. Variable resistance memory and method for sensing same
US6849868B2 (en) * 2002-03-14 2005-02-01 Micron Technology, Inc. Methods and apparatus for resistance variable material cells
US6751114B2 (en) * 2002-03-28 2004-06-15 Micron Technology, Inc. Method for programming a memory cell
US6855975B2 (en) * 2002-04-10 2005-02-15 Micron Technology, Inc. Thin film diode integrated with chalcogenide memory cell
US6864500B2 (en) 2002-04-10 2005-03-08 Micron Technology, Inc. Programmable conductor memory cell structure
US6858482B2 (en) * 2002-04-10 2005-02-22 Micron Technology, Inc. Method of manufacture of programmable switching circuits and memory cells employing a glass layer
US6731528B2 (en) * 2002-05-03 2004-05-04 Micron Technology, Inc. Dual write cycle programmable conductor memory system and method of operation
US6825135B2 (en) 2002-06-06 2004-11-30 Micron Technology, Inc. Elimination of dendrite formation during metal/chalcogenide glass deposition
US6890790B2 (en) * 2002-06-06 2005-05-10 Micron Technology, Inc. Co-sputter deposition of metal-doped chalcogenides
US7015494B2 (en) * 2002-07-10 2006-03-21 Micron Technology, Inc. Assemblies displaying differential negative resistance
US6677251B1 (en) * 2002-07-29 2004-01-13 Taiwan Semiconductor Manufacturing Co., Ltd Method for forming a hydrophilic surface on low-k dielectric insulating layers for improved adhesion
US7209378B2 (en) * 2002-08-08 2007-04-24 Micron Technology, Inc. Columnar 1T-N memory cell structure
US7018863B2 (en) * 2002-08-22 2006-03-28 Micron Technology, Inc. Method of manufacture of a resistance variable memory cell
US6864521B2 (en) * 2002-08-29 2005-03-08 Micron Technology, Inc. Method to control silver concentration in a resistance variable memory element
US20040040837A1 (en) * 2002-08-29 2004-03-04 Mcteer Allen Method of forming chalcogenide sputter target
US6867114B2 (en) * 2002-08-29 2005-03-15 Micron Technology Inc. Methods to form a memory cell with metal-rich metal chalcogenide
US6867996B2 (en) * 2002-08-29 2005-03-15 Micron Technology, Inc. Single-polarity programmable resistance-variable memory element
US7364644B2 (en) 2002-08-29 2008-04-29 Micron Technology, Inc. Silver selenide film stoichiometry and morphology control in sputter deposition
US6831019B1 (en) 2002-08-29 2004-12-14 Micron Technology, Inc. Plasma etching methods and methods of forming memory devices comprising a chalcogenide comprising layer received operably proximate conductive electrodes
CN1241250C (en) * 2002-12-27 2006-02-08 中芯国际集成电路制造(上海)有限公司 Method for making copper damascene structure in porous dielectric
KR100878498B1 (en) * 2002-12-30 2009-01-15 주식회사 하이닉스반도체 Method for fabricating transistor
US6813178B2 (en) * 2003-03-12 2004-11-02 Micron Technology, Inc. Chalcogenide glass constant current device, and its method of fabrication and operation
US7022579B2 (en) 2003-03-14 2006-04-04 Micron Technology, Inc. Method for filling via with metal
US7050327B2 (en) * 2003-04-10 2006-05-23 Micron Technology, Inc. Differential negative resistance memory
US20040248400A1 (en) * 2003-06-09 2004-12-09 Kim Sun-Oo Composite low-k dielectric structure
US6961277B2 (en) 2003-07-08 2005-11-01 Micron Technology, Inc. Method of refreshing a PCRAM memory device
US7061004B2 (en) * 2003-07-21 2006-06-13 Micron Technology, Inc. Resistance variable memory elements and methods of formation
US6903361B2 (en) * 2003-09-17 2005-06-07 Micron Technology, Inc. Non-volatile memory structure
US7583551B2 (en) 2004-03-10 2009-09-01 Micron Technology, Inc. Power management control and controlling memory refresh operations
US7098068B2 (en) * 2004-03-10 2006-08-29 Micron Technology, Inc. Method of forming a chalcogenide material containing device
US7326950B2 (en) * 2004-07-19 2008-02-05 Micron Technology, Inc. Memory device with switching glass layer
US7354793B2 (en) 2004-08-12 2008-04-08 Micron Technology, Inc. Method of forming a PCRAM device incorporating a resistance-variable chalocogenide element
US7190048B2 (en) * 2004-07-19 2007-03-13 Micron Technology, Inc. Resistance variable memory device and method of fabrication
US7365411B2 (en) * 2004-08-12 2008-04-29 Micron Technology, Inc. Resistance variable memory with temperature tolerant materials
US7118966B2 (en) * 2004-08-23 2006-10-10 Micron Technology, Inc. Methods of forming conductive lines
US7151688B2 (en) * 2004-09-01 2006-12-19 Micron Technology, Inc. Sensing of resistance variable memory devices
US7605033B2 (en) * 2004-09-01 2009-10-20 Micron Technology, Inc. Low resistance peripheral local interconnect contacts with selective wet strip of titanium
US7374174B2 (en) * 2004-12-22 2008-05-20 Micron Technology, Inc. Small electrode for resistance variable devices
US20060131555A1 (en) * 2004-12-22 2006-06-22 Micron Technology, Inc. Resistance variable devices with controllable channels
US7317200B2 (en) 2005-02-23 2008-01-08 Micron Technology, Inc. SnSe-based limited reprogrammable cell
JP5180426B2 (en) * 2005-03-11 2013-04-10 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor device
JP5204370B2 (en) * 2005-03-17 2013-06-05 ルネサスエレクトロニクス株式会社 Semiconductor device and manufacturing method thereof
US7427770B2 (en) * 2005-04-22 2008-09-23 Micron Technology, Inc. Memory array for increased bit density
US7709289B2 (en) * 2005-04-22 2010-05-04 Micron Technology, Inc. Memory elements having patterned electrodes and method of forming the same
US7269079B2 (en) * 2005-05-16 2007-09-11 Micron Technology, Inc. Power circuits for reducing a number of power supply voltage taps required for sensing a resistive memory
US7233520B2 (en) * 2005-07-08 2007-06-19 Micron Technology, Inc. Process for erasing chalcogenide variable resistance memory bits
US7274034B2 (en) * 2005-08-01 2007-09-25 Micron Technology, Inc. Resistance variable memory device with sputtered metal-chalcogenide region and method of fabrication
US7332735B2 (en) * 2005-08-02 2008-02-19 Micron Technology, Inc. Phase change memory cell and method of formation
US7317567B2 (en) * 2005-08-02 2008-01-08 Micron Technology, Inc. Method and apparatus for providing color changing thin film material
US20070037316A1 (en) * 2005-08-09 2007-02-15 Micron Technology, Inc. Memory cell contact using spacers
US7579615B2 (en) * 2005-08-09 2009-08-25 Micron Technology, Inc. Access transistor for memory device
US7304368B2 (en) * 2005-08-11 2007-12-04 Micron Technology, Inc. Chalcogenide-based electrokinetic memory element and method of forming the same
US7251154B2 (en) * 2005-08-15 2007-07-31 Micron Technology, Inc. Method and apparatus providing a cross-point memory array using a variable resistance memory cell and capacitance
US7277313B2 (en) * 2005-08-31 2007-10-02 Micron Technology, Inc. Resistance variable memory element with threshold device and method of forming the same
US7342272B2 (en) * 2005-08-31 2008-03-11 Micron Technology, Inc. Flash memory with recessed floating gate
US7560723B2 (en) 2006-08-29 2009-07-14 Micron Technology, Inc. Enhanced memory density resistance variable memory cells, arrays, devices and systems including the same, and methods of fabrication
US8467236B2 (en) * 2008-08-01 2013-06-18 Boise State University Continuously variable resistor
US8471343B2 (en) 2011-08-24 2013-06-25 International Bussiness Machines Corporation Parasitic capacitance reduction in MOSFET by airgap ild

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5149615A (en) * 1991-01-08 1992-09-22 The Boeing Company Method for producing a planar surface on which a conductive layer can be applied
EP0542262A1 (en) * 1991-11-12 1993-05-19 Nec Corporation Method for forming a metal conductor in semiconductor device
US5808854A (en) * 1994-10-24 1998-09-15 Micron Technology, Inc. Capacitor construction with oxidation barrier blocks
EP0923125A1 (en) * 1997-11-28 1999-06-16 STMicroelectronics SA Method of making metallic interconnections in integrated circuits

Family Cites Families (72)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR923125A (en) * 1946-01-16 1947-06-27 Decolletages De Commentry Soc Improvements to lighters
US3979230A (en) 1973-10-30 1976-09-07 General Electric Company Method of making isolation grids in bodies of semiconductor material
US3919060A (en) 1974-06-14 1975-11-11 Ibm Method of fabricating semiconductor device embodying dielectric isolation
US3954523A (en) 1975-04-14 1976-05-04 International Business Machines Corporation Process for fabricating devices having dielectric isolation utilizing anodic treatment and selective oxidation
US3998662A (en) 1975-12-31 1976-12-21 General Electric Company Migration of fine lines for bodies of semiconductor materials having a (100) planar orientation of a major surface
JPS52119186A (en) 1976-03-31 1977-10-06 Nec Corp Manufacture of semiconductor
US4180416A (en) 1978-09-27 1979-12-25 International Business Machines Corporation Thermal migration-porous silicon technique for forming deep dielectric isolation
NL181611C (en) 1978-11-14 1987-09-16 Philips Nv METHOD FOR MANUFACTURING A WIRING SYSTEM, AND A SEMICONDUCTOR DEVICE EQUIPPED WITH SUCH WIRING SYSTEM.
DE3367764D1 (en) * 1983-07-29 1987-01-08 Hoechst Ceram Tec Ag Method of making silicon-infiltrated reaction-bonded silicom carbide bodies
EP0284820A3 (en) * 1987-03-04 1989-03-08 Canon Kabushiki Kaisha Electrically connecting member, and electric circuit member and electric circuit device with the connecting member
US4843034A (en) * 1987-06-12 1989-06-27 Massachusetts Institute Of Technology Fabrication of interlayer conductive paths in integrated circuits
JPH01235254A (en) 1988-03-15 1989-09-20 Nec Corp Semiconductor device and manufacture thereof
US5023200A (en) 1988-11-22 1991-06-11 The United States Of America As Represented By The United States Department Of Energy Formation of multiple levels of porous silicon for buried insulators and conductors in silicon device technologies
US5192834A (en) 1989-03-15 1993-03-09 Sumitomo Electric Industries, Ltd. Insulated electric wire
US5298311A (en) * 1989-12-13 1994-03-29 The B. F. Goodrich Company Moisture and oxidation resistant carbon/carbon composites
US5171713A (en) 1990-01-10 1992-12-15 Micrunity Systems Eng Process for forming planarized, air-bridge interconnects on a semiconductor substrate
EP0466109B1 (en) * 1990-07-10 1994-11-02 Sumitomo Metal Industries, Ltd. Process for producing a silicon carbide-base complex
US5061514A (en) * 1990-07-13 1991-10-29 Olin Corporation Chemical vapor deposition (CVD) process for plasma depositing silicon carbide films onto a substrate
JP3074713B2 (en) 1990-09-18 2000-08-07 日本電気株式会社 Method for manufacturing semiconductor device
US5165991A (en) * 1990-12-15 1992-11-24 Fuji Xerox Co., Ltd. Dielectric member for receiving an electrostatic image
EP0511780A1 (en) 1991-04-30 1992-11-04 AT&T Corp. Method for fabricating a planar dielectric
GB9206086D0 (en) * 1992-03-20 1992-05-06 Philips Electronics Uk Ltd Manufacturing electronic devices comprising,e.g.tfts and mims
JP2773578B2 (en) * 1992-10-02 1998-07-09 日本電気株式会社 Method for manufacturing semiconductor device
US5286668A (en) 1993-02-03 1994-02-15 Industrial Technology Research Institute Process of fabricating a high capacitance storage node
US5470801A (en) 1993-06-28 1995-11-28 Lsi Logic Corporation Low dielectric constant insulation layer for integrated circuit structure and method of making same
DE59402592D1 (en) 1993-08-06 1997-06-05 Dynamics Chinatec Systemtech Device for accepting coins at a coin-operated machine
US5488015A (en) 1994-05-20 1996-01-30 Texas Instruments Incorporated Method of making an interconnect structure with an integrated low density dielectric
US5470802A (en) 1994-05-20 1995-11-28 Texas Instruments Incorporated Method of making a semiconductor device using a low dielectric constant material
US5461003A (en) 1994-05-27 1995-10-24 Texas Instruments Incorporated Multilevel interconnect structure with air gaps formed between metal leads
EP0701277B1 (en) * 1994-05-27 2008-02-27 Texas Instruments Incorporated Interconnection method using a porous insulator for line to line capacitance reduction
US5527737A (en) 1994-05-27 1996-06-18 Texas Instruments Incorporated Selective formation of low-density, low-dielectric-constant insulators in narrow gaps for line-to-line capacitance reduction
US5750415A (en) * 1994-05-27 1998-05-12 Texas Instruments Incorporated Low dielectric constant layers via immiscible sol-gel processing
US5494858A (en) * 1994-06-07 1996-02-27 Texas Instruments Incorporated Method for forming porous composites as a low dielectric constant layer with varying porosity distribution electronics applications
US5504042A (en) * 1994-06-23 1996-04-02 Texas Instruments Incorporated Porous dielectric material with improved pore surface properties for electronics applications
EP0722920B9 (en) * 1994-08-09 2002-06-12 Kabushiki Kaisha Toyota Chuo Kenkyusho Composite material and production method therefor
US5525857A (en) 1994-08-19 1996-06-11 Texas Instruments Inc. Low density, high porosity material as gate dielectric for field emission device
US5554567A (en) 1994-09-01 1996-09-10 Taiwan Semiconductor Manufacturing Company Ltd. Method for improving adhesion to a spin-on-glass
US5773363A (en) 1994-11-08 1998-06-30 Micron Technology, Inc. Semiconductor processing method of making electrical contact to a node
KR0138295B1 (en) 1994-11-30 1998-06-01 김광호 Fabrication of an electronic component using chemical mechanical polishing
US5670828A (en) 1995-02-21 1997-09-23 Advanced Micro Devices, Inc. Tunneling technology for reducing intra-conductive layer capacitance
US5496773A (en) 1995-04-28 1996-03-05 Micron Technology, Inc. Semiconductor processing method of providing an electrically conductive interconnecting plug between an elevationally inner electrically conductive node and an elevationally outer electrically conductive node
US5861345A (en) 1995-05-01 1999-01-19 Chou; Chin-Hao In-situ pre-PECVD oxide deposition process for treating SOG
US5691573A (en) 1995-06-07 1997-11-25 Advanced Micro Devices, Inc. Composite insulation with a dielectric constant of less than 3 in a narrow space separating conductive lines
US5599745A (en) 1995-06-07 1997-02-04 Micron Technology, Inc. Method to provide a void between adjacent conducting lines in a semiconductor device
US5744399A (en) 1995-11-13 1998-04-28 Lsi Logic Corporation Process for forming low dielectric constant layers using fullerenes
US5736425A (en) 1995-11-16 1998-04-07 Texas Instruments Incorporated Glycol-based method for forming a thin-film nanoporous dielectric
US6319852B1 (en) * 1995-11-16 2001-11-20 Texas Instruments Incorporated Nanoporous dielectric thin film formation using a post-deposition catalyst
US5807607A (en) 1995-11-16 1998-09-15 Texas Instruments Incorporated Polyol-based method for forming thin film aerogels on semiconductor substrates
US5677241A (en) 1995-12-27 1997-10-14 Micron Technology, Inc. Integrated circuitry having a pair of adjacent conductive lines and method of forming
US5981085A (en) * 1996-03-21 1999-11-09 The Furukawa Electric Co., Inc. Composite substrate for heat-generating semiconductor device and semiconductor apparatus using the same
US5731235A (en) 1996-10-30 1998-03-24 Micron Technology, Inc. Methods of forming a silicon nitrite film, a capacitor dielectric layer and a capacitor
US5970360A (en) 1996-12-03 1999-10-19 Mosel Vitelic Inc. DRAM cell with a roughened poly-Si electrode
US5962103A (en) * 1997-01-13 1999-10-05 General Electric Company Silicon carbide-silicon composite having improved oxidation resistance and method of making
US5950102A (en) 1997-02-03 1999-09-07 Industrial Technology Research Institute Method for fabricating air-insulated multilevel metal interconnections for integrated circuits
US6143645A (en) * 1997-02-03 2000-11-07 Texas Instruments Incorporated Reduced temperature contact/via filling
US5736459A (en) * 1997-05-15 1998-04-07 Vanguard International Semiconductor Corporation Method to fabricate a polysilicon stud using an oxygen ion implantation procedure
US6351039B1 (en) * 1997-05-28 2002-02-26 Texas Instruments Incorporated Integrated circuit dielectric and method
US6008540A (en) * 1997-05-28 1999-12-28 Texas Instruments Incorporated Integrated circuit dielectric and method
TW375779B (en) 1997-06-03 1999-12-01 United Microelectronics Corp Method for treating via side wall
JP3128573B2 (en) * 1997-06-23 2001-01-29 工業技術院長 Method of forming high-purity thin film
US6251470B1 (en) 1997-10-09 2001-06-26 Micron Technology, Inc. Methods of forming insulating materials, and methods of forming insulating materials around a conductive component
US6333556B1 (en) * 1997-10-09 2001-12-25 Micron Technology, Inc. Insulating materials
US6184572B1 (en) * 1998-04-29 2001-02-06 Novellus Systems, Inc. Interlevel dielectric stack containing plasma deposited fluorinated amorphous carbon films for semiconductor devices
US6001747A (en) 1998-07-22 1999-12-14 Vlsi Technology, Inc. Process to improve adhesion of cap layers in integrated circuits
US6204172B1 (en) * 1998-09-03 2001-03-20 Micron Technology, Inc. Low temperature deposition of barrier layers
US6284655B1 (en) * 1998-09-03 2001-09-04 Micron Technology, Inc. Method for producing low carbon/oxygen conductive layers
US6207583B1 (en) * 1998-09-04 2001-03-27 Alliedsignal Inc. Photoresist ashing process for organic and inorganic polymer dielectric materials
US6245690B1 (en) * 1998-11-04 2001-06-12 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
US6028015A (en) 1999-03-29 2000-02-22 Lsi Logic Corporation Process for treating damaged surfaces of low dielectric constant organo silicon oxide insulation material to inhibit moisture absorption
US6350679B1 (en) * 1999-08-03 2002-02-26 Micron Technology, Inc. Methods of providing an interlevel dielectric layer intermediate different elevation conductive metal layers in the fabrication of integrated circuitry
US6271146B1 (en) * 1999-09-30 2001-08-07 Electron Vision Corporation Electron beam treatment of fluorinated silicate glass
US6589887B1 (en) * 2001-10-11 2003-07-08 Novellus Systems, Inc. Forming metal-derived layers by simultaneous deposition and evaporation of metal

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5149615A (en) * 1991-01-08 1992-09-22 The Boeing Company Method for producing a planar surface on which a conductive layer can be applied
EP0542262A1 (en) * 1991-11-12 1993-05-19 Nec Corporation Method for forming a metal conductor in semiconductor device
US5808854A (en) * 1994-10-24 1998-09-15 Micron Technology, Inc. Capacitor construction with oxidation barrier blocks
EP0923125A1 (en) * 1997-11-28 1999-06-16 STMicroelectronics SA Method of making metallic interconnections in integrated circuits

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
YOON J -B ET AL: "MONOLITHIC INTEGRATION OF 3-D ELECTROPLATED MICROSTRUCTURES WITH UNLIMITED NUMBER OF LEVELS USING PLANARIZATION WITH A SACRIFICIAL METALLIC MOLD (PSMM)", IEEE INTERNATIONAL MICRO ELECTRO MECHANICAL SYSTEMS CONFERENCE,US,NEW YORK, NY: IEEE, 1999, pages 624 - 629, XP000830819, ISBN: 0-7803-5195-9 *

Also Published As

Publication number Publication date
AU6512400A (en) 2001-02-19
JP2003506869A (en) 2003-02-18
US6844255B2 (en) 2005-01-18
KR20020020948A (en) 2002-03-16
KR100455804B1 (en) 2004-11-06
US20030068879A1 (en) 2003-04-10
EP1203407A1 (en) 2002-05-08
US6350679B1 (en) 2002-02-26

Similar Documents

Publication Publication Date Title
US6844255B2 (en) Methods of providing an interlevel dielectric layer intermediate different elevation conductive metal layers in the fabrication of integrated circuitry
US6803318B1 (en) Method of forming self aligned contacts
US6605863B2 (en) Low k film application for interlevel dielectric and method of cleaning etched features
US7087519B2 (en) Method for forming contact having low resistivity using porous plug and method for forming semiconductor devices using the same
US7759244B2 (en) Method for fabricating an inductor structure or a dual damascene structure
US7687381B2 (en) Method of forming electrical interconnects within insulating layers that form consecutive sidewalls including forming a reaction layer on the inner sidewall
US20070210339A1 (en) Shared contact structures for integrated circuits
US8324061B2 (en) Method for manufacturing semiconductor device
US6143648A (en) Method for forming an integrated circuit
CN108321083B (en) Semiconductor structure and forming method thereof
US20050106887A1 (en) Method for formimg contact holes
US7300878B1 (en) Gas switching during an etch process to modulate the characteristics of the etch
US6436746B1 (en) Transistor having an improved gate structure and method of construction
US7172965B2 (en) Method for manufacturing semiconductor device
US6730561B2 (en) Method of forming a cup capacitor
US7288487B1 (en) Metal/oxide etch after polish to prevent bridging between adjacent features of a semiconductor structure
US7326647B2 (en) Dry etching process to form a conductive layer within an opening without use of a mask during the formation of a semiconductor device
KR20010030088A (en) Process for etching an insulating layer and forming a semiconductor devices
US6177355B1 (en) Pad etch process capable of thick titanium nitride arc removal
US10304692B1 (en) Method of forming field effect transistor (FET) circuits, and forming integrated circuit (IC) chips with the FET circuits
US8703617B2 (en) Method for planarizing interlayer dielectric layer
US10103097B2 (en) CD control
KR20000008925A (en) Method for manufacturing semiconductor device
KR20010008501A (en) Method For Forming The Contact Of Semiconductor Device

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CR CU CZ DE DK DM DZ EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NO NZ PL PT RO RU SD SE SG SI SK SL TJ TM TR TT TZ UA UG UZ VN YU ZA ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZW AM AZ BY KG KZ MD RU TJ TM AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE BF BJ CF CG CI CM GA GN GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
WWE Wipo information: entry into national phase

Ref document number: 2000952420

Country of ref document: EP

Ref document number: 1020027001289

Country of ref document: KR

WWP Wipo information: published in national office

Ref document number: 1020027001289

Country of ref document: KR

WWP Wipo information: published in national office

Ref document number: 2000952420

Country of ref document: EP

REG Reference to national code

Ref country code: DE

Ref legal event code: 8642

WWG Wipo information: grant in national office

Ref document number: 1020027001289

Country of ref document: KR