WO2000065126A1 - Cvd tantalum nitride plug formation from tantalum halide precursors - Google Patents

Cvd tantalum nitride plug formation from tantalum halide precursors Download PDF

Info

Publication number
WO2000065126A1
WO2000065126A1 PCT/US2000/011281 US0011281W WO0065126A1 WO 2000065126 A1 WO2000065126 A1 WO 2000065126A1 US 0011281 W US0011281 W US 0011281W WO 0065126 A1 WO0065126 A1 WO 0065126A1
Authority
WO
WIPO (PCT)
Prior art keywords
tantalum
precursor
vapor
tan
film
Prior art date
Application number
PCT/US2000/011281
Other languages
French (fr)
Other versions
WO2000065126A9 (en
Inventor
John J. Hautala
Johannes F. M. Westendorp
Original Assignee
Tokyo Electron Limited
Tokyo Electron Arizona, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Limited, Tokyo Electron Arizona, Inc. filed Critical Tokyo Electron Limited
Priority to JP2000613856A priority Critical patent/JP4763894B2/en
Priority to KR1020017013565A priority patent/KR100668903B1/en
Publication of WO2000065126A1 publication Critical patent/WO2000065126A1/en
Publication of WO2000065126A9 publication Critical patent/WO2000065126A9/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • H01L21/205Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy using reduction or decomposition of a gaseous compound yielding a solid condensate, i.e. chemical deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76862Bombardment with particles, e.g. treatment in noble gas plasmas; UV irradiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material

Definitions

  • This invention relates to the formation of integrated circuits
  • integrated circuits provide the pathways for signal transport in an
  • An integrated circuit (IC) in a device is composed of a number
  • metal “wires” are made between one active transistor in the silicon base of
  • the substrate and another active transistor in the silicon base of the substrate are another active transistor in the silicon base of the substrate.
  • interconnections collectively known as the metal interconnection of a circuit
  • a contact plug As 00/65126
  • the contact plug must decrease to allow for the increased number of
  • interconnects multilevel metalization structures and higher aspect ratio vias.
  • Tne liner must also provi ⁇ e a low eiect ⁇ cal resistance interface
  • cnemicai v aoo' ⁇ eoosition (CVD)
  • CVD ⁇ eoosition
  • r r ⁇ r s to copper.
  • Ti reacts with copper to form copper titanium compounds at the
  • Ta Sputtered tantalum
  • TaN reactive sputtered tantalum nitride
  • the deposited Ta and/or TaN have resistance to diffusion of foreign atoms.
  • the deposited Ta and/or TaN have resistance to diffusion of foreign atoms.
  • the deposited Ta and/or TaN have resistance to diffusion of foreign atoms.
  • CVD offers the inherent advantage over PVD of
  • TBTDET tertbutyiimidotris(diethylamido)tantalum
  • a contact plug makes an electrical connection between doped silicon
  • a liner of about 100 A Ta is first deposited using PVD. This Ta layer
  • TaN is then deposited on the Ta layer by PVD.
  • a seed layer of 1 00 A Cu is then deposited by PVD, and the remainder of the plug is filled with electroplated Cu.
  • the TaN layer serves as a metal diffusion barrier to protect the dielectric layer
  • TaN also serves as an adhesion layer for the Cu.
  • TaN barrier layer may remain at greater than about 200 A for robust performance
  • the Ta thickness is still required to be 1 00 A, it follows
  • a structure with a diameter of 0J 3 ⁇ m would have a Cu film or "core"
  • the size of the via to be filled its relative thickness is about 80% of the via diameter. This is because the deposited film must not only fill the volume of the
  • the via is eliminated by depositing more TaN on top of the plug, resulting in a
  • thick films be continuous, completely conformal, and seamless.
  • underlying materials such as low k dielectrics, a deposition rate of more than 1 00
  • the invention is directed to a method of filling a via with a TaN plug
  • TaN x tantalum halide
  • precursor is delivered at a temperature sufficient to vaporize the precursor to
  • the vaporization pressure is greater than
  • the vapor is combined with a process gas containing nitrogen and
  • TaN x is deposited by a thermal chemical vapor deposition (thermal CVD) process.
  • the deposition is halted to plasma treat the film, then deposition is resumed.
  • plasma treatments are performed at regular intervals in the thermal CVD process
  • tantalum fluoride TaF
  • tantalum chloride TaCI
  • tantalum bromide tantalum bromide
  • TaBr tantalum pentafluoride (TaF 5 ), tantalum pentachloride (TaCI 5 )
  • the substrate temperature is in the range of
  • the present invention is also directed to a method of completely
  • a TaN x layer from a TaF 5 or TaCI 5 precursor by elevating the precursor
  • the vapor is combined with a process gas containing nitrogen and
  • TaN x is deposited in the feature by a thermal chemical vapor deposition (thermal
  • CVD chemical vapor deposition
  • the invention is further directed to a method of filling a high aspect
  • TaBr 5 precursor on a substrate without a carrier gas.
  • the temperature of the precursor is elevated sufficient to produce a tantalum vapor.
  • the vapor is
  • thermal chemical vapor deposition thermal CVD
  • deposition is halted to plasma treat the film surface, then deposition is resumed.
  • the plasma treatments are performed at regular intervals in the thermal CVD
  • the fiims deposited by the method of the invention can completely
  • the films are
  • the films have a cracking threshold greater than 2000
  • A have sufficiently low electrical resistivities, have 1 00% conformality in high
  • the films have minimal impurities and are good barriers to copper diffusion.
  • films can be deposited at a rate sufficient for throughput considerations. It will be appreciated that it will be deposited at a rate sufficient for throughput considerations. It will be appreciated that it will be deposited at a rate sufficient for throughput considerations. It will be appreciated that it will be deposited at a rate sufficient for throughput considerations. It will be appreciated that it will be deposited at a rate sufficient for throughput considerations. It will be appreciated that it will be deposited at a rate sufficient for throughput considerations. It will be deposited at a rate sufficient for throughput considerations. It will be deposited at a rate sufficient for throughput considerations. It will be deposited at a rate sufficient for throughput considerations. It will be deposited at a rate sufficient for throughput considerations. It will be deposited at a rate sufficient for throughput considerations. It will be deposited at a rate sufficient for throughput considerations. It will be deposited at a rate sufficient for throughput considerations. It will be deposited at a rate sufficient for throughput considerations. It will be deposited
  • FIG. 1 is a schematic of an apparatus for plasma treated thermal
  • FIG. 2 is a graph of vapor pressure versus temperature for tantalum
  • FIG. 3 is a schematic representation of a structure fabricated using
  • FIG. 4 is a scanning electron micrograph (SEM) image of a plug fill
  • FIG. 5 is a SEM image of a plug fill by TaN x deposited by TaF 5 based
  • FIG. 6 is a SEM image of a plug fill by TaN x deposited by TaBr 5 based
  • FIG. 7 is a SEM image of a plug fill by TaN x deposited by TaBr 5 based
  • FIG . 8 is a SEM image of a 1 1 50 A TaF 5 based CVD TaN x film.
  • FIG. 9 is a SEM image of a 3700 A TaCI 5 based CVD TaN x film.
  • FIG. 1 0 is a SEM image of a 1 350 A TaBr 5 based CVD TaN x film.
  • FIG. 1 1 is a SEM image of TaF 5 based CVD Ta/TaN x film deposited
  • FIG. 1 2 is a SEM image of TaCI 5 based CVD TaN x film deposited on
  • FIG. 1 3 is a SEM image of TaBr 5 based CVD Ta/TaN x film deposited
  • FIG . 1 4 is an Auger spectrum of a TaBr 5 based CVD TaN x film
  • Refractory transition metals such as tantalum (Ta) and their nitride
  • TaN are effective diffusion barriers to copper (Cu) . Their effectiveness is
  • Ta and TaN are especially attractive due to
  • Tantalum halides provide a convenient inorganic source for Ta and
  • the inorganic precursor is a tantalum pentahalide (TaX 5 ) where
  • X represents the halides fluorine (F), chlorine (Cl) and bromine (Br).
  • tantalum pentafluoride TaF 5
  • tantalum pentachloride TaCI 5
  • tantalum bromide (TaBr 5 ), with tantalum pentaiodide (Tal 5 ) included for
  • TaF 5 , TaCI 5 and TaBr 5 precursor materials are all solids at room
  • a preferred method of CVD is
  • a chemical vapor deposition (CVD) system 1 0 includes a
  • CVD reaction chamber 1 1 and a precursor delivery system 1 2.
  • reaction chamber a reaction is carried out to convert a precursor gas of, for
  • tantalum chloride (TaCI) or other tantalum halide compound, into a film
  • TaN tantalum nitride
  • film is not limited to any particular stoichiometry (TaN x ).
  • TaN x stoichiometry
  • TaN x encompasses a tantalum nitride film of any stoichiometry.
  • the precursor delivery system 12 includes a source 13 of precursor
  • the source 1 3 generates
  • a precursor gas for example a tantalum halide vapor, from a tantalum halide
  • the compound is one that is in a solid state when at standard
  • the precursor source is maintained, preferably by
  • the vapor pressure is one that is itself sufficient to deliver the precursor vapor to the reaction chamber, preferably without the use of a
  • the metering system 1 5 maintains a flow of the precursor gas vapor
  • the reaction chamber 1 1 is a generally conventional CVD reactor and
  • a vacuum chamber 20 that is bounded by a vacuum tight chamber
  • a substrate support or susceptor 22 on the chamber 20 .
  • a substrate support or susceptor 22 on the chamber 20 .
  • chamber 20 is maintained at a vacuum appropriate for the performance of a CVD
  • reaction chamber 1 1 is in the range of from 0.2 to 5.0 Torr.
  • the vacuum is
  • the precursor gas source 1 3 includes a sealed evaporator 30 that
  • the vessel 31 includes a cylindrical evaporation vessel 31 having a vertically oriented axis 32.
  • the vessel 31 is bounded by a cylindrical wall 33 formed of a high temperature
  • the wall 33 has a flat circular
  • the cover 36 is sealed to a flange ring 37 that is integral to
  • seal 38 such as a HELICOFLEX seal, which is formed of a C-shaped nickel tube
  • a conventional elastomeric O-ring seal may be used to seal a conventional elastomeric O-ring seal.
  • the source 1 3 is preferably an inert gas such as He or Ar.
  • precursor material such as tantalum fluoride, chloride or
  • the vessel 31 is filled with tantalum halide vapor by
  • the halide is supplied as
  • TaX mass 40 if a liquid, remains constant regardless of the level of depletion of
  • the delivery system 1 2 is not limited to direct delivery of a
  • precursor 40 but can be used in the alternative for delivery of precursor 40 along
  • Such a gas may be hydrogen (H 2 ) or an inert gas such as helium (He)
  • the bottom 35 of the wall 33 is maintained in thermal communication with a
  • a lower vapor pressure such as about 1 Torr when a carrier gas is used.
  • a vapor pressure can be maintained at the preferred pressure of 5 Torr or above
  • the desired temperature is at least about 95 ° C for TaF 5 , the
  • desired temperature is at least about 1 45 °C for TaCI 5 , and the desired
  • Tal 5 pentaiodide
  • a temperature of 1 80 °C is assumed to be
  • separately controlled heater 45 that is in thermal contact with the outside of the
  • trapped air space 46 which is contained between the chamber wall 33 and a surrounding concentric outer aluminum wall or can 47.
  • the can 47 is further
  • tantalum or titanium haiide compound tantalum or titanium haiide compound.
  • the vapor flow metering system 1 5 includes a delivery tube 50 of
  • the tube 50 extends from the precursor gas source 1 3 to which
  • temperature of the precursor material 40 for example, to 1 95 °C.
  • baffle plate 51 in which is centered a
  • circular orifice 52 which preferably has a diameter of approximately 0.089
  • gauge 1 56 to gauge 2 57 The pressure drop from gauge 1 56 to gauge 2 57 is regulated by control valve 53. This pressure drop after control valve 53 through orifice 52 and into
  • reaction chamber 1 1 is greater than about 10 milliTorr and will be proportional to
  • a shut-off valve 54 is provided in the line 50 between the
  • Pressure sensors 55-58 are provided in the system 1 0 to provide
  • the pressure sensors include
  • shut-off valve 54 to monitor the pressure in the evaporation vessel 31 .
  • a pressure sensor 56 is connected to the tube 50 between the control valve 53
  • pressure sensor 57 is connected to the tube 50 between the baffle 51 and the
  • reactor inlet 1 6 to monitor the pressure downstream of the orifice 52.
  • pressure sensor 58 is connected to the chamber 20 of the reaction chamber to
  • the reaction chamber is achieved by the controller 60 in response to the pressures
  • pressure sensors 56 and 57 can be determined from the ratio of the
  • the tube 52 is a function of only the pressure monitored by pressure sensor 57.
  • the controller 60 by interpreting the process conditions.
  • the flow rate of precursor gas can be determined by
  • the controller 60 through calculation.
  • precursor gas is calculated by retrieving flow rate data from lookup or multiplier
  • variable orifice control valve 53 the CVD chamber pressure through evacuation
  • the solid TaF 5 , TaCI 5 and TaBr 5 precursor As shown in FIG. 1 , the solid TaF 5 , TaCI 5 and TaBr 5 precursor
  • TaF 5 , TaCI 5 or TaBr 5 was delivered directly, that is, without the use of a carrier
  • reaction chamber 1 1 was heated to a temperature of at least about 1 00° C to
  • reaction chamber 1 1 was accomplished by heating the solid tantalum halide
  • precursor 40 to a temperature in the range of about 95 ° C-205 °C, the choice
  • a sufficient vapor pressure was in the range of
  • TaF 5 is a liquid while
  • FIG. 2 shows the relationship between the measured vapor pressure
  • the desired pressure was greater than about
  • TaF 5 , TaCI 5 and TaBr 5 was desirably low enough to be able to deposit
  • tantalum in the absence of a carrier gas but yet sufficient to maintain a constant
  • substrate 23 was the RF ground.
  • the selected TaX 5 vapor was combined with
  • process gases in addition to H 2 .
  • the thermal CVD is stopped at regular intervals to plasma treat the
  • a parallel plate RF -20- discharge is used where the driven electrode is the gas delivery showerhead and
  • the wafer stage is the RF ground.
  • H 2 was used to plasma treat the film at a flow
  • PTTCVD improves the film's morphology from a relatively rough structure to a
  • the resistance further decreased to 1 100 ⁇ cm when a 45 A thick
  • TaN x film per cycle was subjected to plasma treatment. Similarly, the
  • a TaN x film deposited using a TaCI 5 precursor would be
  • Plasma treatment times in the range of between 1 0 seconds and
  • the microstructure of the TaN x film also changed from a rough to a
  • a seamless fiim is one that contains no cracks. The step coverage
  • An ideal step coverage is 1 .0 or 1 00%, representing identical thickness
  • TaCI 5 based fiims would be expected to exhibit the same
  • FIG. 8 is a scanning electron micrograph (SEM) image of a 1 1 50
  • FIG. 9 is a SEM image of a
  • FIG. 10 is a SEM image
  • the TaN x film will be integral, that is, in direct contact with copper, little or no
  • TaN x attack or etching of the copper should take place during TaN x deposition.
  • FIG. 1 1 shows a TaF 5 based Ta/TaN x film deposited directly on
  • FIG. 1 2 shows a TaCI 5 based TaN x film on deposited directly
  • FIG. 1 3 shows a TaBr 5 based Ta/TaN x fiim deposited
  • FIG. 14 indicates that the thermal TaN x film is nitrogen rich (x > 1 .0),
  • bromide concentration was determined to be less than 2 atomic percent.
  • One contributing factor may be the nitrogen rich
  • an amorphous material defined as having a low fraction of crystalline
  • the method is based on the vapor delivery of either TaF 5 , TaCI 5
  • step coverage low residual impurity concentrations, sufficiently high
  • H 2 RF plasma treatment also significantly improved the microstructure of the
  • Ta films may be deposited by PECVD, and TaN films
  • thermal CVD chemical vapor deposition
  • PECVD plasma treated thermal
  • HALIDE PRECURSORS PECVD OF TaN FILMS FROM TANTALUM HALIDE
  • Ta/TaN x bilayers may be deposited by CVD as disclosed in the

Abstract

Plasma treated chemical vapor deposition (PTTCVD) method for depositing high quality tantalum nitride (TaNx) films from inorganic tantalum halide (TaX5) precursors and a nitrogen containing gas for filling small contacts having high aspect ratio features with TaNx film and eliminating copper deposition step. The inorganic tantalum halide precursors are tantalum pentafluoride (TaF5), tantalum pentachloride (TaCl5) and tantalum pentabromide (TaBr5). In a thermal CVD process, TaX5 vapor is delivered into heated chamber (11). The vapor is combined with process gas containing nitrogen to deposit TaNx film in feature. In one embodiment, hydrogen gas is introduced in radiofrequency generated plasma to plasma treat TaNx film. Plasma treatment is performed periodically until the feature is filled with TaNx film. Deposited TaNx film is useful for integrated circuits containing copper. The method produces seamless TaN plug fill in high aspect ratio structures.

Description

CVD TANTALUM NITRIDE PLUG FORMATION FROM TANTALUM HALIDE PRECURSORS
This invention relates to the formation of integrated circuits, and
specifically to filling electrical contacts with tantalum nitride films deposited by
chemical vapor deposition from tantalum halide precursors.
Background of the Invention
integrated circuits provide the pathways for signal transport in an
electrical device. An integrated circuit (IC) in a device is composed of a number
of active transistors contained in a silicon base layer of a semiconductor
substrate. To increase the capacity of an IC, large numbers of interconnections
with metal "wires" are made between one active transistor in the silicon base of
the substrate and another active transistor in the silicon base of the substrate.
The interconnections, collectively known as the metal interconnection of a circuit,
are made through features such as holes, vias or trenches that are cut into a
substrate. The particular point of the metal interconnection which actually makes
contact with the silicon base is known as the contact. The remainder of the hole,
via or trench is filled with a conductive material, termed a contact plug. As 00/65126
transistor densities continue to increase, forming higher level IC, the diameter of
the contact plug must decrease to allow for the increased number of
interconnects, multilevel metalization structures and higher aspect ratio vias.
Aluminum has been the accepted standard for contacts and
interconnections in integrated circuits. However, problems with aluminum
electromigration and its hign electrical resistivity require new materials for newer
structures having deep suDπnicron αimensions Cooper (Cu) holαs promise as tne
interconnect material for the next generation of integrated circuits in ultra large
scale integration (ULSI) circuitry, yet tne formation of copper siiiciαe (Cu-Si)
compounds at low temperatures and its electromigration tnrough a silicon oxide
(Sι02) layer are αisaαvantages to its use
As the snift occurs from aluminum to cooper as an interconnect
element of choice, new materials are required to serve as a barrier, preventing
copDer diffusion into the unαeriying αieiectπc layers of the suDstrate ana to form
an effective "glue" layer for subsequent copper deposition. New materials are
also requireα to serve as a liner, adneπng subsequently αeposited copper to the
suDstrate. Tne liner must also proviαe a low eiectπcal resistance interface
oetween cooDer and the Darner mateπal. Barrier layers that were previously used
witn aluminum, sucn as titanium (Ti) and titanium nitπαe iTiN) barrier layers
αeoositeα eιtner DV physical vaoor αeocsition (PVD) sucn as sputtering and/or
cnemicai v aoo' αeoosition (CVD) , are ιne*fectιvε as αiffusion 03.rrιεrs to copper. In addition, Ti reacts with copper to form copper titanium compounds at the
relatively low temperatures used with PVD and/or CVD.
Sputtered tantalum (Ta) and reactive sputtered tantalum nitride (TaN)
have been demonstrated to be good diffusion barriers between copper and a
silicon substrate due to their high conductivity, high thermal stability and
resistance to diffusion of foreign atoms. However, the deposited Ta and/or TaN
film has inherently poor step coverage due to its shadowing effects. Thus the
sputtering process is limited to relatively large feature sizes ( > 0.3 //m) and small
aspect ratio contacts and vias. CVD offers the inherent advantage over PVD of
better conformality, even in small structures ( < 0.25 μm) with high aspect ratios.
However, CVD of Ta and TaN with metal-organic sources such as
tertbutyiimidotris(diethylamido)tantalum (TBTDET), pentakis (dimethylamino)
tantalum (PDMAT) and pentakis (diethylanmino) tantalum (PDEAT) yields mixed
results. Additional problems are that all resulting films have relatively high
concentrations of oxygen and carbon impurities and require the use of a carrier
gas.
A contact plug makes an electrical connection between doped silicon
and metal wires that connect transistors, both with each other and with the
outside world. Contact plugs involving Cu lines currently require the depositions
as follows. A liner of about 100 A Ta is first deposited using PVD. This Ta layer
enhances the electrical contact to the silicon base layer. A liner of about 500 A
TaN is then deposited on the Ta layer by PVD. A seed layer of 1 00 A Cu is then deposited by PVD, and the remainder of the plug is filled with electroplated Cu.
The TaN layer serves as a metal diffusion barrier to protect the dielectric layer
from Cu diffusion. TaN also serves as an adhesion layer for the Cu.
As transistor densities continue to increase and structures become
narrower, the proportion of Cu in the plug becomes smaller. Since the probable
TaN barrier layer may remain at greater than about 200 A for robust performance
as a diffusion barrier and the Ta thickness is still required to be 1 00 A, it follows
that the portion of the contact plug that is filled with Cu is diminished. For
example, a structure with a diameter of 0J 3 μm would have a Cu film or "core"
in the center of the plug that is only about 700 A. Therefore, the effective plug
resistance becomes dominated more by the higher resistivity Ta and TaN and,
more importantly, the resistance of the interface between the TaN and Cu layers.
Subsequent filling of the contact plugs with Cu, then, provides an
extra procedural step with no significant effect on the overall resistance of the
contact plug. Accordingly, a process step in the formation of an IC could be
eliminated, manufacturing efficiency could be increased, and significant savings
for the fabrication of these devices couid be realized by filling a via with a contact
plug of TaN only, rather than with TaN and Cu. Therefore, what is needed is a
method of forming a TaN contact plug by CVD and eliminating a Cu layer in the
contact plug in the formation of an IC.
While the absolute thickness of the Cu layer may vary according to
the size of the via to be filled, its relative thickness is about 80% of the via diameter. This is because the deposited film must not only fill the volume of the
via with a contact plug, but it must also fill the "dimple" above the contact plug.
The "dimple," defined as an indentation in the TaN that is formed during filling of
the via, is eliminated by depositing more TaN on top of the plug, resulting in a
capping layer. Thus, for. a 0.2 μm feature, a TaN film having a thickness of
1 600 A (0.8 x 2000 A) is required. For a good plug fill it is also critical that these
thick films be continuous, completely conformal, and seamless.
Thus, what is needed is a method for depositing TaNx films to fill a
contact plug in and eliminating a copper deposition step. The method would
require deposition temperature less than about 500°C to protect the integrity of
underlying materials such as low k dielectrics, a deposition rate of more than 1 00
A/minute for reasonable throughput, a cracking threshold larger than 2000 A,
sufficiently low electrical resistivities for low interconnect impedances, 100%
conformality in high aspect ratio features, no attack or corrosion of subsequently
deposited fiims such as copper films, minimal impurities in the film, and the film
would provide a good barrier to copper diffusion.
Summary of the Invention
The invention is directed to a method of filling a via with a TaN plug
and eliminating a copper (Cu) deposition step by depositing a tantalum nitride
(TaNx) film from a tantalum halide precursor on a substrate. The tantalum halide
precursor is delivered at a temperature sufficient to vaporize the precursor to
provide a vaporization pressure to deliver the tantalum vapor to a reaction chamber containing the substrate. The vaporization pressure is greater than
about 3 Torr. The vapor is combined with a process gas containing nitrogen and
TaNx is deposited by a thermal chemical vapor deposition (thermal CVD) process.
The deposition is halted to plasma treat the film, then deposition is resumed. The
plasma treatments are performed at regular intervals in the thermal CVD process
(PTTCVD) until a desired film thickness is obtained. The tantalum halide
precursor is tantalum fluoride (TaF), tantalum chloride (TaCI) or tantalum bromide
(TaBr), preferably tantalum pentafluoride (TaF5), tantalum pentachloride (TaCI5)
or tantalum pentabromide (TaBr5). The substrate temperature is in the range of
about 300° C-500°C.
The present invention is also directed to a method of completely
filling a high aspect ratio via which is less than about 0.1 6 μm in diameter with
a TaNx layer from a TaF5 or TaCI5 precursor by elevating the precursor
temperature sufficient to vaporize the precursor to provide a pressure to deliver
the vapor. The vapor is combined with a process gas containing nitrogen and
TaNx is deposited in the feature by a thermal chemical vapor deposition (thermal
CVD) process. The deposition is halted to plasma treat the film surface, then
deposition is resumed. The plasma treatments are performed at regular intervals
in the thermal CVD process until a desired film thickness is obtained.
The invention is further directed to a method of filling a high aspect
ratio via which is less than about 0J 6 μm in diameter with a TaNx film from a
TaBr5 precursor on a substrate without a carrier gas. The temperature of the precursor is elevated sufficient to produce a tantalum vapor. The vapor is
combined with a process gas containing nitrogen and TaNx is deposited in the
feature by a thermal chemical vapor deposition (thermal CVD) process. The
deposition is halted to plasma treat the film surface, then deposition is resumed.
The plasma treatments are performed at regular intervals in the thermal CVD
process until a desired film thickness is obtained.
The fiims deposited by the method of the invention can completely
fill a feature and eliminate the need for a copper deposition step. The films are
deposited at temperature less than about 500°C, thereby protecting the integrity
of the underlying material. The films have a cracking threshold greater than 2000
A, have sufficiently low electrical resistivities, have 1 00% conformality in high
aspect ratio features, and show no attack or corrosion of integral copper films.
The films have minimal impurities and are good barriers to copper diffusion. The
films can be deposited at a rate sufficient for throughput considerations. It will
be appreciated that the disclosed method and substrates of the invention have an
array of applications. These and other advantages will be further understood with
reference to the following drawings and detailed description.
Brief Description of the Drawings
FIG. 1 is a schematic of an apparatus for plasma treated thermal
chemical vapor deposition (PTTCVD) .
FIG. 2 is a graph of vapor pressure versus temperature for tantalum
(Ta) halides. O 00/65126
-8-
FIG. 3 is a schematic representation of a structure fabricated using
standard plug fills.
FIG. 4 is a scanning electron micrograph (SEM) image of a plug fill
by TaNx deposited by TaF5 based thermal CVD.
FIG. 5 is a SEM image of a plug fill by TaNx deposited by TaF5 based
plasma treated thermal CVD (PTTCVD).
FIG. 6 is a SEM image of a plug fill by TaNx deposited by TaBr5 based
thermal CVD.
FIG. 7 is a SEM image of a plug fill by TaNx deposited by TaBr5 based
PTTCVD.
FIG . 8 is a SEM image of a 1 1 50 A TaF5 based CVD TaNx film.
FIG. 9 is a SEM image of a 3700 A TaCI5 based CVD TaNx film.
FIG. 1 0 is a SEM image of a 1 350 A TaBr5 based CVD TaNx film.
FIG. 1 1 is a SEM image of TaF5 based CVD Ta/TaNx film deposited
on a copper (Cu) layer.
FIG. 1 2 is a SEM image of TaCI5 based CVD TaNx film deposited on
a Cu layer.
FIG. 1 3 is a SEM image of TaBr5 based CVD Ta/TaNx film deposited
on a Cu layer.
FIG . 1 4 is an Auger spectrum of a TaBr5 based CVD TaNx film
deposited on a Cu layer. -9-
Detailed Description
Refractory transition metals such as tantalum (Ta) and their nitride
films (TaN) are effective diffusion barriers to copper (Cu) . Their effectiveness is
due to their high thermal stability, high conductivity and resistance to diffusion
of foreign elements or impurities. Ta and TaN are especially attractive due to
their chemical inertness with Cu; no compounds form between Cu and Ta or Cu
and N.
Tantalum halides provide a convenient inorganic source for Ta and
TaN. Specifically, the inorganic precursor is a tantalum pentahalide (TaX5) where
X represents the halides fluorine (F), chlorine (Cl) and bromine (Br). Table 1
shows relevant thermodynamic properties of the tantalum halide precursors,
specifically tantalum pentafluoride (TaF5), tantalum pentachloride (TaCI5) and
tantalum bromide (TaBr5), with tantalum pentaiodide (Tal5) included for
comparison. The TaF5, TaCI5 and TaBr5 precursor materials are all solids at room
temperature ( 1 8 °C-22 °C).
Table 1
Figure imgf000011_0001
In chemical vapor deposition (CVD) processes, gas precursors are
activated using either thermal energy or electrical energy. Upon activation, the
gas precursors react chemically to form a film. A preferred method of CVD is
illustrated in FIG 1 and is disclosed in a copending application entitled
APPARATUS AND METHODS FOR DELIVERY OF VAPOR FROM SOLID SOURCES
TO A CVD CHAMBER by Westendorp et al. filed on the same date as the present
application and assigned to Tokyo Electron Limited and incorporated by reference
herein in its entirety. A chemical vapor deposition (CVD) system 1 0 includes a
CVD reaction chamber 1 1 and a precursor delivery system 1 2. In the
reaction chamber, a reaction is carried out to convert a precursor gas of, for
example, tantalum chloride (TaCI) or other tantalum halide compound, into a film
such as a barrier layer film of tantalum (Ta) or tantalum nitride (TaN) . The TaN
film is not limited to any particular stoichiometry (TaNx). Thus, as used herein,
TaNx encompasses a tantalum nitride film of any stoichiometry.
The precursor delivery system 12 includes a source 13 of precursor
gas having a gas outlet 14, which communicates through a metering system 1 5
with a gas inlet 1 6 to the CVD reaction chamber 1 1 . The source 1 3 generates
a precursor gas, for example a tantalum halide vapor, from a tantalum halide
compound. The compound is one that is in a solid state when at standard
temperature and pressure. The precursor source is maintained, preferably by
controlled heating, at a temperature that will produce a desired vapor pressure of
precursor. Preferably, the vapor pressure is one that is itself sufficient to deliver the precursor vapor to the reaction chamber, preferably without the use of a
carrier gas. The metering system 1 5 maintains a flow of the precursor gas vapor
from the source 1 3 into the reaction chamber at a rate that is sufficient to
maintain a commercially viable CVD process in the reaction chamber.
The reaction chamber 1 1 is a generally conventional CVD reactor and
includes a vacuum chamber 20 that is bounded by a vacuum tight chamber
wall 21 . In the chamber 20 is situated a substrate support or susceptor 22 on
which a substrate such as a semiconductor wafer 23 is supported. The
chamber 20 is maintained at a vacuum appropriate for the performance of a CVD
reaction that will deposit a film such as a Ta/TaNx barrier layer on the
semiconductor wafer substrate 23. A preferred pressure range for the CVD
reaction chamber 1 1 is in the range of from 0.2 to 5.0 Torr. The vacuum is
maintained by controlled operation of a vacuum pump 24 and of inlet gas sources
25 that include the delivery system 12 and may also include reducing gas sources
26 of, for example, hydrogen (H2), nitrogen (N2) or ammonia (NH3) for use in
carrying out a tantalum reduction reaction, and an inert gas source 27 for a gas
such as argon (Ar) or helium (He). The gases from the sources 25 enter the
chamber 20 through a showerhead 28 that is situated at one end of the
chamber 20 opposite the substrate 23, generally parallel to and facing the
substrate 23.
The precursor gas source 1 3 includes a sealed evaporator 30 that
includes a cylindrical evaporation vessel 31 having a vertically oriented axis 32. The vessel 31 is bounded by a cylindrical wall 33 formed of a high temperature
tolerant and non-corrosive material such as the alloy INCONEL 600, the inside
surface 34 of which is highly polished and smooth. The wall 33 has a flat circular
closed bottom 35 and an open top, which is sealed by a cover 36 of the same
heat tolerant and non-corrosive material as the wall 33. The outlet 14 of the
source 1 3 is situated in the cover 36. When high temperatures are used, such
as with Til4 or TaBr5, the cover 36 is sealed to a flange ring 37 that is integral to
the top of the wall 33 by a high temperature tolerant vacuum compatible metal
seal 38 such as a HELICOFLEX seal, which is formed of a C-shaped nickel tube
surrounding an INCONEL coil spring. With materials requiring lower temperatures,
such as TaCI5 and TaF5, a conventional elastomeric O-ring seal may be used to
seal the cover.
Connected to the vessel 31 through the cover 36 is a source 39 of
a carrier gas, which is preferably an inert gas such as He or Ar. The source 1 3
includes a mass of precursor material such as tantalum fluoride, chloride or
bromide (TaX), preferably as the pentahalide (TaX5), at the bottom of the
vessel 31 , which is loaded into the vessel 31 at standard temperature and
pressure in a solid state. The vessel 31 is filled with tantalum halide vapor by
sealing the chamber with the solid mass of Tax therein. The halide is supplied as
a precursor mass 40 that is placed at the bottom of the vessel 31 , where it is
heated, preferably to a liquid state as long as the resulting vapor pressure is in an
acceptable range. Where the mass 40 is liquid, the vapor lies above the level of -1 3-
the liquid mass 40. Because wall 33 is a vertical cylinder, the surface area of
TaX mass 40, if a liquid, remains constant regardless of the level of depletion of
the TaX.
The delivery system 1 2 is not limited to direct delivery of a
precursor 40 but can be used in the alternative for delivery of precursor 40 along
with a carrier gas, which can be introduced into the vessel 31 from gas
source 39. Such a gas may be hydrogen (H2) or an inert gas such as helium (He)
or argon (Ar) . Where a carrier gas is used, it may be introduced into the
vessel 31 so as to distribute across the top surface of the precursor mass 40 or
may be introduced into the vessel 31 so as to percolate through the mass 40
from the bottom 35 of the vessel 31 with upward diffusion in order to achieve
maximum surface area exposure of the mass 40 to the carrier gas. Yet another
alternative is to vaporize a liquid that is in the vessel 31 . However, such
alternatives add undesired particulates and do not provide the controlled delivery
rate achieved by the direct delivery of the precursor, that is, delivery without the
use of a carrier gas. Therefore, direct delivery of the precursor is preferred.
To maintain the temperature of the precursor 40 in the vessel 31 ,
the bottom 35 of the wall 33 is maintained in thermal communication with a
heater 44, which maintains the precursor 40 at a controlled temperature,
preferably above its melting point, that will produce a vapor pressure greater than
about 3 Torr in the absence of a carrier gas (i.e., a direct delivery system), and
a lower vapor pressure such as about 1 Torr when a carrier gas is used. The 00/65126
-14-
exact vapor pressure depends upon other variables such as the quantity of carrier
gas the surface area of the substrate and so on. In a direct system for tantalum,
a vapor pressure can be maintained at the preferred pressure of 5 Torr or above
by heating the a tantalum halide precursor in the 95 °C to 205 °C range as shown
in FIG. 2. For TaX5 the desired temperature is at least about 95 ° C for TaF5, the
desired temperature is at least about 1 45 °C for TaCI5, and the desired
temperature is at least about 205 °C for TaBr5. The melting points of the
respective fluoride, chloride and bromide tantalum pentahalide compounds are in
the 97 ° C to 265 ° C range. A much higher temperature is required for tantalum
pentaiodide (Tal5) to produce a sufficient vapor pressure in the vessel 31 .
Temperatures should not be so high as to cause premature reaction of the gases
in the showerhead 28 or otherwise before contacting the wafer 23.
For purposes of example, a temperature of 1 80 °C is assumed to be
the control temperature for the heating of the bottom 35 of the vessel 31 . This
temperature is appropriate for producing a desired vapor pressure with a titanium
tetraiodide (Til4) precursor. Given this temperature at the bottom 35 of the
vessel 31 , to prevent condensation of the precursor vapor on the walls 33 and
cover 36 of the vessel 31 , the cover is maintained at a higher temperature than
the heater 44 at the bottom 35 of the wall 33 of, for example, 1 90°C, by a
separately controlled heater 45 that is in thermal contact with the outside of the
cover 36. The sides of the chamber wall 33 are surrounded by an annular
trapped air space 46, which is contained between the chamber wall 33 and a surrounding concentric outer aluminum wall or can 47. The can 47 is further
surrounded by an annular layer of silicon foam insulation 48. This temperature
maintaining arrangement maintains the vapor in a volume of the vessel 31
bounded by the cover 36, the sides of the walls 33 and the surface 42 of the
precursor mass 40 in the desired example temperature range of between 1 80°C
and 1 90 °C and the pressure greater than about 3 Torr, preferably at greater than
5 Torr. The temperature that is appropriate to maintain the desired pressure will
vary with the precursor material, which is primarily contemplated as a being
tantalum or titanium haiide compound.
The vapor flow metering system 1 5 includes a delivery tube 50 of
at least Vi inch in diameter, or at least 1 0 millimeters inside diameter, and
preferably larger so as to provide no appreciable pressure drop at the flow rate
desired, which is at least approximately 2 to 40 standard cubic centimeters per
minute (seem) . The tube 50 extends from the precursor gas source 1 3 to which
it connects at its upstream end to the outlet 14, to the reaction chamber to which
it connects at its downstream end to the inlet 1 6. The entire length of the
tube 50 from the evaporator outlet 14 to the reactor inlet 1 6 and the showerhead
28 of the reactor chamber 20 are also preferably heated to above the evaporation
temperature of the precursor material 40, for example, to 1 95 °C.
In the tube 50 is provided baffle plate 51 in which is centered a
circular orifice 52, which preferably has a diameter of approximately 0.089
inches. The pressure drop from gauge 1 56 to gauge 2 57 is regulated by control valve 53. This pressure drop after control valve 53 through orifice 52 and into
reaction chamber 1 1 is greater than about 10 milliTorr and will be proportional to
the flow rate. A shut-off valve 54 is provided in the line 50 between the
outlet 14 of the evaporator 1 3 and the control valve 53 to close the vessel 31 of
the evaporator 1 3.
Pressure sensors 55-58 are provided in the system 1 0 to provide
information to a controller 60 for use in controlling the system 1 0, including
controlling the flow rate of precursor gas from the delivery system 1 5 into the
chamber 20 of the CVD reaction chamber. The pressure sensors include
sensor 55 connected to the tube 50 between the outlet 14 of the evaporator 1 3
and the shut-off valve 54 to monitor the pressure in the evaporation vessel 31 .
A pressure sensor 56 is connected to the tube 50 between the control valve 53
and the baffle 51 to monitor the pressure upstream of the orifice 52, while a
pressure sensor 57 is connected to the tube 50 between the baffle 51 and the
reactor inlet 1 6 to monitor the pressure downstream of the orifice 52. A further
pressure sensor 58 is connected to the chamber 20 of the reaction chamber to
monitor the pressure in the CVD chamber 20.
Control of the flow of precursor vapor into the CVD chamber 20 of
the reaction chamber is achieved by the controller 60 in response to the pressures
sensed by the sensors 55-58, particularly the sensors 56 and 57 which determine
the pressure drop across the orifice 52. When the conditions are such that the
flow of precursor vapor through the orifice 52 is unchoked flow, the actual flow -1 7- of precursor vapor through the tube 52 is a function of the pressures monitored
by pressure sensors 56 and 57, and can be determined from the ratio of the
pressure measured by sensor 56 on the upstream side of the orifice 52, to the
pressure measured by sensor 57 on the downstream side of the orifice 52.
When the conditions are such that the flow of precursor vapor
through the orifice 52 is choked flow, the actual flow of precursor vapor through
the tube 52 is a function of only the pressure monitored by pressure sensor 57.
In either case, the existence of choked or unchoked flow can be determined by
the controller 60 by interpreting the process conditions. When the determination
is made by the controller 60, the flow rate of precursor gas can be determined by
the controller 60 through calculation.
Preferably, accurate determination of the actual flow rate of
precursor gas is calculated by retrieving flow rate data from lookup or multiplier
tables stored in a non-volatile memory 61 accessible by the controller 60. When
the actual flow rate of the precursor vapor is determined, the desired flow rate
can be maintained by a closed loop feedback control of one or more of the
variable orifice control valve 53, the CVD chamber pressure through evacuation
pump 24 or control of reducing or inert gases from sources 26 and 27, or by
control of the temperature and vapor pressure of the precursor gas in vessel 31
by control of heaters 44, 45.
As shown in FIG. 1 , the solid TaF5, TaCI5 and TaBr5 precursor
material 40 is sealed in a cylindrical corrosion resistant metal vessel 31 that maximizes the available surface area of the precursor material. Vapor from either
TaF5, TaCI5 or TaBr5 was delivered directly, that is, without the use of a carrier
gas, by a high conductance delivery system into a reaction chamber 1 1 . The
reaction chamber 1 1 was heated to a temperature of at least about 1 00° C to
prevent condensation of vapor or deposition by-products.
The controlled direct delivery of tantalum halide vapor into the
reaction chamber 1 1 was accomplished by heating the solid tantalum halide
precursor 40 to a temperature in the range of about 95 ° C-205 °C, the choice
depending upon the particular precursor. The temperature was sufficient to
vaporize the precursor 40 to provide a vapor pressure to deliver the tantalum
halide vapor to the reaction chamber 1 1 . Thus, a carrier gas was not necessary
and preferably was not used. A sufficient vapor pressure was in the range of
about 3-10 Torr. This pressure was required to maintain a constant pressure drop
across a defined orifice in a high conductance delivery system while delivering up
to about 50 seem tantalum halide precursor to a reaction chamber 1 1 operating
in the range of about OJ -2.0 Torr. The temperatures to obtain the desired
pressures in a direct delivery system were in the range of about 83 °C-95 ° C and
preferably about 95 °C with TaF5, in the range of about 1 30°C-1 50°C and
preferably about 1 45 °C with TaCI5, and in the range of about 202 °C-21 8 °C and
preferably about 205 °C with TaBr5. Under these conditions, TaF5 is a liquid while
TaCI5 and TaBr5 remain solid. FIG. 2 shows the relationship between the measured vapor pressure
and temperature for the precursors TaF5, TaCI5 and TaBr5, with Tal5 included for
comparison. As previously stated, the desired pressure was greater than about
3 Torr and preferably greater than 5 Torr. Also as previously stated, the vapor
pressure for TaF5, TaCI5 and TaBr5 was desirably low enough to be able to deposit
tantalum in the absence of a carrier gas but yet sufficient to maintain a constant
pressure drop across a defined orifice in a high conductance delivery system and
still be able to deliver up to 50 sscm TaX5 to a reaction chamber 1 1 operating at
0.1 -2.0 Torr. The vapor pressure for Tal5 was determined to be too low for
practical implementation in the described apparatus. For TaBr5 the open circles
represent published values, while closed squares for TaBr5, TaF5, TaCI5 and Tal5
represent the inventors' experimental data.
A parallel plate RF discharge was used where the driven electrode
was the gas delivery showerhead and the susceptor 22 or stage for the wafer or
substrate 23 was the RF ground. The selected TaX5 vapor was combined with
other process gases such as H2 above the substrate, which had been heated to
a temperature between about 300 °C-500 ° C. Ar and He could also be used,
either singularly or in combination, as process gases in addition to H2.
The thermal CVD is stopped at regular intervals to plasma treat the
film surface. The flow of tantalum halide precursor gas and process gas is turned
off or is directed around the reaction chamber 1 1 and a plasma treatment is then
performed on the surface of the film. For the plasma treatment a parallel plate RF -20- discharge is used where the driven electrode is the gas delivery showerhead and
the wafer stage is the RF ground. H2 was used to plasma treat the film at a flow
of 7 slm, after which thermal CVD was resumed. The depositing, plasma treating
and resumed depositing steps continued until the desired film thickness was
obtained. The plasma treatment of films deposited by thermal CVD, that is, the
plasma treated thermal CVD (PTTCVD) process, could decrease the film's
electrical resistivity by a factor of greater than ten thousand. In addition,
PTTCVD improves the film's morphology from a relatively rough structure to a
smooth dense film.
Process conditions for deposition of good quality PTTCVD TaNx films
are given in Table 2.
Table 2
Figure imgf000022_0001
Typical initial film results for TaNx films deposited by thermal CVD
are given in Table 3. Depositions were on 200 mm Si and SiO2 substrates. The properties of the deposited TaNx films as listed in Table 3 were uniform within
plus or minus 20% across the wafer.
Table 3
Figure imgf000024_0001
-23- Typical initial film results for TaNx fiims deposited by PTTCVD are
given in Table 4. Depositions were on 200 mm Si and SiO2 substrates. The
properties of the deposited TaNx films as listed in Table 4 were uniform within
plus or minus 20% across the wafer.
Table 4
Figure imgf000026_0001
As shown in Table 4, the results of the initial tests indicate that
plasma treatment of the TaNx film deposited by thermal CVD makes this
process potentially viable for TaBr5 and TaF5 based TaNx films. The TaCI5
based film is expected to perform similarly because the TaCI5 based films had
properties that were effectively between the TaBr5 and TaF5 based TaNx films.
The improvement of TaNx electrical resistivity by application of
the H2 plasma can be seen in Table 4. Resistivities of the films that did not
undergo the plasma treatment were high, greater than 1 X1 07 μΩcm, which
was the limit of the measurement tool. As thinner layers of TaNx films
deposited by thermal CVD were treated by the hydrogen RF discharge, lower
resistivities were obtained. The electrical resistivity of the PTTCVD TaF5 based
film decreased from greater than 1 X1 07 μΩcm in the untreated state to
3600 μΩcm when a 70 A thick TaNx film per cycle was subjected to plasma
treatment. The resistance further decreased to 1 100 μΩcm when a 45 A thick
TaNx film per cycle was subjected to plasma treatment. Similarly, the
electrical resistivity of the PTTCVD TaBr5 based film decreased from greater
than 1 X 1 07 μΩcm for untreated fiims to 32,000 μΩcm when a 1 05 A TaNx
film per cycle was subjected to plasma treatment. A further decrease to 5800
μΩcm was obtained when a 20 A thick TaNx film per cycle was subjected to
plasma treatment. A TaNx film deposited using a TaCI5 precursor would be
expected to perform similarly since other TaNx based films had properties that
were effectively between TaF5 and TaBr5 precursors.
The H2 plasma treatment process appeared to cause a
fundamental change in the electrical and/or morphological properties of the TaNx fiims. Plasma treatment times in the range of between 1 0 seconds and
240 seconds have been evaluated. It has been determined that, within this
range, longer treatment times yield films with lower resistivities for the
material. The microstructure of the TaNx film also changed from a rough to a
smooth surface with the cycled deposition and plasma treatment.
For a plug fill application, seamless fill of the structure requires
a nearly perfect conformality and a 100% step coverage. A conformal fiim is
one that exactly reproduces the surface topography of the underlying
substrate. A seamless fiim is one that contains no cracks. The step coverage
represents the film thickness on the bottom of the feature divided by the film
thickness on the surface of the substrate adjacent the feature, also called the
field. An ideal step coverage is 1 .0 or 1 00%, representing identical thickness
on the bottom as on the field. As shown in FIG. 4 and FIG. 5 for TaF5 based
TaNx films, and FIG. 6 and FIG. 7 for TaBr5 based TaNx films, the thermal CVD
and PTTCVD TaNx processes using these tantalum halide precursors meet
these criteria. TaCI5 based fiims would be expected to exhibit the same
desired conformality and step coverage as the TaF5 and TaBr5 precursors since
all of the other measured properties appeared very similar.
With reference to FIGS. 8-10, thick TaNx films deposited by CVD
are shown. FIG. 8 is a scanning electron micrograph (SEM) image of a 1 1 50
A thick crack-free TaF5 based CVD TaNx fiim. FIG. 9 is a SEM image of a
3700 A thick crack-free TaCI5 based CVD TaNx film. FIG. 10 is a SEM image
of a 1 350 A crack-free TaBr5 based CVD TaNx film. A continuous, completely
conformal film with no cracks is required for a good piug fill. Cracking would be problematic for adhesion of the film to the underlying layers, to prevent
flaking off of the film that would compromise subsequent processes. Cracking
would also be problematic because it would be expected to increase the
electrical resistivity of the plug. As shown in each of FIGS. 8-1 0, each film
from the three precursors were free of cracks.
The compatibility of the precursor chemistries of the TaNx plug
fills of the present invention with copper was determined. Since in practice
the TaNx film will be integral, that is, in direct contact with copper, little or no
attack or etching of the copper should take place during TaNx deposition. TaNx
compatibility with copper was tested by placing a Si wafer containing a 500
A layer of TaNx deposited by PVD and a 2000 A layer of copper deposited by
PVD into the deposition reaction chamber 1 1 . A TaNλ film was deposited by
CVD on top of the copper layer using the process of the invention with either
a TaF5 or TaCI5 precursor.
Photographs of SEM of the resulting films are shown in
FIGS. 1 1 -1 3. FIG. 1 1 shows a TaF5 based Ta/TaNx film deposited directly on
the Cu surface. FIG. 1 2 shows a TaCI5 based TaNx film on deposited directly
on the Cu surface. FIG. 1 3 shows a TaBr5 based Ta/TaNx fiim deposited
directly on the Cu surface. For each of FIGS. 1 1 -1 3, the tantalum pentahalide
based Ta and TaNx based films deposited directly on the Cu layer showed no
visible evidence of etching or attack of Cu.
With reference to FIG. 14, a TaBr5 based TaNx film deposited by
thermal CVD directly on a Cu layer was analyzed by Auger analysis. The
Auger spectrum confirms a clean interface between the TaNxfilm and the- other layers. FIG. 14 indicates that the thermal TaNx film is nitrogen rich (x > 1 .0),
which was consistent with the results shown in Table 3. Nitrogen rich TaNx
films (x > 1 ) are expected to have a relatively high electrical resistivity. FIG.
14 also shows a good sharp interface between the TaNx layer and Cu, which
suggests little or no attack of the Cu surface during TaNx deposition. The
bromide concentration was determined to be less than 2 atomic percent.
Copper diffusion barrier properties of the resulting TaNx films are
expected to be good. One contributing factor may be the nitrogen rich
process, since this is known to improve barrier performance. Another factor
may be the generally amorphous structure of the material, since it is known
that an amorphous material, defined as having a low fraction of crystalline
structure, provides a better barrier.
Therefore, a method of producing high quality PTTCVD TaNx films
suitable for integration with IC interconnect elements that contain Cu has been
demonstrated. The method is based on the vapor delivery of either TaF5, TaCI5
or TaBr5 precursors. All of the resulting TaNx fiims demonstrated excellent
step coverage, low residual impurity concentrations, sufficiently high
deposition rates and no signs of TaNx etching of Cu. The introduction of a H2
RF plasma treatment between thermal CVD cycles resulted in a greater than
ten thousand times reduction in the electrical resistivity of the TaNx film. The
H2 RF plasma treatment also significantly improved the microstructure of the
film with no change in step coverage. The TaF5 based fiims initially appear to
be the most promising due to their lower resistivities and smoother
microstructure. -29-
it should be understood that the embodiments of the present
invention shown and described in the specification are only preferred
embodiments of the inventors who are skilled in the art and are not limiting in
any way. For example, Ta films may be deposited by PECVD, and TaN films
may be deposited by either thermal CVD, PECVD, or plasma treated thermal
CVD as disclosed in, respectively, PECVD OF Ta FILMS FROM TANTALUM
HALIDE PRECURSORS, THERMAL CVD OF TaN FILMS FROM TANTALUM
HALIDE PRECURSORS, PECVD OF TaN FILMS FROM TANTALUM HALIDE
PRECURSORS, and PLASMA TREATED THERMAL CVD OF TaN FILMS FROM
TANTALUM HALIDE PRECURSORS, all of which are invented by Hautala and
Westendorp, assigned to Tokyo Electron Limited, are copending applications
filed on the same date as the present application and are expressly
incorporated by reference herein in their entirety. As another example, TiN
from titanium halide precursors deposited by CVD can be used for plug
formation as disclosed in the copending application entitled CVD TiN PLUG
FORMATION FROM TITANIUM HALIDE PRECURSORS, invented by Hautala et
al., assigned to Tokyo Electron Limited, filed on the same date as the present
application and expressly incorporated by reference herein in its entirety.
Furthermore, Ta/TaNx bilayers may be deposited by CVD as disclosed in the
copending application CVD INTEGRATED Ta AND TaNx FILMS FROM
TANTALUM HALIDE PRECURSORS, invented by Hautala and Westendorp,
assigned to Tokyo Electron Limited, filed on the same date as the present
application and expressly incorporated by reference herein in its entirety.
Therefore, various changes, modifications or alterations to these embodiments may be made or resorted to without departing from the spirit of the invention
and the scope of the following claims.
What is claimed is:

Claims

1 . A method of filling a feature in a substrate comprising depositing
a tantalum nitride (TaNx) fiim in said feature by providing a vapor of a tantalum
halide precursor to a reaction chamber containing said substrate by heating
said precursor to a temperature sufficient to vaporize said precursor, then
combining said vapor with a process gas containing nitrogen, depositing said
TaNx film in said feature by a thermal chemical vapor deposition (CVD) process
and plasma treating said deposited TaNx.
2. The method of claim 1 further comprising repeating said
depositing by thermal CVD and said plasma treating to produce a desired
thickness of said film in said feature.
3. The method of claim 1 wherein said tantalum halide precursor is
selected from the group consisting of tantalum fluoride, tantaium chloride and
tantalum bromide.
4. The method of claim 1 wherein said providing of said vapor
includes producing said vapor at a pressure of at least about 3 Torr.
5. The method of claim 1 wherein said precursor is tantalum
pentafluoride and said temperature is about 95 °C.
6. The method of claim 1 wherein said precursor is tantalum
pentachloride and said temperature is about 145 ° C.
7. The method of claim 1 wherein said precursor is tantalum
pentabromide and said temperature is about 205 °C.
8. The method of claim 1 wherein said heating of said precursor is
to a temperature sufficient to provide a vapor pressure of said tantalum halide
precursor of at least 3 Torr.
9. The method of claim 1 wherein said feature has an aspect ratio
greater than 8.0.
1 0. The method of claim 1 wherein said feature has a diameter less
than about 0.1 6 μm.
1 1 . The method of claim 1 wherein said substrate is heated to a
temperature in the range of about 300-500°C.
1 2. The method of claim 1 wherein said precursor is provided at a
rate in the range of about 1 -50 seem.
1 3. The method of claim 1 wherein said nitrogen containing gas is
ammonia.
1 4. The method of ciaim 1 3 wherein said ammonia is at a flow rate
in the range of about 0J -5.0 slm. 00/65126
-33- 1 5. The method of claim 1 wherein said process gas is selected from
the group consisting of hydrogen, nitrogen, argon, helium and combinations
thereof.
1 6. The method of claim 1 wherein said depositing occurs at a
pressure of said chamber in the range of about 0.2-5.0 Torr.
1 7. The method of claim 1 wherein said fiim is integral with a copper
layer of said substrate.
1 8. The method of claim 1 wherein said depositing is stopped prior
to beginning said plasma treatment.
1 9. The method of claim 1 8 wherein said depositing is stopped by
halting a flow of said precursor gas and said process gas in said chamber.
20. The method of claim 1 8 wherein said depositing is stopped by
redirecting a flow of said precursor gas and said process gas in said chamber.
21 . The method of claim 1 wherein said plasma treatment is
generated by a radiofrequency energy source.
22. The method of claim 1 wherein a hydrogen gas is used for said
plasma treatment.
23. The method of claim 1 wherein said tantalum haiide precursor is
delivered to said reaction chamber without a carrier gas.
24. The method of claim 1 further comprising depositing and treating
said TaNx film sequentially with a tantalum film.
25. A method of filling a feature in a substrate comprising providing
a vapor of a tantalum halide precursor selected from the group consisting of
tantalum fluoride and tantalum chloride to a reaction chamber containing said
substrate by elevating a temperature of said precursor sufficient to produce a
vapor of said precursor to provide a pressure to deliver a tantalum vapor,
combining said vapor with a process gas containing nitrogen, depositing a
tantalum nitride (TaNx) fiim in said feature by a thermal chemical vapor
deposition (CVD) process and plasma treating said deposited TaNx fiim.
26. The method of claim 25 further comprising repeating said
depositing by thermal CVD and said plasma treating to produce a desired
thickness of said film in said feature.
27. The method of claim 25 wherein said elevated temperature is less
than a temperature that would cause a reaction between said precursor vapor
and said process gas.
28. The method of claim 25 wherein said pressure to deliver said
tantalum vapor is at least about 3 Torr.
29. The method of claim 25 wherein said precursor is tantalum
pentafluoride and said temperature is about 95 °C.
30. The method of claim 25 wherein said precursor is tantalum
pentachloride and said temperature is about 1 45 °C.
31 . The method of claim 25 wherein said thermal CVD is stopped
prior to beginning said plasma treatment.
32. A method of filling a feature in a substrate comprising providing
a vapor of a tantalum pentabromide precursor to a reaction chamber containing
said substrate without a carrier gas by elevating a temperature of said
precursor sufficient to produce a vapor of said precursor, combining said vapor
with a process gas containing nitrogen, depositing a tantalum nitride (TaNx)
film in said feature by a thermal chemical vapor deposition (CVD) process and
plasma treating said deposited TaNx film.
33. The method of claim 32 wherein said precursor is tantalum
pentabromide and said temperature is in the range of about 1 90° to about
208 ° C.
34. The method of claim 33 wherein said precursor is tantalum
pentabromide and said temperature is about 205 °C.
35. The method of claim 32 further comprising repeating said
depositing by thermal CVD and said plasma treating to produce a desired
thickness of said film in said feature.
PCT/US2000/011281 1999-04-27 2000-04-26 Cvd tantalum nitride plug formation from tantalum halide precursors WO2000065126A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2000613856A JP4763894B2 (en) 1999-04-27 2000-04-26 Formation of CVD tantalum nitride plugs from tantalum halide precursors.
KR1020017013565A KR100668903B1 (en) 1999-04-27 2000-04-26 Cvd tantalum nitride plug formation from tantalum halide precursors

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US30064799A 1999-04-27 1999-04-27
US09/300,647 1999-04-27

Publications (2)

Publication Number Publication Date
WO2000065126A1 true WO2000065126A1 (en) 2000-11-02
WO2000065126A9 WO2000065126A9 (en) 2002-03-14

Family

ID=23159994

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2000/011281 WO2000065126A1 (en) 1999-04-27 2000-04-26 Cvd tantalum nitride plug formation from tantalum halide precursors

Country Status (4)

Country Link
JP (1) JP4763894B2 (en)
KR (1) KR100668903B1 (en)
TW (1) TW593733B (en)
WO (1) WO2000065126A1 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003203878A (en) * 2001-10-24 2003-07-18 Tokyo Electron Ltd Method for improving adhesion and durability of cvd tantalum and tantalum nitride modulated film by plasma treatment
KR100449782B1 (en) * 2001-07-19 2004-09-22 삼성전자주식회사 Method of depositing an atomic layer, and method of depositing a thin layer and a metal layer using the same
US7094680B2 (en) * 2001-02-02 2006-08-22 Applied Materials, Inc. Formation of a tantalum-nitride layer

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0818560A2 (en) * 1996-07-09 1998-01-14 Applied Materials, Inc. Construction of a film on a semiconductor wafer
EP0869544A2 (en) * 1997-03-31 1998-10-07 Motorola, Inc. Method for depositing a diffusion barrier

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06349774A (en) * 1993-06-08 1994-12-22 Sony Corp Method of forming buried plug
JP3027946B2 (en) * 1997-01-24 2000-04-04 日本電気株式会社 Semiconductor device and manufacturing method thereof
TW380308B (en) * 1997-07-03 2000-01-21 Motorola Inc Semiconductor device and a process for forming the device
JP3129251B2 (en) * 1997-09-19 2001-01-29 日本電気株式会社 Contact plug formation method

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0818560A2 (en) * 1996-07-09 1998-01-14 Applied Materials, Inc. Construction of a film on a semiconductor wafer
EP0869544A2 (en) * 1997-03-31 1998-10-07 Motorola, Inc. Method for depositing a diffusion barrier

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
HIROSHI FUNAKUBO ET AL: "PREPARATION OF TANX-TIN FILMS BY CVD", JOURNAL OF THE CERAMIC SOCIETY OF JAPAN, INTERNATIONAL EDITION,JP,FUJI TECHNOLOGY PRESS, TOKYO, vol. 98, no. 2, 1 February 1990 (1990-02-01), pages 173 - 178, XP000162310, ISSN: 0912-9200 *
KALOYEROS A E ET AL: "Tantalum nitride films grown by inorganic low temperature thermal chemical vapor deposition-diffusion barrier properties in copper metallization", JOURNAL OF THE ELECTROCHEMICAL SOCIETY, JAN. 1999, ELECTROCHEM. SOC, USA, vol. 146, no. 1, pages 170 - 176, XP002145088, ISSN: 0013-4651 *

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7094680B2 (en) * 2001-02-02 2006-08-22 Applied Materials, Inc. Formation of a tantalum-nitride layer
KR100449782B1 (en) * 2001-07-19 2004-09-22 삼성전자주식회사 Method of depositing an atomic layer, and method of depositing a thin layer and a metal layer using the same
JP2003203878A (en) * 2001-10-24 2003-07-18 Tokyo Electron Ltd Method for improving adhesion and durability of cvd tantalum and tantalum nitride modulated film by plasma treatment
JP4544817B2 (en) * 2001-10-24 2010-09-15 東京エレクトロン株式会社 Method for improving adhesion and durability of CVD tantalum and tantalum nitride controlled films by plasma treatment

Also Published As

Publication number Publication date
JP2002543580A (en) 2002-12-17
TW593733B (en) 2004-06-21
WO2000065126A9 (en) 2002-03-14
JP4763894B2 (en) 2011-08-31
KR20020010612A (en) 2002-02-04
KR100668903B1 (en) 2007-01-12

Similar Documents

Publication Publication Date Title
EP1192292B1 (en) Plasma treatment of thermal cvd tan films from tantalum halide precursors
EP1185722B1 (en) Pecvd of tan films from tantalum halide precursors
US6410433B1 (en) Thermal CVD of TaN films from tantalum halide precursors
EP1192293B1 (en) CVD OF INTEGRATED Ta AND TaNx FILMS FROM TANTALUM HALIDE PRECURSORS
US7244683B2 (en) Integration of ALD/CVD barriers with porous low k materials
US7494908B2 (en) Apparatus for integration of barrier layer and seed layer
WO2002058115A2 (en) Method for deposit copper on metal films
US20030211736A1 (en) Method for depositing tantalum silicide films by thermal chemical vapor deposition
US6413860B1 (en) PECVD of Ta films from tanatalum halide precursors
WO2000065649A1 (en) CVD TiN PLUG FORMATION FROM TITANIUM HALIDE PRECURSORS
WO2000065126A1 (en) Cvd tantalum nitride plug formation from tantalum halide precursors

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): CN JP KR SG

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE

121 Ep: the epo has been informed by wipo that ep was designated in this application
DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
WWE Wipo information: entry into national phase

Ref document number: 1020017013565

Country of ref document: KR

ENP Entry into the national phase

Ref country code: JP

Ref document number: 2000 613856

Kind code of ref document: A

Format of ref document f/p: F

WWP Wipo information: published in national office

Ref document number: 1020017013565

Country of ref document: KR

AK Designated states

Kind code of ref document: C2

Designated state(s): CN JP KR SG

AL Designated countries for regional patents

Kind code of ref document: C2

Designated state(s): AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE

COP Corrected version of pamphlet

Free format text: PAGES 1/8-8/8, DRAWINGS, REPLACED BY NEW PAGES 1/8-8/8; DUE TO LATE TRANSMITTAL BY THE RECEIVING OFFICE

122 Ep: pct application non-entry in european phase
WWG Wipo information: grant in national office

Ref document number: 1020017013565

Country of ref document: KR