WO2000030159A1 - Method to decrease dishing rate during cmp in metal semiconductor structures - Google Patents

Method to decrease dishing rate during cmp in metal semiconductor structures Download PDF

Info

Publication number
WO2000030159A1
WO2000030159A1 PCT/US1999/027225 US9927225W WO0030159A1 WO 2000030159 A1 WO2000030159 A1 WO 2000030159A1 US 9927225 W US9927225 W US 9927225W WO 0030159 A1 WO0030159 A1 WO 0030159A1
Authority
WO
WIPO (PCT)
Prior art keywords
microns
roughness less
polishing
root
polishing pad
Prior art date
Application number
PCT/US1999/027225
Other languages
French (fr)
Inventor
Keith G. Pierce
Elizabeth A. Langlois
David Gettman
Vikas Sachan
Peter A. Burke
Original Assignee
Rodel Holdings, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Rodel Holdings, Inc. filed Critical Rodel Holdings, Inc.
Priority to KR1020017006256A priority Critical patent/KR20010093086A/en
Priority to EP99957571A priority patent/EP1147546A1/en
Priority to JP2000583074A priority patent/JP2002530861A/en
Publication of WO2000030159A1 publication Critical patent/WO2000030159A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/11Lapping tools

Definitions

  • CMP chemical-mechanical planarization
  • CMP Chemical/Mechanical Planarization
  • a barrier layer (typically composed of Ta, TaN, Ti, or TiN) exists between the metal and dielectric layers to inhibit migration of the metal film into the dielectric.
  • a barrier layer typically composed of Ta, TaN, Ti, or TiN
  • the preferential removal of the metal layer with respect to the barrier or dielectric layer is typically referred to as “dishing” or “recess”.
  • preferential removal of the dielectric layer with respect to it's initial film thickness or the metal layer is typically referred to as “erosion” or "oxide thinning".
  • polishing slurry One characteristic of the polishing process that can contribute to the unequal removal of different films within a semiconductor structure is the polishing slurry. Slurries containing different additives and abrasives, or exhibiting different chemical properties (i.e., pH), polish various films at different rates. For example, if a slurry removes the metal interconnect material faster than the dielectric material, the dishing or recess of the metal structure is possibly increased as a result. Additionally, the polishing process parameters (e.g., wafer downforce, platen rotational speed) can also have a strong influence on the final state of the semiconductor structure. The effect of pad characteristics on the quality of a polishing process has also received a significant amount of attention.
  • polishing slurry Slurries containing different additives and abrasives, or exhibiting different chemical properties (i.e., pH), polish various films at different rates. For example, if a slurry removes the metal interconnect material faster than the dielectric material, the dishing or reces
  • a number of different aspects of a pad have been specified as critical to produce a satisfactory semiconductor structure using CMP.
  • the elastic properties of a pad are known to be important to the planarization efficiency during CMP processes.
  • Breivogel in U.S. Patent 5,212,910 present a composite pad structure made-up of three different materials that improves the ability of the pad to conform to the uneven surface of the film being polished.
  • the chemical composition, structure, and make-up of pads used for polishing have also received much attention.
  • Various pad structures have been specified, including urethane impregnated felts (U.S. Patent 4,927,432), polymeric matrices with impregnated void spaces (U.S. Patent 5,578,362) and solid polymeric materials (U.S. Patent 5,489,233).
  • microtexture is described not in terms of pad roughness, but in terms of the size of small flow channels in the pad, which are preferably "randomly oriented straight lines or grooves of randomly varying widths and depths". Additionally, no relationship to polishing performance is specified.
  • U.S. Patent 5,932,486 describes the roughness of the surface of pads used for chemical-mechanical polishing (CMP). It was determined that some pad surface roughness (about 0.1 microns) is necessary in CMP to obtain sufficient removal rates from the surface of semiconductor wafers.
  • the method comprises a polishing slurry that facilitates removal of at least one film preferentially with respect to the other films in said structure and a polishing pad containing a planarizing surface.
  • the rate of dishing or erosion of the remaining structure is decreased.
  • the pad has a planarizing surface with an average roughness less than 4 microns, and a root-mean square roughness less than 5 microns.
  • the polishing pad has a planarizing surface with an average roughness less than 2 microns, and a root-mean square roughness less than 2 microns.
  • the polishing pad has a planarizing surface with an average roughness less than 1 micron, and a root-mean square roughness less than 1 micron.
  • the method of this invention comprises contacting the substrate, which is comprised of at least two different materials, one of which is preferentially removed with respect to the other, with a polishing pad comprising a planarizing surface having an average roughness less than 6 microns, and a root-mean square roughness less than 7 microns, and effecting movement of the substrate and the planarizing surface relative to each other in the presence of a polishing composition that facilitates the removal of one of the materials at a faster rate than the other material.
  • Another aspect of the present invention is a polishing pad useful in chemical-mechanical polishing comprising a planarizing surface having an average roughness less than 6 microns, and a root-mean square roughness less than 7 microns.
  • a critical attribute of a polishing process used in the planarization of complex semiconductor structures is the surface roughness of the pad.
  • a Cu interconnect structure contains barrier films of Ta or TaN, as well as an underlying dielectric film, typically Si0 2 .
  • barrier films of Ta or TaN as well as an underlying dielectric film, typically Si0 2 .
  • Si0 2 an underlying dielectric film
  • One method to achieve this goal is to polish with slurries that have higher removal rates of Cu films with respect to the removal rates for either the barrier film (Ta or TaN) or the dielectric film (Si0 2 ).
  • the applied polishing load is transmitted to the piece being polished through individual asperities on the pad surface, therefore locally increasing the pressure associated with polishing.
  • the asperities can also extend into the metal feature, inducing dishing by contacting the recessed areas.
  • any suitable technique for measuring surface roughness or the size of surface asperities could be employed: these include, but are not limited to, differential interference contrast microscopy, electron microscopy, atomic force microscopy, scanning tunneling microscopy, and optical interferometry. Also, while average and root mean square roughness are commonly used measures of roughness, any parameter or appropriate surface statistic suitable to indicate the level of surface roughness could be used: these include, but are not limited to, peak-to-valley measurements, ten-point height, root-mean-square slope, parameters associated with surface height distribution functions, such as skewness and kurtosis, surface spatial wavelength, and parameters associated with the power- spectral-density function.
  • one typical semiconductor structure that could be polished using this method is comprised of a metal interconnect layer of copper, a barrier layer of tantalum, and a dielectric layer of silicon dioxide.
  • the method described above could be applied in at least two separate points in the structure.
  • this method can be applied to reduce the rate of dishing of the copper metalization structure (this case is covered in the examples below).
  • this method can also be applied to reduce the rate of dishing of the copper structure.
  • this method is applicable to any semiconductor structure comprised of two or more materials where one is preferentially removed with respect to the other during polishing. Also, it should be noted that this method is applicable in the case of multiple films when one film is preferentially removed with respect to numerous other films, or when numerous films are preferentially removed with respect to at least one other film.
  • this method applies to in situ preferential removal of films in a semiconductor structure.
  • dishing of the metal may also be observed due to other effects in the polishing process (i.e., pattern density effects, galvanic effects, etc.).
  • this method is applicable when any differential film removal is observed on a semiconductor structure, and will decrease the rate of removal of any film that is preferably removed with respect to other films.
  • Cu patterned wafers from SEMATECH (Austin, Texas) were polished on the machine and under the conditions as mentioned above.
  • the Cu patterned wafers contain 8000 A deep features of various widths and configurations.
  • a 500 A layer of Ta functions as a barrier layer between the Cu and underlying Si0 2 dielectric layer.
  • Four different wafers were polished. Each wafer was polished with either an OXP3000 pad or an IC1000 pad (both manufactured by Rodel, Inc., Newark, DE).
  • the pads used for sample 1 and 4 were used without any pre-conditioning (i.e., roughening of the surface using a diamond wheel for abrasion).
  • the dishing/recess on two features were monitored at the center and edge of the wafer (four features total) at 30-45 second polishing intervals. Dishing/recess of the wafers was measured using a Tencor P-l profilometer.
  • the data generated from the four trials described in Table 2 from the 12 micron feature at the wafer center are presented in Figures 1-4.
  • the surface is non-planar, and 5000-6500 A of dishing (also referred to as step height) is present.
  • dishing also referred to as step height
  • the structures are gradually planarized, and after a certain polishing time, no dishing is observed. For a certain amount of time, no dishing is present as the final layers of Cu overburden are removed.
  • the Ta barrier layer is reached, due to the higher removal rate of Cu film vs. Ta, dishing of the Cu features begins to increase. As the wafer is overpolished, the dishing increases at a different rate for each of the trials, as is shown in Table 3.
  • Metal layers for which the process and slurries of this invention might be useful include, but are not limited to, tungsten, aluminum, copper, platinum, palladium, gold, iridium and any combination or alloy thereof.
  • Barrier layers for which the process and slurries of this invention might be useful include, but are not limited to, tantalum, tantalum nitride, titanium, titanium nitride, and any combinations thereof.
  • Insulating or dielectric layers for which the process and slurries of this invention might be useful include, but are not limited to, PSG, BPSG, TEOS, Si0 2 , and any low-K polymeric material.
  • the slurries of this invention may have a pH anywhere in the acidic, neutral, or alkaline range.

Abstract

A method for reducing the rate of dishing or erosion of composite semiconductor structures containing at least two different films is provided. Most preferably, the structure consists of a metal layer, a dielectric layer, and a barrier layer. The method compries planarizing a composite semiconductor structure with a polishing slurry and a polishing pad having a planarizing surface. By minimizing the roughness of the planarizing surface on the polishing pad, the rate of increase of the dishing or erosion of the remaining structure is decreased. In the preferred embodiment, the rate of dishing of a copper film is decreased with respect to tantalum and silicon dioxide films through the use of polishing pads exhibiting low levels of surface roughness.

Description

METHOD TO DECREASE DISHING RATE DURING CMP IN METAL SEMICONDUCTOR STRUCTURES
This application claims the benefit of Provisional Patent Application
Serial No. 60/108,936 filed on November 18, 1998.
BACKGROUND OF THE INVENTION
Field of the Invention
The invention described in this patent pertains to the polishing and planarization of semiconductor structures by chemical-mechanical planarization (CMP), particularly those structures containing a metal, a barrier layer, and an insulating layer.
Prior Art
Chemical/Mechanical Planarization (or polishing), or CMP, is a powerful technology that has been instrumental in the semiconductor industry to enable the removal/planarization of thin films during the production of integrated circuits. Initial applications of this technology focused on the planarization of dielectric films such as Si02. However, as CMP becomes more widely adopted, the technology is being applied to more varied and complex structures: for example, the polishing of metal interconnect structures is becoming more widely accepted. One type of structure that is increasingly more common involves inlaid metallic lines, sometimes referred to as damascene, or dual damascene, structures. The most common of these structures involves a metal conducting structure (typically composed of tungsten, aluminum, or copper) patterned into a dielectric film, typically composed of Si02. A barrier layer (typically composed of Ta, TaN, Ti, or TiN) exists between the metal and dielectric layers to inhibit migration of the metal film into the dielectric. When planarizing structures comprised of different film layers using CMP, it is desirable for the final structure to exhibit coplanarity with respect to each film. In the structure described above, the preferential removal of the metal layer with respect to the barrier or dielectric layer is typically referred to as "dishing" or "recess". Conversely, preferential removal of the dielectric layer with respect to it's initial film thickness or the metal layer is typically referred to as "erosion" or "oxide thinning".
One characteristic of the polishing process that can contribute to the unequal removal of different films within a semiconductor structure is the polishing slurry. Slurries containing different additives and abrasives, or exhibiting different chemical properties (i.e., pH), polish various films at different rates. For example, if a slurry removes the metal interconnect material faster than the dielectric material, the dishing or recess of the metal structure is possibly increased as a result. Additionally, the polishing process parameters (e.g., wafer downforce, platen rotational speed) can also have a strong influence on the final state of the semiconductor structure. The effect of pad characteristics on the quality of a polishing process has also received a significant amount of attention. A number of different aspects of a pad have been specified as critical to produce a satisfactory semiconductor structure using CMP. For example, the elastic properties of a pad are known to be important to the planarization efficiency during CMP processes. Breivogel in U.S. Patent 5,212,910 present a composite pad structure made-up of three different materials that improves the ability of the pad to conform to the uneven surface of the film being polished. The chemical composition, structure, and make-up of pads used for polishing have also received much attention. Various pad structures have been specified, including urethane impregnated felts (U.S. Patent 4,927,432), polymeric matrices with impregnated void spaces (U.S. Patent 5,578,362) and solid polymeric materials (U.S. Patent 5,489,233).
One facet of polishing that has received little attention is the relationship of pad roughness to dishing, recess, and erosion of semiconductor structures. It is widely acknowledged that the texturing of the polishing pad is often necessary to achieve an adequate removal rate of the thin film being polished. In practice, this is typically done by continuously or semi-continuously abrading the surface of the pad during polishing. U.S. Patent 5,489,233 describes this as "microtexture which is produced by abrasion by a multiplicity of small abrasive points at a regular selected interval during the use of the pad". Typically, a diamond wheel is used as a source of "small abrasive points". However, the necessity of microtexture is described not in terms of pad roughness, but in terms of the size of small flow channels in the pad, which are preferably "randomly oriented straight lines or grooves of randomly varying widths and depths". Additionally, no relationship to polishing performance is specified.
U.S. Patent 5,932,486 describes the roughness of the surface of pads used for chemical-mechanical polishing (CMP). It was determined that some pad surface roughness (about 0.1 microns) is necessary in CMP to obtain sufficient removal rates from the surface of semiconductor wafers.
SUMMARY OF THE INVENTION
A method is provided for polishing a composite semiconductor structure containing at least two different thin films, with the associated thin films exhibiting different removal rates. More preferably, a conducting metal interconnect layer, an insulating dielectric layer, and a barrier layer between the two: most preferably, a copper metal layer, a silicon dioxide dielectric layer, and a barrier layer of tantalum. The method comprises a polishing slurry that facilitates removal of at least one film preferentially with respect to the other films in said structure and a polishing pad containing a planarizing surface. By minimizing the roughness of the planarizing surface on the polishing pad, wherein the polishing pad has a planarizing surface with an average roughness less than 6 microns, and a root-mean square roughness less than 7 microns, the rate of dishing or erosion of the remaining structure is decreased. More preferably the pad has a planarizing surface with an average roughness less than 4 microns, and a root-mean square roughness less than 5 microns. Even more preferably the polishing pad has a planarizing surface with an average roughness less than 2 microns, and a root-mean square roughness less than 2 microns. Most preferably the polishing pad has a planarizing surface with an average roughness less than 1 micron, and a root-mean square roughness less than 1 micron.
The method of this invention comprises contacting the substrate, which is comprised of at least two different materials, one of which is preferentially removed with respect to the other, with a polishing pad comprising a planarizing surface having an average roughness less than 6 microns, and a root-mean square roughness less than 7 microns, and effecting movement of the substrate and the planarizing surface relative to each other in the presence of a polishing composition that facilitates the removal of one of the materials at a faster rate than the other material.
Another aspect of the present invention is a polishing pad useful in chemical-mechanical polishing comprising a planarizing surface having an average roughness less than 6 microns, and a root-mean square roughness less than 7 microns.
DESCRIPTION OF THE PREFERRED EMBODIMENTS
It has been found that a critical attribute of a polishing process used in the planarization of complex semiconductor structures is the surface roughness of the pad. In many CMP applications, it is desired to planarize a structure containing films of two or more different materials. For example, a Cu interconnect structure contains barrier films of Ta or TaN, as well as an underlying dielectric film, typically Si02. To achieve a favorable final structure, it is desirable to have the Cu and Si02 films to be coplanar. One method to achieve this goal is to polish with slurries that have higher removal rates of Cu films with respect to the removal rates for either the barrier film (Ta or TaN) or the dielectric film (Si02).
However, even with slurries that exhibit high selectivities, it is common to remove some of the Cu layer below the plane of either the barrier layer or the dielectric layer. One possible cause of this phenomena is uncontrolled chemical etching by the polishing slurry. If the rate of removal of the film in question (i.e., Cu) is significant when no mechanical action is present, then regions of the semiconductor structure which are not in contact with the polishing surface will still exhibit removal. Therefore, it is desirable to design polishing slurries which demonstrate low static etch or corrosion potential with respect to the Cu (or metal) film. Another possible mechanism for the dishing of metal structures is pad deformation into the metal feature. If the removal rate of the surrounding dielectric film is low, these regions could act as a support to the polishing pad, allowing it to elastically deform into the metal structure and remove additional material. However, on the scale of features of concern in semiconductor structures (<1 micron to ~ 100 microns), it seems unlikely that this mechanism would contribute significantly. In this patent, we have determined another source of dishing/erosion in CMP processes, namely the roughness of the polishing pad being used. In the structures described above, it has been found that the rate of dishing of a structure increases as the level of roughness of the polishing pad increases. This observation is consistent with a pad-asperity mechanism for polishing. In this model, the applied polishing load is transmitted to the piece being polished through individual asperities on the pad surface, therefore locally increasing the pressure associated with polishing. During the polishing of a patterned semiconductor structure, because of the difference in surface height of the polishing pad, the asperities can also extend into the metal feature, inducing dishing by contacting the recessed areas. The larger the size of the asperity, manifested as a rougher polishing surface, the more efficient the feature is at reaching into the recessed region, hence, the faster the rate of dishing of the metal line.
To quantify pad roughness, we have employed a stylus profilometer and measured average (Ra) and root mean square (Rq) roughness. Average roughness is defined as the average of the absolute values of the surface height variations measured from the mean surface level. Root- mean square roughness is defined as the square root of the mean value of the squares of the distances of the points from the mean surface level (Bennett and Mattsson, "Introduction to Surface Roughness and Scattering", Optical Society of America, Washington, DC, 1989, pp38- 39). Any suitable technique for measuring surface roughness or the size of surface asperities could be employed: these include, but are not limited to, differential interference contrast microscopy, electron microscopy, atomic force microscopy, scanning tunneling microscopy, and optical interferometry. Also, while average and root mean square roughness are commonly used measures of roughness, any parameter or appropriate surface statistic suitable to indicate the level of surface roughness could be used: these include, but are not limited to, peak-to-valley measurements, ten-point height, root-mean-square slope, parameters associated with surface height distribution functions, such as skewness and kurtosis, surface spatial wavelength, and parameters associated with the power- spectral-density function.
As described above, one typical semiconductor structure that could be polished using this method is comprised of a metal interconnect layer of copper, a barrier layer of tantalum, and a dielectric layer of silicon dioxide. With this structure, the method described above could be applied in at least two separate points in the structure. First, when using a slurry that has a high selectivity to copper vs. tantalum and silicon dioxide, at the point where the copper overburden is removed and the tantalum barrier layer is reached, this method can be applied to reduce the rate of dishing of the copper metalization structure (this case is covered in the examples below). Additionally, when using a slurry that has a high selectivity of copper and tantalum to silicon dioxide, at the point where the copper and tantalum overburden is removed and the silicon dioxide dielectric is reached, this method can also be applied to reduce the rate of dishing of the copper structure. However, it should be noted that this method is applicable to any semiconductor structure comprised of two or more materials where one is preferentially removed with respect to the other during polishing. Also, it should be noted that this method is applicable in the case of multiple films when one film is preferentially removed with respect to numerous other films, or when numerous films are preferentially removed with respect to at least one other film.
It should also be noted that this method applies to in situ preferential removal of films in a semiconductor structure. For example, in a structure where the removal rates of a metal and the surrounding barrier metal are roughly equal, dishing of the metal may also be observed due to other effects in the polishing process (i.e., pattern density effects, galvanic effects, etc.). In the most general embodiment, this method is applicable when any differential film removal is observed on a semiconductor structure, and will decrease the rate of removal of any film that is preferably removed with respect to other films.
EXAMPLE
200 mm wafers with various surface films were polished on a IPEC/WESTECH 372U. An IC1000 polishing pad was used with an aqueous based slurry formulation comprising: water, a submicron abrasive, an oxidizing agent, and a surfactant or compound which acts to suppress the rate of removal of the dielectric insulating layer. Potassium hydroxide is used to adjust the pH to about 2 to 3. Polishing conditions were as follows: 5 psi downforce, 40 rpm carrier rotation, 1 psi backpressure, 150 ml/min slurry flowrate. The removal rates on various sheet wafers (average of three wafers) is shown in Table 1 along with the resulting selectivities.
Table 1
Figure imgf000012_0001
Cu patterned wafers from SEMATECH (Austin, Texas) were polished on the machine and under the conditions as mentioned above. The Cu patterned wafers contain 8000 A deep features of various widths and configurations. A 500 A layer of Ta functions as a barrier layer between the Cu and underlying Si02 dielectric layer. Four different wafers were polished. Each wafer was polished with either an OXP3000 pad or an IC1000 pad (both manufactured by Rodel, Inc., Newark, DE). The pads used for sample 1 and 4 were used without any pre-conditioning (i.e., roughening of the surface using a diamond wheel for abrasion). For sample 2, the pad was conditioned with water and a diamond conditioning wheel for 10 minutes (20 pre-sweeps, 2 sweeps/min, platen speed = 75 rpm, 7 psi downforce). For sample 3, the pad was conditioned with water and a diamond conditioning wheel for 20 minutes (20 pre-sweeps, 2 sweeps/min, platen speed = 75 rpm, 7psi downforce). Polishing conditions were as follows: 5 psi downforce, 40 rpm carrier rotation, 1 psi backpressure, 150 ml/min slurry flowrate. TABLE 2
Figure imgf000013_0001
The dishing/recess on two features (a 12 micron line and a 115x115 micron bond pad) were monitored at the center and edge of the wafer (four features total) at 30-45 second polishing intervals. Dishing/recess of the wafers was measured using a Tencor P-l profilometer. The profilometer settings for the 115 micron pad were as follows: scan length = 0.3 mm, scanning speed = 0.01 mm sec, stylus force = 15 milligrams, and stylus radius = 1.5 microns. The profilometer settings for the 12 micron line were as follows: scan length = 0.05 mm, scanning speed = 0.005 mm/sec, stylus force = 15 milligrams, and stylus radius = 1.5 microns. The data generated from the four trials described in Table 2 from the 12 micron feature at the wafer center are presented in Figures 1-4.
Initially, due to the underlying damascene structures (i.e., trenches, pads, and lines), the surface is non-planar, and 5000-6500 A of dishing (also referred to as step height) is present. As polishing proceeds, the structures are gradually planarized, and after a certain polishing time, no dishing is observed. For a certain amount of time, no dishing is present as the final layers of Cu overburden are removed. When the Ta barrier layer is reached, due to the higher removal rate of Cu film vs. Ta, dishing of the Cu features begins to increase. As the wafer is overpolished, the dishing increases at a different rate for each of the trials, as is shown in Table 3.
TABLE 3
Figure imgf000014_0001
Post measurement of the four polishing pads with a Tencor P-l profilometer after completion of the test was also conducted. Average roughness (Ra) and root-mean square roughness (Rq) were measured. The roughness values for each pad are given in Table 4.
TABLE 4
Figure imgf000014_0002
Based on the results shown above, the rate of dishing/recess after clearing the Cu from the wafer increases as the roughness of the pad increases (See Figure 5). Therefore, to improve (i.e., increase) the process overpolish window, a pad with low roughness is desired.
Metal layers for which the process and slurries of this invention might be useful include, but are not limited to, tungsten, aluminum, copper, platinum, palladium, gold, iridium and any combination or alloy thereof.
Barrier layers for which the process and slurries of this invention might be useful include, but are not limited to, tantalum, tantalum nitride, titanium, titanium nitride, and any combinations thereof.
Insulating or dielectric layers for which the process and slurries of this invention might be useful include, but are not limited to, PSG, BPSG, TEOS, Si02, and any low-K polymeric material.
Depending on the chemicals used, the slurries of this invention may have a pH anywhere in the acidic, neutral, or alkaline range.
While specific embodiments of this invention have been shown above, the scope of this invention is defined by the claims which follow.

Claims

1. A method for the chemical-mechanical polishing of a semiconductor substrate, which comprises, contacting said substrate, which is comprised of at least two different materials, one of which is preferentially removed with respect to the other, with a polishing pad comprising a planarizing surface having an average roughness less than 6 microns, and a root-mean square roughness less than 7 microns, and effecting movement of said substrate and said planarizing surface relative to each other in the presence of a polishing composition that facilitates the removal of one of the materials at a faster rate than the other material.
2. The method of claim 1 wherein said polishing pad comprises a planarizing surface having an average roughness less than 4 microns, and a root-mean square roughness less than 5 microns.
3. The method of claim 1 wherein said polishing pad comprises a planarizing surface having an average roughness less than 2 microns, and a root-mean square roughness less than 2 microns.
4. The method of claim 1 wherein said polishing pad comprises a planarizing surface having an average roughness less than 1 micron, and a root-mean square roughness less than 1 micron.
5. The method of claim 1 wherein the substrate material that is removed at said faster rate is a conducting material and the other is a barrier material.
6. The method of claim 1 wherein the substrate material that is removed at said faster rate is a conducting material and the other is a dielectric material.
7. The method of claim 1 wherein the substrate material that is removed at said faster rate is a conducting material and the other material comprises both a barrier material and a dielectric material.
8. The method of claim 5 wherein said conducting material is from the group consisting of tungsten, aluminum, copper, platinum, palladium, gold, iridium and any combination or alloy thereof.
9. The method of claim 6 wherein said conducting material is from the group consisting of tungsten, aluminum, copper, platinum, palladium, gold, iridium and any combination or alloy thereof.
10. The method of claim 7 wherein said conducting material is from the group consisting of tungsten, aluminum, copper, platinum, palladium, gold, iridium and any combination or alloy thereof.
11. The method of claim 5 wherein said barrier material is from the group consisting of tantalum, tantalum nitride, titanium, titanium nitride, and any combinations thereof.
12. The method of claim 7 wherein said barrier material is from the group consisting of tantalum, tantalum nitride, titanium, titanium nitride, and any combinations thereof.
13. The method of claim 6 wherein said dielectric material is from the group consisting of PSG, BPSG, TEOS, Si02, and any low-K polymeric material.
14. The method of claim 7 wherein said dielectric material is from the group consisting of PSG, BPSG, TEOS, Si02, and any low-K polymeric material.
15. A polishing pad useful in chemical-mechanical polishing comprising a planarizing surface having an average roughness less than 6 microns, and a root-mean square roughness less than 7 microns.
16. A polishing pad according to claim 15 wherein said planarizing surface has an average roughness less than 4 microns, and a root-mean square roughness less than 5 microns.
17. A polishing pad according to claim 15 wherein said planarizing surface has an average roughness less than 2 microns, and a root-mean square roughness less than 2 microns.
18. A polishing pad according to claim 15 wherein said planarizing surface has an average roughness less than 1 micron, and a root-mean square roughness less than 1 micron.
PCT/US1999/027225 1998-11-18 1999-11-17 Method to decrease dishing rate during cmp in metal semiconductor structures WO2000030159A1 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR1020017006256A KR20010093086A (en) 1998-11-18 1999-11-17 Method to decrease dishing rate during CMP in metal semiconductor structures
EP99957571A EP1147546A1 (en) 1998-11-18 1999-11-17 Method to decrease dishing rate during cmp in metal semiconductor structures
JP2000583074A JP2002530861A (en) 1998-11-18 1999-11-17 Method for reducing dishing speed during CMP in metal semiconductor structure

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10893698P 1998-11-18 1998-11-18
US60/108,936 1998-11-18

Publications (1)

Publication Number Publication Date
WO2000030159A1 true WO2000030159A1 (en) 2000-05-25

Family

ID=22324909

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US1999/027225 WO2000030159A1 (en) 1998-11-18 1999-11-17 Method to decrease dishing rate during cmp in metal semiconductor structures

Country Status (4)

Country Link
EP (1) EP1147546A1 (en)
JP (1) JP2002530861A (en)
KR (1) KR20010093086A (en)
WO (1) WO2000030159A1 (en)

Cited By (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6432826B1 (en) 1999-11-29 2002-08-13 Applied Materials, Inc. Planarized Cu cleaning for reduced defects
US6451697B1 (en) 2000-04-06 2002-09-17 Applied Materials, Inc. Method for abrasive-free metal CMP in passivation domain
US6524167B1 (en) 2000-10-27 2003-02-25 Applied Materials, Inc. Method and composition for the selective removal of residual materials and barrier materials during substrate planarization
US6569349B1 (en) 2000-10-23 2003-05-27 Applied Materials Inc. Additives to CMP slurry to polish dielectric films
US6592742B2 (en) 2001-07-13 2003-07-15 Applied Materials Inc. Electrochemically assisted chemical polish
US6638143B2 (en) 1999-12-22 2003-10-28 Applied Materials, Inc. Ion exchange materials for chemical mechanical polishing
US6653242B1 (en) 2000-06-30 2003-11-25 Applied Materials, Inc. Solution to metal re-deposition during substrate planarization
US6677239B2 (en) 2001-08-24 2004-01-13 Applied Materials Inc. Methods and compositions for chemical mechanical polishing
US6743737B2 (en) 1998-11-04 2004-06-01 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
US6811470B2 (en) 2001-07-16 2004-11-02 Applied Materials Inc. Methods and compositions for chemical mechanical polishing shallow trench isolation substrates
US6821881B2 (en) 2001-07-25 2004-11-23 Applied Materials, Inc. Method for chemical mechanical polishing of semiconductor substrates
EP1502703A1 (en) * 2003-07-30 2005-02-02 Rohm and Haas Electronic Materials CMP Holdings, Inc. Porous polyurethane polishing pads
US6858540B2 (en) 2000-05-11 2005-02-22 Applied Materials, Inc. Selective removal of tantalum-containing barrier layer during metal CMP
US6899612B2 (en) 2003-02-25 2005-05-31 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Polishing pad apparatus and methods
US7008554B2 (en) 2001-07-13 2006-03-07 Applied Materials, Inc. Dual reduced agents for barrier removal in chemical mechanical polishing
US7012025B2 (en) 2001-01-05 2006-03-14 Applied Materials Inc. Tantalum removal during chemical mechanical polishing
US7022608B2 (en) 2000-12-01 2006-04-04 Applied Materials Inc. Method and composition for the removal of residual materials during substrate planarization
US7037174B2 (en) 2002-10-03 2006-05-02 Applied Materials, Inc. Methods for reducing delamination during chemical mechanical polishing
US7063597B2 (en) 2002-10-25 2006-06-20 Applied Materials Polishing processes for shallow trench isolation substrates
US7104869B2 (en) 2001-07-13 2006-09-12 Applied Materials, Inc. Barrier removal at low polish pressure
US7199056B2 (en) 2002-02-08 2007-04-03 Applied Materials, Inc. Low cost and low dishing slurry for polysilicon CMP
US7210988B2 (en) 2004-08-24 2007-05-01 Applied Materials, Inc. Method and apparatus for reduced wear polishing pad conditioning
US7220322B1 (en) 2000-08-24 2007-05-22 Applied Materials, Inc. Cu CMP polishing pad cleaning
US7504018B2 (en) 2005-10-31 2009-03-17 Applied Materials, Inc. Electrochemical method for Ecmp polishing pad conditioning

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5096854A (en) * 1988-06-28 1992-03-17 Japan Silicon Co., Ltd. Method for polishing a silicon wafer using a ceramic polishing surface having a maximum surface roughness less than 0.02 microns
US5628862A (en) * 1993-12-16 1997-05-13 Motorola, Inc. Polishing pad for chemical-mechanical polishing of a semiconductor substrate
US5676587A (en) * 1995-12-06 1997-10-14 International Business Machines Corporation Selective polish process for titanium, titanium nitride, tantalum and tantalum nitride
US5913712A (en) * 1995-08-09 1999-06-22 Cypress Semiconductor Corp. Scratch reduction in semiconductor circuit fabrication using chemical-mechanical polishing
US5916011A (en) * 1996-12-26 1999-06-29 Motorola, Inc. Process for polishing a semiconductor device substrate
US5932486A (en) * 1996-08-16 1999-08-03 Rodel, Inc. Apparatus and methods for recirculating chemical-mechanical polishing of semiconductor wafers

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5096854A (en) * 1988-06-28 1992-03-17 Japan Silicon Co., Ltd. Method for polishing a silicon wafer using a ceramic polishing surface having a maximum surface roughness less than 0.02 microns
US5628862A (en) * 1993-12-16 1997-05-13 Motorola, Inc. Polishing pad for chemical-mechanical polishing of a semiconductor substrate
US5913712A (en) * 1995-08-09 1999-06-22 Cypress Semiconductor Corp. Scratch reduction in semiconductor circuit fabrication using chemical-mechanical polishing
US5676587A (en) * 1995-12-06 1997-10-14 International Business Machines Corporation Selective polish process for titanium, titanium nitride, tantalum and tantalum nitride
US5932486A (en) * 1996-08-16 1999-08-03 Rodel, Inc. Apparatus and methods for recirculating chemical-mechanical polishing of semiconductor wafers
US5916011A (en) * 1996-12-26 1999-06-29 Motorola, Inc. Process for polishing a semiconductor device substrate

Cited By (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6743737B2 (en) 1998-11-04 2004-06-01 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
US6432826B1 (en) 1999-11-29 2002-08-13 Applied Materials, Inc. Planarized Cu cleaning for reduced defects
US7104267B2 (en) 1999-11-29 2006-09-12 Applied Materials Inc. Planarized copper cleaning for reduced defects
US6638143B2 (en) 1999-12-22 2003-10-28 Applied Materials, Inc. Ion exchange materials for chemical mechanical polishing
US6451697B1 (en) 2000-04-06 2002-09-17 Applied Materials, Inc. Method for abrasive-free metal CMP in passivation domain
US6858540B2 (en) 2000-05-11 2005-02-22 Applied Materials, Inc. Selective removal of tantalum-containing barrier layer during metal CMP
US6653242B1 (en) 2000-06-30 2003-11-25 Applied Materials, Inc. Solution to metal re-deposition during substrate planarization
US7220322B1 (en) 2000-08-24 2007-05-22 Applied Materials, Inc. Cu CMP polishing pad cleaning
US6569349B1 (en) 2000-10-23 2003-05-27 Applied Materials Inc. Additives to CMP slurry to polish dielectric films
US6524167B1 (en) 2000-10-27 2003-02-25 Applied Materials, Inc. Method and composition for the selective removal of residual materials and barrier materials during substrate planarization
US7022608B2 (en) 2000-12-01 2006-04-04 Applied Materials Inc. Method and composition for the removal of residual materials during substrate planarization
US7012025B2 (en) 2001-01-05 2006-03-14 Applied Materials Inc. Tantalum removal during chemical mechanical polishing
US6592742B2 (en) 2001-07-13 2003-07-15 Applied Materials Inc. Electrochemically assisted chemical polish
US7008554B2 (en) 2001-07-13 2006-03-07 Applied Materials, Inc. Dual reduced agents for barrier removal in chemical mechanical polishing
US7104869B2 (en) 2001-07-13 2006-09-12 Applied Materials, Inc. Barrier removal at low polish pressure
US6811470B2 (en) 2001-07-16 2004-11-02 Applied Materials Inc. Methods and compositions for chemical mechanical polishing shallow trench isolation substrates
US6821881B2 (en) 2001-07-25 2004-11-23 Applied Materials, Inc. Method for chemical mechanical polishing of semiconductor substrates
US7060606B2 (en) 2001-07-25 2006-06-13 Applied Materials Inc. Method and apparatus for chemical mechanical polishing of semiconductor substrates
US6677239B2 (en) 2001-08-24 2004-01-13 Applied Materials Inc. Methods and compositions for chemical mechanical polishing
US7199056B2 (en) 2002-02-08 2007-04-03 Applied Materials, Inc. Low cost and low dishing slurry for polysilicon CMP
US7037174B2 (en) 2002-10-03 2006-05-02 Applied Materials, Inc. Methods for reducing delamination during chemical mechanical polishing
US7244168B2 (en) 2002-10-03 2007-07-17 Applied Materials, Inc. Methods for reducing delamination during chemical mechanical polishing
US7063597B2 (en) 2002-10-25 2006-06-20 Applied Materials Polishing processes for shallow trench isolation substrates
US6899612B2 (en) 2003-02-25 2005-05-31 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Polishing pad apparatus and methods
EP1502703A1 (en) * 2003-07-30 2005-02-02 Rohm and Haas Electronic Materials CMP Holdings, Inc. Porous polyurethane polishing pads
US7210988B2 (en) 2004-08-24 2007-05-01 Applied Materials, Inc. Method and apparatus for reduced wear polishing pad conditioning
US7504018B2 (en) 2005-10-31 2009-03-17 Applied Materials, Inc. Electrochemical method for Ecmp polishing pad conditioning

Also Published As

Publication number Publication date
KR20010093086A (en) 2001-10-27
EP1147546A1 (en) 2001-10-24
JP2002530861A (en) 2002-09-17

Similar Documents

Publication Publication Date Title
WO2000030159A1 (en) Method to decrease dishing rate during cmp in metal semiconductor structures
US7104869B2 (en) Barrier removal at low polish pressure
JP6030703B2 (en) Use of CsOH in dielectric CMP slurry
EP1163311B1 (en) Working liquids and methods for modifying structured wafers suited for semiconductor fabrication
US6656842B2 (en) Barrier layer buffing after Cu CMP
Stavreva et al. Characteristics in chemical-mechanical polishing of copper: comparison of polishing pads
US7012025B2 (en) Tantalum removal during chemical mechanical polishing
Stavreva et al. Chemical mechanical polishing of copper for multilevel metallization
US6846225B2 (en) Selective chemical-mechanical polishing properties of a cross-linked polymer and specific applications therefor
EP1345734B1 (en) Crosslinked polyethylene polishing pad for chemical-mechnical polishing and polishing apparatus
KR20100105823A (en) Method of chemical mechanical polishing
JP2006278977A (en) Manufacturing method of semiconductor device and polishing method
US8129278B2 (en) Chemical mechanical polishing process
US20030203706A1 (en) Method for preventing localized Cu corrosion during CMP
JP3141939B2 (en) Metal wiring formation method
US20020177314A1 (en) Chemical mechanical planarization of low dielectric constant materials
US6899612B2 (en) Polishing pad apparatus and methods
US6599837B1 (en) Chemical mechanical polishing composition and method of polishing metal layers using same
US6667239B2 (en) Chemical mechanical polishing of copper-oxide damascene structures
JP2004128112A (en) Manufacturing method of semiconductor device
See et al. COMPARISONS OF POLISHER DESIGNS ON THE PERFORMANCE OF STI PLANARIZATION
Wei Application of chemical‐mechanical polishing for planarizing of silicon nitride passivation layers used in high power III‐V laser devices
US20040137740A1 (en) Method to reduce dishing, erosion and low-k dielectric peeling for copper in low-k dielectric CMP process
Jindal et al. Slurries for Copper Damascene Patterning: Similarities and Differences
Wrschka Chemical-mechanical planarization of Al and Cu thin films for the damascene process

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): CN JP KR SG

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE

121 Ep: the epo has been informed by wipo that ep was designated in this application
DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
WWE Wipo information: entry into national phase

Ref document number: 1020017006256

Country of ref document: KR

ENP Entry into the national phase

Ref country code: JP

Ref document number: 2000 583074

Kind code of ref document: A

Format of ref document f/p: F

WWE Wipo information: entry into national phase

Ref document number: 1999957571

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 1999957571

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 1020017006256

Country of ref document: KR

WWW Wipo information: withdrawn in national office

Ref document number: 1999957571

Country of ref document: EP

WWW Wipo information: withdrawn in national office

Ref document number: 1020017006256

Country of ref document: KR