WO2000030154A2 - Method to control film removal rates for improved polishing in metal cmp - Google Patents

Method to control film removal rates for improved polishing in metal cmp Download PDF

Info

Publication number
WO2000030154A2
WO2000030154A2 PCT/US1999/027092 US9927092W WO0030154A2 WO 2000030154 A2 WO2000030154 A2 WO 2000030154A2 US 9927092 W US9927092 W US 9927092W WO 0030154 A2 WO0030154 A2 WO 0030154A2
Authority
WO
WIPO (PCT)
Prior art keywords
polishing
oxidizing agent
composition
wafer
metal
Prior art date
Application number
PCT/US1999/027092
Other languages
French (fr)
Inventor
Hiroyuki Senoo
Kouchi Yoshida
Yoshikazu Nishida
Vikas Sachan
Craig D. Lack
Vilas N. Koinkar
Raymond Lee Lavoie, Jr.
Peter A. Burke
Original Assignee
Rodel Holdings, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Rodel Holdings, Inc. filed Critical Rodel Holdings, Inc.
Publication of WO2000030154A2 publication Critical patent/WO2000030154A2/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step

Definitions

  • the invention described pertains to the polishing methods and slurry formulations used in the planarization of integrated circuit surfaces containing various films, most particularly those of a metal, a barrier layer, and an insulator.
  • CMP Chemical-Mechanical Planarization
  • the method involves a two-step polishing process: in the first step, the majority of the conducting metal layer is removed without removing significant amounts of either the barrier layer or the dielectric layer.
  • the metal interconnect remaining on the horizontal portions of the barrier layer and the barrier layer are removed without removing significant amounts of the dielectric layer, and without degrading the integrity of the remaining structure by significant removal of the remaining metal layer (commonly called “dishing” or “recess”) or removal of significant amounts of the remaining dielectric layer (commonly called “erosion”).
  • Slurry compositions useful for accomplishing the second step polishing comprise: water, colloidal silica particles with a primary particle size between 10 and 100 nanometers and a surface area of 20 to 600 m 2 /g, an oxidizing agent, and, optionally, a surfactant or compound which acts to suppress the rate of removal of the dielectric insulating layer.
  • any non-selective polishing composition which will have high removal rates for the metal may be used. It may have either high or low removal rates for the barrier layer and the insulating layer because the first step process will be stopped just before or just as the metal layer is entirely removed.
  • the second step polishing process will use a slurry for which there is lower selectivity between the metal and the insulating layer, preferably between 10:1 and 1 :10 and more preferably between 3:1 and 1 :3, and most preferably about 1 :1.
  • slurries comprised of colloidal silica particles with a primary particle size of 10 to 100 nanometers and a surface area of 20 to 600 m 2 /g provide second step selectivities within the desired range as well as a low level of scratches on the insulating layer surface, little erosion of the insulating layer surface, and little dishing of the metal surface.
  • primary particle size is intended to mean the distance of the non-agglomerated or non-aggregated particle's largest dimension (either height, length or width).
  • the surface area of the particles can be measured by the nitrogen adsorption method of S. Brunauer, P. H. Emmet and I. Teller, J. Am. Chemical Society, Volume 60, page 309 (1938) which is commonly referred to as BET measurement.
  • the slurries useful for this invention also comprise an oxidizing agent.
  • oxidizing agents are nitrates, iodates, chlorates, perchlorates, chlorites, sulphates, persulphates, peroxides, ozonated water, and oxygenated water.
  • Oxidizing agents can be used in slurries for CMP at concentrations of about 0.01% to about 7% by weight. Generally they are used at concentrations of about 1% to about 7% by weight.
  • An iodate is a preferred oxidizing agent.
  • Dielectric oxide complexing agents which act to suppress the rate of removal of the dielectric oxide surface are described and claimed in US Patents Nos. 5,391,258; and 5,476,606 which are made part of this specification by reference.
  • Acid species are defined as those functional groups having a dissociable proton. These include, but are not limited to, carboxylate, hydroxyl, sulfonic and phosphonic groups. Carboxylate and hydroxyl groups are preferred as these are present in the widest variety of effective species.
  • Particularly effective are structures which possess two or more carboxylate groups with hydroxyl groups in an alpha position, such as straight chain mono- and di-carboxylic acids and salts including, for example, malic acid and malates, tartaric acid and tartarates and gluconic acid and gluconates. Also effective are tri- and polycarboxylic acids and salts with secondary or tertiary hydroxyl groups in an alpha position relative to a carboxylic group such as citric acid and citrates.
  • a phthalate is used as the complexing agent.
  • any surfactant whether it be an anionic, cationic, non-ionic or zwitter-ionic surfactant, might be effective in the compositions of this invention.
  • Surfactants and complexing agents may be used in slurries for CMP at about 0.1% to about 7% by weight. Preferably they are used at about 0.5% to about 4% by weight.
  • the rate of removal of barrier layer material can range anywhere from about 20 Angstroms/minute up to 2000 Angstroms/ minute or greater.
  • the rates of removal of the metal layer and the insulating layer may be moderate to high (about 300 to about 2000 angstroms/minute) as long as the selectivity between them is in the range of l0:l to l :10.
  • Tungsten sheet wafers and thermal oxide wafers were polished on a Westech 372 Polisher (available from IPEC Planar, Phoenix, AZ) on which an IC-1400-K Grooved pad (available from Rodel, Inc., Newark, DE) was used. Polishing conditions were: down force, 7.5 psi; table, 35 rpm; carrier, 35 rpm; slurry flow, 200 ml/min; polishing time, 2 min; rinse, 30 sec (polishing with DI water). The slurry comprised an abrasive at a concentration as listed below.
  • the chemical part of the slurry comprised an oxidizing agent (an iodate at about 1% to about 7%) and a compound which complexes with dielectric oxide silica (a phthalate at about 2% to about 4%).
  • the following table shows polishing rates for tungsten and the silicon oxide insulating material.
  • colloidal silica abrasive provides the desired rates and selectivities for the second step process of this invention.
  • alumina abrasives fumed and colloidal, do not provide the desired rates and selectivities.
  • Metal layers for which the process and slurries of this invention might be useful include, but are not limited to, tungsten, aluminum, copper, platinum, palladium, gold, iridium and any combination or alloy thereof.
  • Barrier layers for which the process and slurries of this invention might be useful include, but are not limited to, tantalum, tantalum nitride, titanium, titanium nitride, and any combinations thereof.
  • Insulating or dielectric layers for which the process and slurries of this invention might be useful include, but are not limited to, PSG, BPSG, TEOS, Si0 2 , and any low-K polymeric material.
  • the slurries of this invention may have a pH anywhere in the acidic, neutral, or alkaline range.

Abstract

A composition useful for a second step planarization of a metal, barrier layer, and a dielectric insulating layer structure is provided which comprises: water, colloidal silica particles with a primary particle size between 10 and 100 nanometers and a surface area of 20 to 600 m2/g, and an oxidizing agent.

Description

METHOD TO CONTROL FILM REMOVAL RATES FOR IMPROVED POLISHING IN METAL CMP
This application claims the benefit of Provisional Patent Application
Serial No. 60/108,656 filed November 16, 1998.
BACKGROUND OF THE INVENTION
Field of the Invention
The invention described pertains to the polishing methods and slurry formulations used in the planarization of integrated circuit surfaces containing various films, most particularly those of a metal, a barrier layer, and an insulator.
Related Art
One of the critical requirements necessary in the production of increasingly complex and dense semiconductor structures is the ability to retain planarity. Without the ability to planarize, the complexity and density of the structures constructed on a semiconductor wafer are greatly limited. Chemical-Mechanical Planarization, or CMP, is an enabling technology in this area, since it has proved to be the most effective method used to planarize surface films on semiconductor substrates.
While the first applications of CMP technology focused on the polishing of dielectric films (i.e., Si02), polishing of metal structures used for circuit interconnects is increasing rapidly. Along with the increase in metal planarization is an inherent increase in the number of different films that are simultaneously polished. Most metal structures contain three different films: a conductive metal layer, a barrier (or liner) layer between the conductive metal layer and the adjacent dielectric layer, and a dielectric layer. It is often desirable for the removal rates of each film to differ from each other in order to induce planarity and maintain the integrity of the semiconductor structure during polishing. In a typical metal structure, for example, if the entire planarization step were to take place in one step of polishing, it would typically be desirable to have high removal rates of material for the metal and barrier layers, while having low removal rates for the dielectric layer. However, while it is certainly desirable to limit the number of processing steps, there are often inherent difficulties associated with a one- step process that limit its usefulness. It has been found that the one-step process described above where there are low removal rates for the dielectric layer sometimes results in a polished dielectric layer with a very high level of scratches which are very difficult to remove.
SUMMARY OF THE INVENTION
A method is provided for polishing a composite semiconductor structure containing a conducting metal interconnect layer, an insulating dielectric layer, and a barrier layer between the two: most preferably, a tungsten metal layer, a silicon dioxide dielectric layer, and a barrier layer of titanium and/or titanium nitride. The method involves a two-step polishing process: in the first step, the majority of the conducting metal layer is removed without removing significant amounts of either the barrier layer or the dielectric layer. In the second step, the metal interconnect remaining on the horizontal portions of the barrier layer and the barrier layer are removed without removing significant amounts of the dielectric layer, and without degrading the integrity of the remaining structure by significant removal of the remaining metal layer (commonly called "dishing" or "recess") or removal of significant amounts of the remaining dielectric layer (commonly called "erosion"). Slurry compositions useful for accomplishing the second step polishing comprise: water, colloidal silica particles with a primary particle size between 10 and 100 nanometers and a surface area of 20 to 600 m2/g, an oxidizing agent, and, optionally, a surfactant or compound which acts to suppress the rate of removal of the dielectric insulating layer.
DESCRIPTION OF PREFERRED EMBODIMENTS
For a one-step process for planarizing a structure comprised of an insulating layer, a barrier layer, and a conductive metal layer, one would use a slurry which provides high removal rates for the metal and barrier layers and low removal rates for the insulating layer. In order to prevent dishing of the metal (plug, contact, or via) and scratching of the insulating layer, one must stop the polishing at the "just clear point", the point at which the metal and barrier layers over the insulating layer have just been cleared from the surface of the insulating layer.
Since it is very difficult to determine and stop at the "just clear point", a planarization polishing process is proposed wherein there are two steps of polishing. In the first step any non-selective polishing composition which will have high removal rates for the metal may be used. It may have either high or low removal rates for the barrier layer and the insulating layer because the first step process will be stopped just before or just as the metal layer is entirely removed. The second step polishing process will use a slurry for which there is lower selectivity between the metal and the insulating layer, preferably between 10:1 and 1 :10 and more preferably between 3:1 and 1 :3, and most preferably about 1 :1.
It has surprisingly been found that slurries comprised of colloidal silica particles with a primary particle size of 10 to 100 nanometers and a surface area of 20 to 600 m2/g provide second step selectivities within the desired range as well as a low level of scratches on the insulating layer surface, little erosion of the insulating layer surface, and little dishing of the metal surface.
As used in this specification, "primary particle size" is intended to mean the distance of the non-agglomerated or non-aggregated particle's largest dimension (either height, length or width).
The surface area of the particles can be measured by the nitrogen adsorption method of S. Brunauer, P. H. Emmet and I. Teller, J. Am. Chemical Society, Volume 60, page 309 (1938) which is commonly referred to as BET measurement.
The slurries useful for this invention also comprise an oxidizing agent. Common oxidizing agents are nitrates, iodates, chlorates, perchlorates, chlorites, sulphates, persulphates, peroxides, ozonated water, and oxygenated water. Oxidizing agents can be used in slurries for CMP at concentrations of about 0.01% to about 7% by weight. Generally they are used at concentrations of about 1% to about 7% by weight. An iodate is a preferred oxidizing agent. Dielectric oxide complexing agents which act to suppress the rate of removal of the dielectric oxide surface are described and claimed in US Patents Nos. 5,391,258; and 5,476,606 which are made part of this specification by reference. Farkas et al. in US Patent No. 5,614,444 disclose slurry additives which have a polar component and an apolar component. These additives passivate the dielectric surface and reduce its rate of removal. This patent (USP 5,614,444) is also made a part of this specification by reference.
Compounds which act as complexing agents or chelating agents for Si02 as described in U. S. Patent 5391258 and U. S. Patent 5476606 must have at least two acid groups present in the structure which can affect complexation to the oxide surface. Acid species are defined as those functional groups having a dissociable proton. These include, but are not limited to, carboxylate, hydroxyl, sulfonic and phosphonic groups. Carboxylate and hydroxyl groups are preferred as these are present in the widest variety of effective species. Particularly effective are structures which possess two or more carboxylate groups with hydroxyl groups in an alpha position, such as straight chain mono- and di-carboxylic acids and salts including, for example, malic acid and malates, tartaric acid and tartarates and gluconic acid and gluconates. Also effective are tri- and polycarboxylic acids and salts with secondary or tertiary hydroxyl groups in an alpha position relative to a carboxylic group such as citric acid and citrates. Also effective are compounds containing a benzene ring such as ortho di- and polyhydroxybenzoic acids and acid salts, phthalic acid and acid salts, pyrocatecol, pyrogallol, gallic acid and gallates and tannic acid and tannates. In the examples which follow a phthalate is used as the complexing agent.
It is believed that any surfactant, whether it be an anionic, cationic, non-ionic or zwitter-ionic surfactant, might be effective in the compositions of this invention.
Surfactants and complexing agents may be used in slurries for CMP at about 0.1% to about 7% by weight. Preferably they are used at about 0.5% to about 4% by weight.
Since the barrier layer is so thin, the rate of removal of barrier layer material can range anywhere from about 20 Angstroms/minute up to 2000 Angstroms/ minute or greater. The rates of removal of the metal layer and the insulating layer may be moderate to high (about 300 to about 2000 angstroms/minute) as long as the selectivity between them is in the range of l0:l to l :10.
EXAMPLE
Tungsten sheet wafers and thermal oxide wafers were polished on a Westech 372 Polisher (available from IPEC Planar, Phoenix, AZ) on which an IC-1400-K Grooved pad (available from Rodel, Inc., Newark, DE) was used. Polishing conditions were: down force, 7.5 psi; table, 35 rpm; carrier, 35 rpm; slurry flow, 200 ml/min; polishing time, 2 min; rinse, 30 sec (polishing with DI water). The slurry comprised an abrasive at a concentration as listed below. The chemical part of the slurry comprised an oxidizing agent (an iodate at about 1% to about 7%) and a compound which complexes with dielectric oxide silica (a phthalate at about 2% to about 4%). The following table shows polishing rates for tungsten and the silicon oxide insulating material.
Table 1
No. Abrasive W Wtt.. Primary Surface W RR, Si02 Selectivity
% % Particle Area, A/min RR, W:Si02
Size, nm m2/g A/min
1 fumed 5 5 1440 37 39:1 A1203
2 fumed 10 1434 50 29:1 A1203
3 fumed 5 20 65-100 1070 8 135:1 silica
4 fumed 10 20 65-100 1242 175:1 silica
5 colloidal 5 1527 232:1 A1203
6 colloidal 5 50 40-60 494 581 1 :1 silica
7 colloidal 10 50 40-60 721 890 1 :1 silica 8 colloidal 10 50 40-60 1236 1646 1 :1 silica
9 colloidal 10 12 180- 1847 887 2:1 silica 230
It is obvious from the above Table that colloidal silica abrasive provides the desired rates and selectivities for the second step process of this invention. A fumed silica with the tested oxidiation chemistry and pH,
even in the same size range and range of surface area, does not give the desired rates and selectivities. The alumina abrasives, fumed and colloidal, do not provide the desired rates and selectivities.
Metal layers for which the process and slurries of this invention might be useful include, but are not limited to, tungsten, aluminum, copper, platinum, palladium, gold, iridium and any combination or alloy thereof.
Barrier layers for which the process and slurries of this invention might be useful include, but are not limited to, tantalum, tantalum nitride, titanium, titanium nitride, and any combinations thereof.
Insulating or dielectric layers for which the process and slurries of this invention might be useful include, but are not limited to, PSG, BPSG, TEOS, Si02, and any low-K polymeric material.
Depending on the chemicals used, the slurries of this invention may have a pH anywhere in the acidic, neutral, or alkaline range.

Claims

1. A composition useful for a second step planarization of a metal, barrier layer, and a dielectric insulating layer structure comprising: water, colloidal silica particles with a primary particle size between 10 and 100 nanometers and a surface area of 20 to 600 m2/g, and an oxidizing agent.
2. A composition according to claim 1 wherein said oxidizing agent is from the group consisting of nitrates, iodates, chlorates, perchlorates, chlorites, sulphates, persulphates, peroxides, ozonated water, and oxygenated water.
3. A composition according to claim 2 wherein said oxidizing agent is an iodate.
4. A composition according to claim 1 further comprising a surfactant.
5. A composition according to claim 1 further comprising a compound which acts to suppress the rate of removal of the dielectric layer.
6. A composition according to claim 5 wherein said compound which acts to suppress the rate of removal of the dielectric layer is a phthalate.
7. A second-step planarization process for a metal, barrier layer, and dielectric insulating layer structure on a semiconductor wafer comprising:
(a) positioning said wafer in a polishing machine comprised of a polishing pad and a carrier for said wafer,
(b) contacting said wafer and said pad while maintaining a relative motion between said pad and said wafer and while a polishing composition is supplied to the interface between said wafer and said polishing pad, said polishing composition comprising: water, colloidal silica particles with a primary particle size between 10 and 100 nanometers and a surface area of 20 to 600 m2/g, and an oxidizing agent.
8. A method according to claim 7 wherein said oxidizing agent is from the group consisting of nitrates, iodates, chlorates, perchlorates, chlorites, sulphates, persulphates, peroxides, ozonated water, and oxygenated water.
9. A method according to claim 8 wherein said oxidizing agent is an iodate.
10. A method according to claim 7 wherein said composition further comprises a surfactant.
11. A method according to claim 7 wherein said composition further comprises a compound which acts to suppress the rate of removal of the dielectric layer.
12. A method according to claim 1 1 wherein said compound which acts to suppress the rate of removal of the dielectric layer is a phthalate.
PCT/US1999/027092 1998-11-16 1999-11-15 Method to control film removal rates for improved polishing in metal cmp WO2000030154A2 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10865698P 1998-11-16 1998-11-16
US60/108,656 1998-11-16

Publications (1)

Publication Number Publication Date
WO2000030154A2 true WO2000030154A2 (en) 2000-05-25

Family

ID=22323400

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US1999/027092 WO2000030154A2 (en) 1998-11-16 1999-11-15 Method to control film removal rates for improved polishing in metal cmp

Country Status (1)

Country Link
WO (1) WO2000030154A2 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1111665A2 (en) * 1999-12-21 2001-06-27 Applied Materials, Inc. Method of planarizing a substrate surface
US6936543B2 (en) * 2002-06-07 2005-08-30 Cabot Microelectronics Corporation CMP method utilizing amphiphilic nonionic surfactants
DE19927286B4 (en) * 1999-06-15 2011-07-28 Qimonda AG, 81739 Use of a grinding solution for the chemical mechanical polishing of a precious metal surface

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE19927286B4 (en) * 1999-06-15 2011-07-28 Qimonda AG, 81739 Use of a grinding solution for the chemical mechanical polishing of a precious metal surface
EP1111665A2 (en) * 1999-12-21 2001-06-27 Applied Materials, Inc. Method of planarizing a substrate surface
EP1111665A3 (en) * 1999-12-21 2004-01-02 Applied Materials, Inc. Method of planarizing a substrate surface
US7041599B1 (en) 1999-12-21 2006-05-09 Applied Materials Inc. High through-put Cu CMP with significantly reduced erosion and dishing
US6936543B2 (en) * 2002-06-07 2005-08-30 Cabot Microelectronics Corporation CMP method utilizing amphiphilic nonionic surfactants

Similar Documents

Publication Publication Date Title
EP1064338B1 (en) Chemical mechanical polishing slurry useful for copper substrates
EP1098948B1 (en) Chemical mechanical polishing slurry useful for copper/tantalum substrate
US6217416B1 (en) Chemical mechanical polishing slurry useful for copper/tantalum substrates
US6800218B2 (en) Abrasive free formulations for chemical mechanical polishing of copper and associated materials and method of using same
US6709316B1 (en) Method and apparatus for two-step barrier layer polishing
US6316365B1 (en) Chemical-mechanical polishing method
US20020019202A1 (en) Control of removal rates in CMP
JP5596344B2 (en) Silicon oxide polishing method using colloidal silica
KR101195349B1 (en) Ruthenium cmp compositions and methods
EP0931118A1 (en) Composition and method for polishing a composite comprising titanium
KR20060016498A (en) Slurry composition, method for forming the slurry composition and method for polishing an object using the slurry composition
US6475069B1 (en) Control of removal rates in CMP
US6530824B2 (en) Method and composition for polishing by CMP
US7070485B2 (en) Polishing composition
US6440857B1 (en) Two-step CMP method and employed polishing compositions
US6693035B1 (en) Methods to control film removal rates for improved polishing in metal CMP
KR100479804B1 (en) Slurry compositions for metal cmp
WO2000030154A2 (en) Method to control film removal rates for improved polishing in metal cmp
KR100725550B1 (en) Cu CMP Slurry And Cu Polishing Method Using Thereof
KR100565426B1 (en) Slurry for chemical and mechanical polishing of Tungsten line
KR100649859B1 (en) CMP Slurry for Polishing of Cu Lines
KR100432637B1 (en) CMP slurry for polishing copper wirings
KR100496501B1 (en) Cmp slurry composition for a diffusion barrier comprising tantalum metal or its derivation in a copper interconnect
KR100552381B1 (en) Slurry Composition for Chemical Mechanical Polishing of Metal
KR20030035637A (en) Slurry for chemical and mechanical polishing of Cu lines

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): CN JP KR SG

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE

121 Ep: the epo has been informed by wipo that ep was designated in this application
DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
122 Ep: pct application non-entry in european phase