WO2000019524A3 - Ic interconnect structures and methods for making same - Google Patents

Ic interconnect structures and methods for making same Download PDF

Info

Publication number
WO2000019524A3
WO2000019524A3 PCT/US1999/022567 US9922567W WO0019524A3 WO 2000019524 A3 WO2000019524 A3 WO 2000019524A3 US 9922567 W US9922567 W US 9922567W WO 0019524 A3 WO0019524 A3 WO 0019524A3
Authority
WO
WIPO (PCT)
Prior art keywords
etch
layer
stop
methods
sub
Prior art date
Application number
PCT/US1999/022567
Other languages
French (fr)
Other versions
WO2000019524A2 (en
WO2000019524A9 (en
Inventor
Bin Zhao
Maureen R Brongo
Original Assignee
Conexant Systems Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Conexant Systems Inc filed Critical Conexant Systems Inc
Publication of WO2000019524A2 publication Critical patent/WO2000019524A2/en
Publication of WO2000019524A3 publication Critical patent/WO2000019524A3/en
Publication of WO2000019524A9 publication Critical patent/WO2000019524A9/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Methods and structures are disclosed for advanced interconnects in sub-micron and sub-half-micron integrated circuit devices fabricated using a single damascene process. A dielectric etch-stop layer (e.g., silicon nitride) is deposited subsequent to rather than prior to CMP processing of the previous metallization layer (e.g., the conductive plug). This scheme effectively eliminates the effect of CMP-induced erosion on the etch-stop layer and therefore allows an extremely thin etch stop to be used. Moreover, a high etch-selectivity can be obtained for the trench etch, and all etch-stop material is removed from beneath the interconnect metal, thereby reducing parasitic effects. A patterned dielectric layer is used as a metal cap in place of the standard blanket silicon nitride layer, thus preventing the formation of blisters and bubbles associated with trapped moisture and gasses, and reducing interconnect capacitance.
PCT/US1999/022567 1998-09-30 1999-09-30 Ic interconnect structures and methods for making same WO2000019524A2 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/163,967 US6245663B1 (en) 1998-09-30 1998-09-30 IC interconnect structures and methods for making same
US09/163,967 1998-09-30

Publications (3)

Publication Number Publication Date
WO2000019524A2 WO2000019524A2 (en) 2000-04-06
WO2000019524A3 true WO2000019524A3 (en) 2000-09-14
WO2000019524A9 WO2000019524A9 (en) 2001-12-13

Family

ID=22592406

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US1999/022567 WO2000019524A2 (en) 1998-09-30 1999-09-30 Ic interconnect structures and methods for making same

Country Status (3)

Country Link
US (1) US6245663B1 (en)
TW (1) TW430966B (en)
WO (1) WO2000019524A2 (en)

Families Citing this family (60)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6121126A (en) * 1998-02-25 2000-09-19 Micron Technologies, Inc. Methods and structures for metal interconnections in integrated circuits
US6143655A (en) * 1998-02-25 2000-11-07 Micron Technology, Inc. Methods and structures for silver interconnections in integrated circuits
US6492694B2 (en) 1998-02-27 2002-12-10 Micron Technology, Inc. Highly conductive composite polysilicon gate for CMOS integrated circuits
US6815303B2 (en) * 1998-04-29 2004-11-09 Micron Technology, Inc. Bipolar transistors with low-resistance emitter contacts
US20040084780A1 (en) * 1998-07-07 2004-05-06 Tri-Rung Yew Dual damascene structure for the wiring-line structures of multi-level interconnects in integrated circuit
FR2784768A1 (en) * 1998-10-16 2000-04-21 Schlumberger Ind Sa Protecting integrated circuits on cards from the effects of electromagnetic radiation by using silica doped with Phosphorus or Boron or an irregular surface or metallic screening
JP3064268B2 (en) * 1998-10-29 2000-07-12 アプライド マテリアルズ インコーポレイテッド Film forming method and apparatus
US6936531B2 (en) 1998-12-21 2005-08-30 Megic Corporation Process of fabricating a chip structure
US6965165B2 (en) * 1998-12-21 2005-11-15 Mou-Shiung Lin Top layers of metal for high performance IC's
US6417090B1 (en) * 1999-01-04 2002-07-09 Advanced Micro Devices, Inc. Damascene arrangement for metal interconnection using low k dielectric constant materials for etch stop layer
US6573124B1 (en) * 1999-05-03 2003-06-03 Hughes Electronics Corp. Preparation of passivated chip-on-board electronic devices
US6573173B2 (en) 1999-07-13 2003-06-03 Motorola, Inc. Method for forming a copper interconnect using a multi-platen chemical mechanical polishing (CMP) process
US6734110B1 (en) * 1999-10-14 2004-05-11 Taiwan Semiconductor Manufacturing Company Damascene method employing composite etch stop layer
US6683380B2 (en) 2000-07-07 2004-01-27 Texas Instruments Incorporated Integrated circuit with bonding layer over active circuitry
DE10043215C1 (en) * 2000-09-01 2002-04-18 Infineon Technologies Ag Method for producing an antifuse, antifuse for the selective electrical connection of adjacent conductive areas and integrated circuit with an antifuse
US6657305B1 (en) * 2000-11-01 2003-12-02 International Business Machines Corporation Semiconductor recessed mask interconnect technology
DE10058886C1 (en) * 2000-11-27 2002-05-23 Infineon Technologies Ag Production of an integrated semiconductor product used as ferroelectric random access memories comprises forming semiconductor wafer, molding connections, exposing the connections, applying protective layer and polishing
TW471107B (en) * 2000-11-27 2002-01-01 Nanya Technology Corp Dual damascene manufacturing method of porous low-k dielectric material
US20020173079A1 (en) * 2000-12-28 2002-11-21 Erdem Kaltalioglu Dual damascene integration scheme using a bilayer interlevel dielectric
US6583631B2 (en) * 2001-01-12 2003-06-24 Kavlico Corporation Precise dielectric constant sensor
US6777344B2 (en) 2001-02-12 2004-08-17 Lam Research Corporation Post-etch photoresist strip with O2 and NH3 for organosilicate glass low-K dielectric etch applications
US6893969B2 (en) * 2001-02-12 2005-05-17 Lam Research Corporation Use of ammonia for etching organic low-k dielectrics
US6841483B2 (en) * 2001-02-12 2005-01-11 Lam Research Corporation Unique process chemistry for etching organic low-k materials
US6607962B2 (en) * 2001-08-09 2003-08-19 Maxim Integrated Products, Inc. Globally planarized backend compatible thin film resistor contact/interconnect process
US6757971B2 (en) 2001-08-30 2004-07-06 Micron Technology, Inc. Filling plugs through chemical mechanical polish
JP3780189B2 (en) * 2001-09-25 2006-05-31 富士通株式会社 Semiconductor device manufacturing method and semiconductor device
US6472314B1 (en) * 2001-10-02 2002-10-29 Lsi Logic Corporation Diamond barrier layer
US7932603B2 (en) 2001-12-13 2011-04-26 Megica Corporation Chip structure and process for forming the same
US7087919B2 (en) * 2002-02-20 2006-08-08 Micron Technology, Inc. Layered resistance variable memory device and method of fabrication
US7151273B2 (en) 2002-02-20 2006-12-19 Micron Technology, Inc. Silver-selenide/chalcogenide glass stack for resistance variable memory
JP2004119478A (en) * 2002-09-24 2004-04-15 Renesas Technology Corp Semiconductor memory device, nonvolatile memory device, and magnetic memory device
KR100504666B1 (en) * 2002-11-12 2005-08-03 한국전자통신연구원 Method of forming a photosensitive film pattern
US7214609B2 (en) * 2002-12-05 2007-05-08 Texas Instruments Incorporated Methods for forming single damascene via or trench cavities and for forming dual damascene via cavities
US7147767B2 (en) * 2002-12-16 2006-12-12 3M Innovative Properties Company Plating solutions for electrochemical or chemical deposition of copper interconnects and methods therefor
US6884338B2 (en) * 2002-12-16 2005-04-26 3M Innovative Properties Company Methods for polishing and/or cleaning copper interconnects and/or film and compositions therefor
US6858124B2 (en) * 2002-12-16 2005-02-22 3M Innovative Properties Company Methods for polishing and/or cleaning copper interconnects and/or film and compositions therefor
US6972217B1 (en) * 2002-12-23 2005-12-06 Lsi Logic Corporation Low k polymer E-beam printable mechanical support
AU2003220989A1 (en) * 2003-03-28 2004-10-25 Fujitsu Limited Semiconductor device
US20040248400A1 (en) * 2003-06-09 2004-12-09 Kim Sun-Oo Composite low-k dielectric structure
US6930357B2 (en) * 2003-06-16 2005-08-16 Infineon Technologies Ag Active SOI structure with a body contact through an insulator
US7014727B2 (en) * 2003-07-07 2006-03-21 Potomac Photonics, Inc. Method of forming high resolution electronic circuits on a substrate
US6979641B2 (en) * 2004-03-19 2005-12-27 Micron Technology, Inc. Methods of forming a conductive contact through a dielectric
US7387958B2 (en) 2005-07-08 2008-06-17 Raytheon Company MMIC having back-side multi-layer signal routing
US7749896B2 (en) * 2005-08-23 2010-07-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for forming the same
JP2007095898A (en) * 2005-09-28 2007-04-12 Toshiba Corp Semiconductor storage device and method of manufacturing same
US20070080455A1 (en) * 2005-10-11 2007-04-12 International Business Machines Corporation Semiconductors and methods of making
US7557025B2 (en) * 2005-11-04 2009-07-07 United Microelectronics Corp. Method of etching a dielectric layer to form a contact hole and a via hole and damascene method
US7863183B2 (en) * 2006-01-18 2011-01-04 International Business Machines Corporation Method for fabricating last level copper-to-C4 connection with interfacial cap structure
US7863176B2 (en) * 2008-05-13 2011-01-04 Micron Technology, Inc. Low-resistance interconnects and methods of making same
US20100285667A1 (en) * 2009-05-06 2010-11-11 International Business Machines Corporation Method to preserve the critical dimension (cd) of an interconnect structure
JP5613388B2 (en) * 2009-07-23 2014-10-22 ピーエスフォー ルクスコ エスエイアールエルPS4 Luxco S.a.r.l. Manufacturing method of semiconductor device
US9608119B2 (en) * 2010-03-02 2017-03-28 Micron Technology, Inc. Semiconductor-metal-on-insulator structures, methods of forming such structures, and semiconductor devices including such structures
US9646869B2 (en) 2010-03-02 2017-05-09 Micron Technology, Inc. Semiconductor devices including a diode structure over a conductive strap and methods of forming such semiconductor devices
US8507966B2 (en) 2010-03-02 2013-08-13 Micron Technology, Inc. Semiconductor cells, arrays, devices and systems having a buried conductive line and methods for forming the same
US8598621B2 (en) 2011-02-11 2013-12-03 Micron Technology, Inc. Memory cells, memory arrays, methods of forming memory cells, and methods of forming a shared doped semiconductor region of a vertically oriented thyristor and a vertically oriented access transistor
US8952418B2 (en) 2011-03-01 2015-02-10 Micron Technology, Inc. Gated bipolar junction transistors
US8519431B2 (en) 2011-03-08 2013-08-27 Micron Technology, Inc. Thyristors
US8772848B2 (en) * 2011-07-26 2014-07-08 Micron Technology, Inc. Circuit structures, memory circuitry, and methods
US9673091B2 (en) 2015-06-25 2017-06-06 Globalfoundries Inc. Structure for BEOL metal levels with multiple dielectric layers for improved dielectric to metal adhesion
US11177166B2 (en) 2020-04-17 2021-11-16 International Business Machines Corporation Etch stop layer removal for capacitance reduction in damascene top via integration

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5604156A (en) * 1994-11-30 1997-02-18 Samsung Electronics Co., Ltd. Wire forming method for semiconductor device
US5693563A (en) * 1996-07-15 1997-12-02 Chartered Semiconductor Manufacturing Pte Ltd. Etch stop for copper damascene process
US5736457A (en) * 1994-12-09 1998-04-07 Sematech Method of making a damascene metallization
US5739579A (en) * 1992-06-29 1998-04-14 Intel Corporation Method for forming interconnections for semiconductor fabrication and semiconductor device having such interconnections
US5741626A (en) * 1996-04-15 1998-04-21 Motorola, Inc. Method for forming a dielectric tantalum nitride layer as an anti-reflective coating (ARC)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4663831A (en) * 1985-10-08 1987-05-12 Motorola, Inc. Method of forming transistors with poly-sidewall contacts utilizing deposition of polycrystalline and insulating layers combined with selective etching and oxidation of said layers
US5701027A (en) * 1991-04-26 1997-12-23 Quicklogic Corporation Programmable interconnect structures and programmable integrated circuits
US5612254A (en) * 1992-06-29 1997-03-18 Intel Corporation Methods of forming an interconnect on a semiconductor substrate
US5565384A (en) * 1994-04-28 1996-10-15 Texas Instruments Inc Self-aligned via using low permittivity dielectric
US5654570A (en) * 1995-04-19 1997-08-05 International Business Machines Corporation CMOS gate stack

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5739579A (en) * 1992-06-29 1998-04-14 Intel Corporation Method for forming interconnections for semiconductor fabrication and semiconductor device having such interconnections
US5604156A (en) * 1994-11-30 1997-02-18 Samsung Electronics Co., Ltd. Wire forming method for semiconductor device
US5736457A (en) * 1994-12-09 1998-04-07 Sematech Method of making a damascene metallization
US5741626A (en) * 1996-04-15 1998-04-21 Motorola, Inc. Method for forming a dielectric tantalum nitride layer as an anti-reflective coating (ARC)
US5693563A (en) * 1996-07-15 1997-12-02 Chartered Semiconductor Manufacturing Pte Ltd. Etch stop for copper damascene process

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
"COPPER MULTILEVEL INTERCONNECTIONS", IBM TECHNICAL DISCLOSURE BULLETIN,US,IBM CORP. NEW YORK, vol. 33, no. 11, 1 April 1991 (1991-04-01), pages 299 - 300, XP000110405, ISSN: 0018-8689 *

Also Published As

Publication number Publication date
US6245663B1 (en) 2001-06-12
WO2000019524A2 (en) 2000-04-06
TW430966B (en) 2001-04-21
WO2000019524A9 (en) 2001-12-13

Similar Documents

Publication Publication Date Title
WO2000019524A3 (en) Ic interconnect structures and methods for making same
TW377502B (en) Method of dual damascene
US6271084B1 (en) Method of fabricating a metal-insulator-metal (MIM), capacitor structure using a damascene process
US6667518B2 (en) Method and semiconductor structure for implementing buried dual rail power distribution and integrated decoupling capacitance for silicon on insulator (SOI) devices
US4767724A (en) Unframed via interconnection with dielectric etch stop
KR100708427B1 (en) Method of fabricating copper interconnections using a sacrificial dielectric layer
US6265780B1 (en) Dual damascene structure for the wiring-line structures of multi-level interconnects in integrated circuit
US6939791B2 (en) Contact capping local interconnect
EP1102315A3 (en) A method to avoid copper contamination on the sidewall of a via or a dual damascene structure
KR100670227B1 (en) Method of fabricating copper interconnections in semiconductor devices
US6187663B1 (en) Method of optimizing device performance via use of copper damascene structures, and HSQ/FSG, hybrid low dielectric constant materials
KR20020070344A (en) Dielectric formation to seal porosity of etched low dielectric constant materials
US5970375A (en) Semiconductor fabrication employing a local interconnect
KR950034564A (en) Mechanochemical Polishing Method of Semiconductor Devices
KR100615658B1 (en) Buried local interconnect
US5940731A (en) Method for forming tapered polysilicon plug and plug formed
US6479884B2 (en) Interim oxidation of silsesquioxane dielectric for dual damascene process
JP2003526904A (en) Semiconductor device having capacitor structure and method of manufacturing the same
US20040121584A1 (en) Method of manufacturing a semiconductor device
US6107191A (en) Method of creating an interconnect in a substrate and semiconductor device employing the same
US5510294A (en) Method of forming vias for multilevel metallization
JP2001085389A (en) Dry-etching method for semiconductor device
KR19980063840A (en) How to Form a Buried Plug and Interconnect
US4753866A (en) Method for processing an interlevel dielectric suitable for VLSI metallization schemes
US6960520B2 (en) Method for forming metal lines in a semiconductor device

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): JP

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE

121 Ep: the epo has been informed by wipo that ep was designated in this application
DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
AK Designated states

Kind code of ref document: A3

Designated state(s): JP

AL Designated countries for regional patents

Kind code of ref document: A3

Designated state(s): AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE

AK Designated states

Kind code of ref document: C2

Designated state(s): JP

AL Designated countries for regional patents

Kind code of ref document: C2

Designated state(s): AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE

COP Corrected version of pamphlet

Free format text: PAGES 1/11-11/11, DRAWINGS, REPLACED BY NEW PAGES 1/11-11/11; DUE TO LATE TRANSMITTAL BY THE RECEIVING OFFICE

122 Ep: pct application non-entry in european phase