WO2000003431A1 - Method of forming metal interconnects - Google Patents

Method of forming metal interconnects Download PDF

Info

Publication number
WO2000003431A1
WO2000003431A1 PCT/US1999/014199 US9914199W WO0003431A1 WO 2000003431 A1 WO2000003431 A1 WO 2000003431A1 US 9914199 W US9914199 W US 9914199W WO 0003431 A1 WO0003431 A1 WO 0003431A1
Authority
WO
WIPO (PCT)
Prior art keywords
substrate
metal
line
barrier
over
Prior art date
Application number
PCT/US1999/014199
Other languages
French (fr)
Inventor
Dan Maydan
Ashok K. Sinha
Zheng Xu
Liang-Yuh Chen
Roderick Craig Mosely
Daniel Carl
Diana Xiaobing Ma
Yan Ye
Wen Chiang Tu
Original Assignee
Applied Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials, Inc. filed Critical Applied Materials, Inc.
Priority to KR1020017000274A priority Critical patent/KR20010071783A/en
Priority to JP2000559591A priority patent/JP2004513502A/en
Priority to EP99930599A priority patent/EP1103073A1/en
Publication of WO2000003431A1 publication Critical patent/WO2000003431A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors

Definitions

  • the invention relates to a method and apparatus for forming interconnects and other conductive features in the fabrication of integrated circuits and other electronic devices. More particularly, the invention relates to a method and apparatus for forming zero overlap interconnects.
  • Figure 1 shows a standard aluminum interconnect formed on a substrate over an aluminum metal 1 layer 10.
  • a hole is etched through a dielectric and then filled with a metal to create a conductive path tiirough the dielectric.
  • Metal lines are then formed between filled holes by first depositing a blanket of metal over the dielectric and filled holes and then selectively etching the metal blanket to leave metal lines between the filled holes.
  • the process sequence is performed on a substrate having a metal 1 aluminum layer 10 formed on the substrate and having a low dielectric constant insulator 11, such as silicon oxide, formed thereover.
  • a via 12 is patterned and etched into the dielectric layer to expose the conductive metal 1 layer 10.
  • a liner 13 is deposited conformally in the via and on the field of the low k dielectric layer to provide an adhesive layer as well as a nucleation layer on which tungsten can be deposited using chemical vapor deposition (CVD) to fill the via.
  • CVD chemical vapor deposition
  • Tungsten is deposited as a blanket layer using chemical vapor deposition (CVD) to fill the via and form a blanket layer on the field of the substrate.
  • a chemical mechanical polishing (CMP) process or a tungsten etch back process is then performed to remove the tungsten from the field of the substrate, leaving the via filled with tungsten.
  • An aluminum stack is then formed over the substrate field by first depositing a titanium/titanium nitride liner layer 15 and then depositing a copper doped aluminum layer thereover.
  • the aluminum stack is preferably deposited using physical vapor deposition (PVD) techniques.
  • PVD physical vapor deposition
  • an antireflective coating (ARC) such as a titanium/titanium nitride layer 17 is deposited over the aluminum stack using PVD.
  • the ARC and aluminum stack are then patterned and etched to leave lines 19 over and between the vias 12 formed below.
  • reactive ion etching (RIE) is used to etch the aluminum stack and ARC to form the line, which is selective for the aluminum, titanium and titanium nitride.
  • RIE reactive ion etching
  • RIE has been shown to perform well with aluminum to define good lines with straight sidewalls.
  • the RIE process used demonstrates a high selectivity for these materials as opposed to tungsten, thereby enabling the tungsten to act as an etch stop for the RIE process.
  • the RIE step used to form the metal line typically has a ten (10) percent non- uniformity factor which requires that the metal stack be over-etched by at least ten (10) percent to insure that all of the metal is removed from the field of the substrate over the dielectric material. Consequently, if the same metal is used for both the via and the line as would be beneficial, a portion of the via may also be etched if misalignment between the line and the via is present, thereby negatively affecting the reliability of the interconnect.
  • the line is typically left wider than the diameter of the via as the RIE process exhibits good selectivity between the line stack and the tungsten which fills the via. This insures that the via will not be etched during the formation of the line because the etch process used to form the line can be selective for aluminum and stop when it reaches the dielectric and/or the tungsten extending therethrough. Because the line in this case is wider than the diameter of the via, any offset due to the patterning process would still result in the line being located over the via. Additionally, the etch process can have a higher selectivity for aluminum compared to tungsten. In such case, if the line were severely offset, the etch process would not intrude into the tungsten via.
  • the present invention generally provides a method and apparatus for fo ⁇ ning an interconnect with zero overlap between the line and the via.
  • the invention uses the selectivity of metal etch processes to. prevent over-etching into the via during line formation.
  • an etch barrier is formed over the filled via to act as an etch barrier when the metal line is etched.
  • a dielectric layer is patterned and etched to form a via therethrough.
  • a barrier layer is deposited conformally within the via to prevent metal (e.g., aluminum and copper, primarily a concern with copper) diffusion into the surrounding dielectric material.
  • the metal is deposited into the via over the barrier layer and onto the field of the substrate.
  • the metal is deposited using a low temperature process such as a combination of CVD followed by PVD or electroplating.
  • the metal is chemically mechanically polished or etched back to remove the metal from the field of the substrate, leaving a metal filled via.
  • an etch barrier layer is deposited over the via to prevent metal diffusion into subsequently deposited dielectric material and to serve as an etch stop during line formation.
  • the etch barrier is preferably formed of a conductive material such as tungsten.
  • a metal stack is deposited over the via and the field of the substrate by first depositing a barrier layer and then a metal layer thereover.
  • an antireflective coating is formed over the upper surface of the metal layer and a line is patterned and etched into the metal stack.
  • An etch process and chemistry having a greater selectivity for the metal in the via as compared to the etch barrier material, e.g., copper to tungsten, is used to etch the line and stop on the barrier.
  • the metal deposited on the field of the substrate during via fill is chemically mechanically polished or etched back sufficiently to remove a portion of the metal in the via and form a recess in the upper portion of the via below the upper surface of the dielectric material in which the via is formed.
  • a barrier material is then deposited on the substrate to fill the recess formed over the via and form an etch barrier over the top of the via.
  • the etch barrier is then chemically mechanically polished or etched back to planarize the upper surface of the via with the substrate.
  • the metal stack is formed over the metal via and etch barrier and the line etched therethrough.
  • the via is filled with a metal and planarized using CMP or an etchback process.
  • a barrier layer is then formed over the filled via and the field of the substrate.
  • the metal stack is then formed over the barrier layer and the line etched therethrough.
  • An etch sequence is used which is selective first for the ARC layer, then the metal layer and then the barrier layer. By controlling the etch sequence and selectivity of each process, a zero-overlap interconnect can be formed by etching each layer using a different chemistry until the line has been formed over the via.
  • Figure 1 is a cross sectional view of a standard interconnect
  • Figures 2-12 are cross sectional views showing one sequence of the invention showing a zero overlap process formed over a conductive metal layer.
  • Figures 13-24 are cross sectional views showing one sequence of the invention showing a zero overlap process formed over a conductive metal layer.
  • Figures 25-34 are cross sectional views showing one sequence of the invention showing a zero overlap process formed over a conductive metal layer.
  • Figure 35 is a SEM showing an interconnect formed according to one embodiment of the invention.
  • the present invention generally provides a method and apparatus for forming an interconnect using reactive ion etching to form a metal line.
  • an etch barrier is formed over a via prior to deposition and etching to create a line thereover.
  • a conductive etch barrier is used in combination with a selective etch chemistry to form the line without over-etching into the via if slight mis-alignment is present.
  • the etch barrier is formed over the filled via to provide an etch stop for the etch process used to form the line.
  • an etch sequence is used to form a line which is selective for the various materials used to form the barrier layer and the metal stack over the via. At least two etch chemistries are employed either in the same chamber or in separate chambers to etch through the metal and then through the barrier layer formed over the via and the field of the substrate.
  • a passivation layer such as a silicon nitride (SiN) layer or other passivation layer, is preferably deposited over the resulting structure according to known techniques in the art.
  • Figures 2 through 12 are cross sectional views of a substrate depicting a process sequence for forming an interconnect according to one embodiment of the invention.
  • Figure 2 shows a dielectric layer 22 formed over a conductive or metal layer 24.
  • a via 26 is formed through the dielectric layer 22 and extends down to the metal layer 24.
  • the metal layer 24 is made of copper.
  • other conductive materials such as aluminum, tungsten and the like can be used.
  • the dielectric layer 22 is preferably made of a low dielectric constant material such as silicon oxide, fluorine doped silicon oxide or carbon fluoride.
  • a conformal barrier layer 28 is then deposited over the surface of the dielectric layer 22 and in the via 26 as shown in Figure 3.
  • the barrier layer 28 is preferably made of a material such as tantalum, tantalum nitride, titanium, titanium nitride or other barrier materials known in the art.
  • the barrier layer 28 is preferably deposited using high density plasma physical vapor deposition, such as an Ionized Metal Plasma (IMP) process provided by Applied Materials, Inc. of Santa Clara, California, to enable good conformal coverage in high aspect ratio features (i.e., height to width ratios).
  • IMP Ionized Metal Plasma
  • other techniques such as physical vapor deposition, chemical vapor deposition, electrodeless plating, electroplating and the like can be used.
  • the barrier layer 28 prevents diffusion of the metal into the surrounding dielectric material and also provides a good adhesive layer on the surrounding dielectric onto which the metal can be deposited.
  • the via 26 is then filled with a metal, such as copper, as illustrated in Figures 4 and 5.
  • a low temperature process is preferably used which first deposits the metal using chemical vapor deposition techniques to form a conformal wetting layer 30 over the surface of the barrier layer 28. Subsequently, metal layer 32 is deposited over the conformal wetting metal layer 30 using physical vapor deposition (PVD) to completely fill the via 26.
  • PVD physical vapor deposition
  • electroplating can be used to fill the via, alone or in combination with a CVD or PVD seed layer, or a combination of a CVD PVD seed layer. While these methods are preferred, other techniques known in the art could be employed as well. Filling of the via will also result in deposition of the via fill material over the field of the substrate, i.e., on the surface of the dielectric layer between the vias, contacts, etc.
  • a metal filled via 33 remains with a barrier layer 28 disposed between the metal filling the via and the dielectric 22.
  • a second barrier layer 34 is selectively deposited over the metal filled via 33 and the upper surface of the barrier layer 28 to prevent diffusion of copper into the dielectric.
  • the barrier layer 34 is preferably made of a conductive material, such as tungsten', or other material which is conductive and for which an etch process can exhibit good selectivity in relation to the metal used to fill the via and the line.
  • a blanket metal layer 38 is then deposited over the barrier layer 34 by first depositing a subsequent barrier layer 36 such as tantalum, tantalum nitride, titanium, titanium nitride, or combinations thereof and then depositing a metal layer 38, such as copper, thereover as shown in Figures 8 and 9. Subsequently, an anti-reflective coating (ARC) 40, such as tantalum, lantalum nitride, tungsten nitride, titanium, titanium nitride or the like, can be deposited over the metal layer 38 as shown in Figure 10. A metal line 42 is then patterned over the ARC and formed using reactive ion etching techniques to connect the vias formed below as shown in Figure 1 1. A passivation layer 44, such as a silicon nitride layer, is then deposited conformally over the feature as shown in Figure 12.
  • ARC anti-reflective coating
  • Figures 13 through 24 are cross sectional views of a substrate depicting another embodiment of a process sequence for forming an interconnect according to the invention. In this embodiment, similar layers will be similarly numbered for ease of description.
  • Figures 13 through 16 depict similar steps disclosed in Figures 2 through 5 above including forming a via in a dielectric layer 22, forming a conformal barrier layer 28 in the via, filling the via 26 by depositing a metal in the via.
  • the two-step low temperature process is a preferred technique, however, other known deposition techniques, including electroplating, CVD, and PVD, can be used
  • the metal layer 30, 32 formed over the substrate and the barrier layer 28 on the field of the substrate is then etched back using reactive ion etching or is chemically mechanically polished back to the dielectric layer 22.
  • reactive ion etching the etching process is continued once the endpoint is detected, i.e., after all material is etched from the field, to over- etch the via 26 and form a recess 31 in the upper surface of the via as shown in Figure 17. If CMP is used, the process conditions are adjusted so that over polishing occurs in the via to form a recess in the upper portion thereof.
  • a second barrier layer 34 preferably comprised of tungsten, is then deposited as a blanket layer in the recess 31 and on the field of the substrate to fill the recess as shown in Figure 18.
  • the second barrier layer 34 is chemically mechanically polished to planarize the surface and leave a barrier plug 35 in the depression formed in the upper surface of the via as shown in Figure 19, while removing the barrier material from the field.
  • a barrier layer 28 is formed over the plug 35 and the field of the substrate as shown in Figure 20.
  • a metal layer 38 is formed over the barrier layer 28 and the barrier plug 35 as shown in Figure 21 and described in reference to Figure 9.
  • An anti- reflective coating 40 is then deposited over the metal layer 38 (as shown in Figure 22) and the combination is etched using reactive ion etching to form the line 42 (as shown n Figure 23).
  • a passivation layer 44 such as a silicon nitride layer is then preferably deposited over the line as shown in Figure 24.
  • Figures 25 through 34 are cross sectional views of a substrate depicting another embodiment of a process sequence for forming an interconnect according to the invention.
  • FIGs 25 through 28 depict similar steps disclosed in Figures 3 through 6 above including forming a via in a dielectric layer 22, forming a conformal barrier layer 28 in the via, filling the via 26 by depositing a metal therein.
  • the two-step low temperature process is a preferred technique, however, other known deposition techniques can be used.
  • the substrate is planarized using CMP.
  • a barrier layer, such as a Ta/TaN layer 28 is then formed over the surface of the substrate including the field of the substrate and the upper surface of the via as shown in Figure 29.
  • a metal stack comprised of metal layer 38 and ARC layer 40 is formed over the barrier layer 28 as shown in Figure 31 and described above.
  • the metal stack and barrier layer are etched in a single chamber or two chambers using at least two different chemistries.
  • a chlorine based reactive ion etching process selective for copper over tantalum or tantalum nitride is used to etch the copper line as shown in Figure 33.
  • This chemistry is selective for copper and stops on the barrier layer 28 which is preferably made of tantalum or tantalum nitride.
  • a fluorine based chemistry is used to etch the barrier layer 28 down to the dielectric material 22 and the copper via 33.
  • chlorine based gases include SiCl 4 , BC1 3 , HCI and Cl 2 .
  • fluorine based gases include CHF j and CF 4 .
  • the following example was carried out using a photolithographer available from ASM, an integrated Endura processing system available from Applied Materials, Inc. located in Santa Clara, California having an IMP PVD Ta/TaN chamber, a CVD Cu chamber, a PVD Cu chamber and a CVD W chamber mounted thereon, a Mirra CMP system available from Applied Materials, Inc. and a Centura DPS RIE metal etch system available from Applied Materials, Inc.
  • a substrate having a silicon oxide layer (SiO 2 ) formed over a conductive layer was used to form an interconnect according to one embodiment of the invention.
  • a via having a width of 0.35 ⁇ m and a height of 1.2 ⁇ m was etched through the dielectric.
  • the substrate was introduced into the Endura system and degassed at 350°C for about forty (40) seconds.
  • the substrate was then transferred into a Pre-Clean II chamber available from Applied Materials, Inc. where about 250A were removed from the surface of the patterned dielectric.
  • the cleaned substrate was transferred into the tantalum nitride high density plasma physical vapor deposition (HDP-PVD) chamber where a barrier layer comprising tantalum/tantalum nitride/tantalum was deposited conformally in the via and on the field of the substrate.
  • An argon plasma was initially struck in the chamber and tantalum was initially deposited on the substrate. Nitrogen was then flown into the chamber and tantalum nitride was deposited onto the tantalum. Nitrogen delivery was then terminated and tantalum was then sputter deposited over the tantalum nitride.
  • the substrate was then transferred into a copper CVD chamber where a conformal wetting layer was deposited in the via using chemical vapor deposition (CVD) techniques.
  • the pressure in the chamber was between about 1 and about 5 Torr and the substrate temperature was maintained at about 260°C.
  • Cu(I) hfac tmvs was used as the copper source.
  • the source liquid was flo ⁇ vn at about 0.25 to about 2.0 ml/min with a carrier gas such as He, H 2 or Ar.
  • the substrate was transferred into a copper PVD chamber where the via was completely filled using a low temperature (i.e., ⁇ 450°C) PVD technique.
  • the substrate was then moved into the chemical mechanical polishing system to planarize the copper layer back to the barrier layer and then the barrier layer back to the low k dielectric.
  • the substrate could be introduced into a DPS etch back chamber also available from Applied Materials, Inc. where the copper layer and barrier layer deposited on the field of the substrate can be removed.
  • the substrate was moved into a WxZ CVD Chamber where a tungsten barrier layer was selectively deposited over the copper via and tantalum nitride barrier layer disposed in the via.
  • the substrate was then moved into a PVD Ta/TaN chamber where another barrier layer comprised of tantalum, tantalum nitride and tantalum was then deposited over the substrate to form a bottom barrier layer for the copper line subsequently formed thereover.
  • the substrate was moved into a Cu PVD chamber where a copper blanket layer of about 1.2 ⁇ m was then deposited over the barrier layer using PVD techniques.
  • the process was carried out at a target bias power of about 2 to about lOkW and at a pressure of about 0.1 to about 15mTorr.
  • the substrate was then moved into a Ta/TaN PVD chamber where an anti-reflective coating (ARC) was then deposited over the copper blanket layer to enhance resolution in the subsequent photolithography steps used to pattern the copper line.
  • ARC anti-reflective coating
  • the ARC was patterned using an oxide hard mask and both the ARC and copper blanket layer are etched to define a line over the plug formed below.
  • the W:Cu selectivity of the polishing process or the etch back process is greater than about 4:1.
  • the copper line was etched using a chlorine based etch process.
  • Example 2 The same equipment described in Example 1 was used to perform the process sequence of this example except that the tungsten CVD chamber is replaced with a tungsten PVD chamber, also available from Applied Materials, Inc.
  • a substrate having a silicon oxide layer (SiO 2 ) formed over a conductive layer was used to form an interconnect according to one embodiment of the invention.
  • a via having a width of 0.35 ⁇ m and a height of 1.2 ⁇ m was etched through the dielectric.
  • the substrate was introduced into the Endura® system and degassed at 350°C for about forty (40) seconds. The substrate was then transferred into a Pre-Clean II chamber available from Applied
  • the cleaned substrate was transferred into a tantalum/tantalum nitride high density plasma physical vapor deposition (HDP-PVD) chamber where a barrier layer comprising tantalum/tantalum nitride/tantalum was deposited conformally in the via and on the field of the substrate.
  • HDP-PVD high density plasma physical vapor deposition
  • the substrate was then transferred into a copper CVD chamber where a conformal wetting layer was deposited in the via using chemical vapor deposition (CVD) techniques as in Example 1.
  • CVD chemical vapor deposition
  • the substrate was transferred into a copper PVD chamber where the via was completely filled using a low temperature (i.e., ⁇ 450°C) PVD technique.
  • the substrate was then introduced into an etch back chamber also available from Applied Materials, Inc. where the copper layer on the field of the substrate was removed. The etching process was continued to over-etch the via and form a recess in the upper surface of the via. Next, the substrate was degassed and pre-cleaned in a Pre-Clean II Chamber available from Applied Materials, Inc.
  • the substrate was moved into a tungsten PVD chamber where a tungsten barrier layer was deposited over the copper via and tantalum nitride barrier layer on the field of the substrate.
  • the process was carried out using a tungsten target and an argon flow rate of about 1 to about 50 seem and a target bias of about 500 W to about 16kW.
  • the substrate was moved into a CMP system where the W was removed from the field of the substrate to form a thin tungsten plug in the recess formed in the via.
  • the substrate was moved into a TaN PVD chamber where a TaN layer was then deposited over the tungsten plug and field using PVD techniques as in Example 1.
  • the substrate was moved into a Cu PVD chamber where a copper blanket layer was then deposited over the TaN layer using PVD techniques as in Example 1.
  • the substrate was then moved into a Ta/TaN PVD chamber or a WN CVD chamber where an anti-reflective coating (ARC) was then deposited over the copper blanket layer to enhance resolution in the subsequent photolithography steps used to pattern the copper line.
  • ARC anti-reflective coating
  • the ARC was patterned and both the ARC and copper blanket layer are etched to define a line over the plug formed below.
  • the resulting structure is shown in Figure 30.
  • Example 2 The same equipment described in Example 1 was used to perform the process sequence of this example except that the tungsten CVD chamber is replaced with a tungsten PVD chamber, also available from Applied Materials, Inc.
  • a substrate having a silicon oxide layer (SiO 2 ) formed over a conductive layer was used to form an interconnect according to one embodiment of the invention.
  • a via having a width of 0.35 ⁇ m and a height of 1.2 ⁇ m was etched through the dielectric.
  • the substrate was introduced into the Endura system and degassed at 350°C for about forty (40) seconds.
  • the substrate was then transferred into a Pre-Clean II chamber available from Applied Materials, Inc. where about 250A were removed from the surface of the patterned dielectric.
  • the cleaned substrate was transferred into a tantalum/tantalum nitride high density plasma physical vapor deposition (HDP-PVD) chamber (known as an IMP chamber) where a barrier layer comprising tantalum/tantalum nitride/tantalum was deposited conformally in the via and on the field of the substrate as described above.
  • HDP-PVD high density plasma physical vapor deposition
  • the substrate was then transferred into a copper CVD chamber where a conformal wetting layer was deposited in the via using chemical vapor deposition (CVD) techniques as described above.
  • CVD chemical vapor deposition
  • the substrate was transferred into a copper PVD chamber where the via was completely filled using a low temperature (i.e., ⁇ 450°C) PVD technique.
  • the substrate was then introduced into the Mirra CMP system also available from Applied Materials, Inc. where the copper layer on the field of the substrate was removed.
  • the substrate was degassed and pre-cleaned in a Pre-Clean II Chamber available from Applied Materials, Inc.
  • the substrate was moved into a tantalum tantalum nitride PVD chamber where a tantalum/tantalum nitride barrier layer was deposited over substrate and the copper via.
  • the substrate was moved into a Cu PVD chamber where a copper blanket layer was then deposited over the TaN layer using PVD techniques.
  • the substrate was then moved into a Ta/TaN PVD chamber or a WN CVD chamber where an anti-reflective coating (ARC) was then deposited over the copper blanket layer to enhance resolution in the subsequent photolithography steps used to pattern the copper line.
  • ARC anti-reflective coating
  • the ARC was patterned and both the ARC and copper blanket layer were etched using a chlorine based chemistry comprising SiCl 4 , HCI and BC1 3 at a combined flow rate of between about 50-250 seem to yield copper lines over the field.
  • the pressure was maintained at about 5mTorr to about 50mTorr and the substrate was maintained at a temperature of about 150-350°C.
  • a bias power in the range of 100-900 W and a source power of about 800-1800W was delivered to the chamber.
  • the tantalum/tantalum nitride barrier layer on the field was removed using a fluorine based chemistry comprising CHF 3 or CF 4 and the same process parameters as used in the chlorine based etch process.

Abstract

The present invention provides a method for forming reliable interconnects in which the overlap of the line over the plug or via is minimized or eliminated. In one aspect, a barrier plug comprised of a conductive material, such as tungsten, is deposited over the via to provide an etch stop during line etching and to prevent diffusion of the metal, such as copper, into the surrounding dielectric material if the line is misaligned over the via. Additionally, the barrier plug prevents an overall reduction in resistance of the interconnect and enables reactive ion etching to be employed to form the metal line. In another aspect, reactive ion etching techniques are employed to selectively etch the metal line and the barrier layer to provide a controlled etching process which exhibits selectivity for the metal line, then the barrier and then the via or plug.

Description

METHOD OF FORMING METAL INTERCONNECTS
BACKGROUND OF THE INVENTION Field of the Invention The invention relates to a method and apparatus for forming interconnects and other conductive features in the fabrication of integrated circuits and other electronic devices. More particularly, the invention relates to a method and apparatus for forming zero overlap interconnects.
Background of the Related Art
Consistent and fairly predictable improvement in integrated circuit design and fabrication has been observed in the last decade. One key to successful improvements is the multilevel interconnect technology which provides the conductive paths between the devices of an integrated circuit (IC) device. The shrinking dimensions of horizontal lines and vertical contacts or vias in very large scale integration (VLSI) and ultra large scale integration (ULSI) technology has increased the importance of forming zero overlap interconnects. Zero overlap refers to the alignment of a line over a plug or a via. In the past, the line width has been greater than the diameter of the contact or via to prevent misalignment between the vias and lines due to distortions in the patterning and etching of the lines. However, with increased circuit density and smaller feature sizes, the need to reduce or eliminate the overlap has become necessary.
Figure 1 shows a standard aluminum interconnect formed on a substrate over an aluminum metal 1 layer 10. In the process sequence used to from this interconnect, a hole is etched through a dielectric and then filled with a metal to create a conductive path tiirough the dielectric. Metal lines are then formed between filled holes by first depositing a blanket of metal over the dielectric and filled holes and then selectively etching the metal blanket to leave metal lines between the filled holes. The process sequence is performed on a substrate having a metal 1 aluminum layer 10 formed on the substrate and having a low dielectric constant insulator 11, such as silicon oxide, formed thereover. A via 12 is patterned and etched into the dielectric layer to expose the conductive metal 1 layer 10. A liner 13 is deposited conformally in the via and on the field of the low k dielectric layer to provide an adhesive layer as well as a nucleation layer on which tungsten can be deposited using chemical vapor deposition (CVD) to fill the via. Tungsten is deposited as a blanket layer using chemical vapor deposition (CVD) to fill the via and form a blanket layer on the field of the substrate. A chemical mechanical polishing (CMP) process or a tungsten etch back process is then performed to remove the tungsten from the field of the substrate, leaving the via filled with tungsten. An aluminum stack is then formed over the substrate field by first depositing a titanium/titanium nitride liner layer 15 and then depositing a copper doped aluminum layer thereover. The aluminum stack is preferably deposited using physical vapor deposition (PVD) techniques. Next, an antireflective coating (ARC), such as a titanium/titanium nitride layer 17 is deposited over the aluminum stack using PVD. The ARC and aluminum stack are then patterned and etched to leave lines 19 over and between the vias 12 formed below. Typically, reactive ion etching (RIE) is used to etch the aluminum stack and ARC to form the line, which is selective for the aluminum, titanium and titanium nitride. RIE has been shown to perform well with aluminum to define good lines with straight sidewalls. The RIE process used demonstrates a high selectivity for these materials as opposed to tungsten, thereby enabling the tungsten to act as an etch stop for the RIE process.
However, the RIE step used to form the metal line typically has a ten (10) percent non- uniformity factor which requires that the metal stack be over-etched by at least ten (10) percent to insure that all of the metal is removed from the field of the substrate over the dielectric material. Consequently, if the same metal is used for both the via and the line as would be beneficial, a portion of the via may also be etched if misalignment between the line and the via is present, thereby negatively affecting the reliability of the interconnect.
To overcome the limits imposed by the etching requirements and the 10% non- uniformity, the line is typically left wider than the diameter of the via as the RIE process exhibits good selectivity between the line stack and the tungsten which fills the via. This insures that the via will not be etched during the formation of the line because the etch process used to form the line can be selective for aluminum and stop when it reaches the dielectric and/or the tungsten extending therethrough. Because the line in this case is wider than the diameter of the via, any offset due to the patterning process would still result in the line being located over the via. Additionally, the etch process can have a higher selectivity for aluminum compared to tungsten. In such case, if the line were severely offset, the etch process would not intrude into the tungsten via.
With the trend to achieve faster speeds in devices and the demand for increased circuit density, it has become necessary to reduce, and even eliminate, the overlap between the lines and the vias and change the material from tungsten to aluminum and/or copper. Consequently, it has become necessary to provide a process for forming interconnects which can accommodate the use of the same material for both the via and the line and also to accommodate the reduction in overlap between the line and the via.
Therefore, there is a need for a method and apparatus for reliably forming a metal interconnect which can accommodate misalignment between the via and the line.
Summary of the Invention
The present invention generally provides a method and apparatus for foπning an interconnect with zero overlap between the line and the via. The invention uses the selectivity of metal etch processes to. prevent over-etching into the via during line formation. In one embodiment, an etch barrier is formed over the filled via to act as an etch barrier when the metal line is etched. In this embodiment, a dielectric layer is patterned and etched to form a via therethrough. Next, a barrier layer is deposited conformally within the via to prevent metal (e.g., aluminum and copper, primarily a concern with copper) diffusion into the surrounding dielectric material. Next, the metal is deposited into the via over the barrier layer and onto the field of the substrate. Preferably, the metal is deposited using a low temperature process such as a combination of CVD followed by PVD or electroplating. Next, the metal is chemically mechanically polished or etched back to remove the metal from the field of the substrate, leaving a metal filled via. Next, an etch barrier layer is deposited over the via to prevent metal diffusion into subsequently deposited dielectric material and to serve as an etch stop during line formation. The etch barrier is preferably formed of a conductive material such as tungsten. Next, a metal stack is deposited over the via and the field of the substrate by first depositing a barrier layer and then a metal layer thereover. Next, an antireflective coating is formed over the upper surface of the metal layer and a line is patterned and etched into the metal stack. An etch process and chemistry having a greater selectivity for the metal in the via as compared to the etch barrier material, e.g., copper to tungsten, is used to etch the line and stop on the barrier.
In another embodiment, the metal deposited on the field of the substrate during via fill is chemically mechanically polished or etched back sufficiently to remove a portion of the metal in the via and form a recess in the upper portion of the via below the upper surface of the dielectric material in which the via is formed. A barrier material is then deposited on the substrate to fill the recess formed over the via and form an etch barrier over the top of the via. The etch barrier is then chemically mechanically polished or etched back to planarize the upper surface of the via with the substrate. Next, the metal stack is formed over the metal via and etch barrier and the line etched therethrough. In another embodiment, the via is filled with a metal and planarized using CMP or an etchback process. A barrier layer is then formed over the filled via and the field of the substrate. The metal stack is then formed over the barrier layer and the line etched therethrough. An etch sequence is used which is selective first for the ARC layer, then the metal layer and then the barrier layer. By controlling the etch sequence and selectivity of each process, a zero-overlap interconnect can be formed by etching each layer using a different chemistry until the line has been formed over the via.
Brief Description of the Drawings
So that the manner in which the above recited features, advantages and objects of the present invention are attained and can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to the embodiments thereof which are illustrated in the appended drawings.
It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
Figure 1 is a cross sectional view of a standard interconnect;
Figures 2-12 are cross sectional views showing one sequence of the invention showing a zero overlap process formed over a conductive metal layer.
Figures 13-24 are cross sectional views showing one sequence of the invention showing a zero overlap process formed over a conductive metal layer. Figures 25-34 are cross sectional views showing one sequence of the invention showing a zero overlap process formed over a conductive metal layer.
Figure 35 is a SEM showing an interconnect formed according to one embodiment of the invention.
Detailed Description of the Preferred Embodiment
The present invention generally provides a method and apparatus for forming an interconnect using reactive ion etching to form a metal line. In one aspect of the invention, an etch barrier is formed over a via prior to deposition and etching to create a line thereover. In this aspect, a conductive etch barrier is used in combination with a selective etch chemistry to form the line without over-etching into the via if slight mis-alignment is present. The etch barrier is formed over the filled via to provide an etch stop for the etch process used to form the line.
In another aspect of the invention, an etch sequence is used to form a line which is selective for the various materials used to form the barrier layer and the metal stack over the via. At least two etch chemistries are employed either in the same chamber or in separate chambers to etch through the metal and then through the barrier layer formed over the via and the field of the substrate.
A passivation layer, such as a silicon nitride (SiN) layer or other passivation layer, is preferably deposited over the resulting structure according to known techniques in the art.
Self Aligned Barrier on Copper
Figures 2 through 12 are cross sectional views of a substrate depicting a process sequence for forming an interconnect according to one embodiment of the invention. Figure 2 shows a dielectric layer 22 formed over a conductive or metal layer 24. A via 26 is formed through the dielectric layer 22 and extends down to the metal layer 24. Preferably, the metal layer 24 is made of copper. However, other conductive materials such as aluminum, tungsten and the like can be used. The dielectric layer 22 is preferably made of a low dielectric constant material such as silicon oxide, fluorine doped silicon oxide or carbon fluoride. A conformal barrier layer 28 is then deposited over the surface of the dielectric layer 22 and in the via 26 as shown in Figure 3. The barrier layer 28 is preferably made of a material such as tantalum, tantalum nitride, titanium, titanium nitride or other barrier materials known in the art. The barrier layer 28 is preferably deposited using high density plasma physical vapor deposition, such as an Ionized Metal Plasma (IMP) process provided by Applied Materials, Inc. of Santa Clara, California, to enable good conformal coverage in high aspect ratio features (i.e., height to width ratios). However, other techniques such as physical vapor deposition, chemical vapor deposition, electrodeless plating, electroplating and the like can be used. The barrier layer 28 prevents diffusion of the metal into the surrounding dielectric material and also provides a good adhesive layer on the surrounding dielectric onto which the metal can be deposited.
The via 26 is then filled with a metal, such as copper, as illustrated in Figures 4 and 5. A low temperature process is preferably used which first deposits the metal using chemical vapor deposition techniques to form a conformal wetting layer 30 over the surface of the barrier layer 28. Subsequently, metal layer 32 is deposited over the conformal wetting metal layer 30 using physical vapor deposition (PVD) to completely fill the via 26. In addition, electroplating can be used to fill the via, alone or in combination with a CVD or PVD seed layer, or a combination of a CVD PVD seed layer. While these methods are preferred, other techniques known in the art could be employed as well. Filling of the via will also result in deposition of the via fill material over the field of the substrate, i.e., on the surface of the dielectric layer between the vias, contacts, etc.
The portion of the metal which is formed over the field of the substrate, i.e., the upper surface of the dielectric layer 22 between the vias, is then removed using either chemical mechanical polishing or an etch back process as shown in Figure 6. Following the removal of the metal from the field, a metal filled via 33 remains with a barrier layer 28 disposed between the metal filling the via and the dielectric 22. Next, a second barrier layer 34 is selectively deposited over the metal filled via 33 and the upper surface of the barrier layer 28 to prevent diffusion of copper into the dielectric. The barrier layer 34 is preferably made of a conductive material, such as tungsten', or other material which is conductive and for which an etch process can exhibit good selectivity in relation to the metal used to fill the via and the line.
A blanket metal layer 38 is then deposited over the barrier layer 34 by first depositing a subsequent barrier layer 36 such as tantalum, tantalum nitride, titanium, titanium nitride, or combinations thereof and then depositing a metal layer 38, such as copper, thereover as shown in Figures 8 and 9. Subsequently, an anti-reflective coating (ARC) 40, such as tantalum, lantalum nitride, tungsten nitride, titanium, titanium nitride or the like, can be deposited over the metal layer 38 as shown in Figure 10. A metal line 42 is then patterned over the ARC and formed using reactive ion etching techniques to connect the vias formed below as shown in Figure 1 1. A passivation layer 44, such as a silicon nitride layer, is then deposited conformally over the feature as shown in Figure 12.
Blanket Tungsten with CMP or Etchback Barrier on Copper
Figures 13 through 24 are cross sectional views of a substrate depicting another embodiment of a process sequence for forming an interconnect according to the invention. In this embodiment, similar layers will be similarly numbered for ease of description. Figures 13 through 16 depict similar steps disclosed in Figures 2 through 5 above including forming a via in a dielectric layer 22, forming a conformal barrier layer 28 in the via, filling the via 26 by depositing a metal in the via. As stated above, the two-step low temperature process is a preferred technique, however, other known deposition techniques, including electroplating, CVD, and PVD, can be used
The metal layer 30, 32 formed over the substrate and the barrier layer 28 on the field of the substrate is then etched back using reactive ion etching or is chemically mechanically polished back to the dielectric layer 22. In the case of reactive ion etching, the etching process is continued once the endpoint is detected, i.e., after all material is etched from the field, to over- etch the via 26 and form a recess 31 in the upper surface of the via as shown in Figure 17. If CMP is used, the process conditions are adjusted so that over polishing occurs in the via to form a recess in the upper portion thereof. A second barrier layer 34, preferably comprised of tungsten, is then deposited as a blanket layer in the recess 31 and on the field of the substrate to fill the recess as shown in Figure 18. Next, the second barrier layer 34 is chemically mechanically polished to planarize the surface and leave a barrier plug 35 in the depression formed in the upper surface of the via as shown in Figure 19, while removing the barrier material from the field. Subsequently, a barrier layer 28 is formed over the plug 35 and the field of the substrate as shown in Figure 20. Then, a metal layer 38 is formed over the barrier layer 28 and the barrier plug 35 as shown in Figure 21 and described in reference to Figure 9. An anti- reflective coating 40 is then deposited over the metal layer 38 (as shown in Figure 22) and the combination is etched using reactive ion etching to form the line 42 (as shown n Figure 23). A passivation layer 44, such as a silicon nitride layer is then preferably deposited over the line as shown in Figure 24.
Stack Etch Stop on Tantalum and on Copper
Figures 25 through 34 are cross sectional views of a substrate depicting another embodiment of a process sequence for forming an interconnect according to the invention. In this embodiment, similar layers will be similarly numbered for ease of description. Figures 25 through 28 depict similar steps disclosed in Figures 3 through 6 above including forming a via in a dielectric layer 22, forming a conformal barrier layer 28 in the via, filling the via 26 by depositing a metal therein. As stated above, the two-step low temperature process is a preferred technique, however, other known deposition techniques can be used. Next, the substrate is planarized using CMP. A barrier layer, such as a Ta/TaN layer 28 is then formed over the surface of the substrate including the field of the substrate and the upper surface of the via as shown in Figure 29. Next, a metal stack comprised of metal layer 38 and ARC layer 40 is formed over the barrier layer 28 as shown in Figure 31 and described above.
Next, the metal stack and barrier layer are etched in a single chamber or two chambers using at least two different chemistries. In the case of a copper interconnect, a chlorine based reactive ion etching process selective for copper over tantalum or tantalum nitride is used to etch the copper line as shown in Figure 33. This chemistry is selective for copper and stops on the barrier layer 28 which is preferably made of tantalum or tantalum nitride. Once the end point of the copper etching process is detected or determined, a fluorine based chemistry is used to etch the barrier layer 28 down to the dielectric material 22 and the copper via 33. Examples of chlorine based gases include SiCl4, BC13, HCI and Cl2. Examples of fluorine based gases include CHFj and CF4.
Example 1
The following example was carried out using a photolithographer available from ASM, an integrated Endura processing system available from Applied Materials, Inc. located in Santa Clara, California having an IMP PVD Ta/TaN chamber, a CVD Cu chamber, a PVD Cu chamber and a CVD W chamber mounted thereon, a Mirra CMP system available from Applied Materials, Inc. and a Centura DPS RIE metal etch system available from Applied Materials, Inc.
A substrate having a silicon oxide layer (SiO2) formed over a conductive layer was used to form an interconnect according to one embodiment of the invention. A via having a width of 0.35μm and a height of 1.2μm was etched through the dielectric. The substrate was introduced into the Endura system and degassed at 350°C for about forty (40) seconds.
The substrate was then transferred into a Pre-Clean II chamber available from Applied Materials, Inc. where about 250A were removed from the surface of the patterned dielectric. Next, the cleaned substrate was transferred into the tantalum nitride high density plasma physical vapor deposition (HDP-PVD) chamber where a barrier layer comprising tantalum/tantalum nitride/tantalum was deposited conformally in the via and on the field of the substrate. An argon plasma was initially struck in the chamber and tantalum was initially deposited on the substrate. Nitrogen was then flown into the chamber and tantalum nitride was deposited onto the tantalum. Nitrogen delivery was then terminated and tantalum was then sputter deposited over the tantalum nitride.
The substrate was then transferred into a copper CVD chamber where a conformal wetting layer was deposited in the via using chemical vapor deposition (CVD) techniques. The pressure in the chamber was between about 1 and about 5 Torr and the substrate temperature was maintained at about 260°C. Cu(I) hfac tmvs was used as the copper source. The source liquid was flo<vn at about 0.25 to about 2.0 ml/min with a carrier gas such as He, H2 or Ar.
Next, the substrate was transferred into a copper PVD chamber where the via was completely filled using a low temperature (i.e., <450°C) PVD technique.
The substrate was then moved into the chemical mechanical polishing system to planarize the copper layer back to the barrier layer and then the barrier layer back to the low k dielectric.
Alternatively, the substrate could be introduced into a DPS etch back chamber also available from Applied Materials, Inc. where the copper layer and barrier layer deposited on the field of the substrate can be removed.
Next, the substrate was moved into a WxZ CVD Chamber where a tungsten barrier layer was selectively deposited over the copper via and tantalum nitride barrier layer disposed in the via.
The substrate was then moved into a PVD Ta/TaN chamber where another barrier layer comprised of tantalum, tantalum nitride and tantalum was then deposited over the substrate to form a bottom barrier layer for the copper line subsequently formed thereover. Next, the substrate was moved into a Cu PVD chamber where a copper blanket layer of about 1.2μm was then deposited over the barrier layer using PVD techniques. The process was carried out at a target bias power of about 2 to about lOkW and at a pressure of about 0.1 to about 15mTorr.
The substrate was then moved into a Ta/TaN PVD chamber where an anti-reflective coating (ARC) was then deposited over the copper blanket layer to enhance resolution in the subsequent photolithography steps used to pattern the copper line.
The ARC was patterned using an oxide hard mask and both the ARC and copper blanket layer are etched to define a line over the plug formed below. Preferably, the W:Cu selectivity of the polishing process or the etch back process is greater than about 4:1. The copper line was etched using a chlorine based etch process.
Example 2
The same equipment described in Example 1 was used to perform the process sequence of this example except that the tungsten CVD chamber is replaced with a tungsten PVD chamber, also available from Applied Materials, Inc.
A substrate having a silicon oxide layer (SiO2) formed over a conductive layer was used to form an interconnect according to one embodiment of the invention. A via having a width of 0.35μm and a height of 1.2μm was etched through the dielectric. The substrate was introduced into the Endura® system and degassed at 350°C for about forty (40) seconds. The substrate was then transferred into a Pre-Clean II chamber available from Applied
Materials, Inc. where about 250A were removed from the surface of the patterned dielectric to insure that any oxide formed at the bottom of the via/contact was removed.
Next, the cleaned substrate was transferred into a tantalum/tantalum nitride high density plasma physical vapor deposition (HDP-PVD) chamber where a barrier layer comprising tantalum/tantalum nitride/tantalum was deposited conformally in the via and on the field of the substrate.
The substrate was then transferred into a copper CVD chamber where a conformal wetting layer was deposited in the via using chemical vapor deposition (CVD) techniques as in Example 1. Next, the substrate was transferred into a copper PVD chamber where the via was completely filled using a low temperature (i.e., <450°C) PVD technique.
The substrate was then introduced into an etch back chamber also available from Applied Materials, Inc. where the copper layer on the field of the substrate was removed. The etching process was continued to over-etch the via and form a recess in the upper surface of the via. Next, the substrate was degassed and pre-cleaned in a Pre-Clean II Chamber available from Applied Materials, Inc.
Next, the substrate was moved into a tungsten PVD chamber where a tungsten barrier layer was deposited over the copper via and tantalum nitride barrier layer on the field of the substrate. The process was carried out using a tungsten target and an argon flow rate of about 1 to about 50 seem and a target bias of about 500 W to about 16kW.
Next, the substrate was moved into a CMP system where the W was removed from the field of the substrate to form a thin tungsten plug in the recess formed in the via.
Next, the substrate was moved into a TaN PVD chamber where a TaN layer was then deposited over the tungsten plug and field using PVD techniques as in Example 1. Next, the substrate was moved into a Cu PVD chamber where a copper blanket layer was then deposited over the TaN layer using PVD techniques as in Example 1.
The substrate was then moved into a Ta/TaN PVD chamber or a WN CVD chamber where an anti-reflective coating (ARC) was then deposited over the copper blanket layer to enhance resolution in the subsequent photolithography steps used to pattern the copper line. The ARC was patterned and both the ARC and copper blanket layer are etched to define a line over the plug formed below. The resulting structure is shown in Figure 30.
Example 3
The same equipment described in Example 1 was used to perform the process sequence of this example except that the tungsten CVD chamber is replaced with a tungsten PVD chamber, also available from Applied Materials, Inc.
A substrate having a silicon oxide layer (SiO2) formed over a conductive layer was used to form an interconnect according to one embodiment of the invention. A via having a width of 0.35μm and a height of 1.2μm was etched through the dielectric. The substrate was introduced into the Endura system and degassed at 350°C for about forty (40) seconds.
The substrate was then transferred into a Pre-Clean II chamber available from Applied Materials, Inc. where about 250A were removed from the surface of the patterned dielectric.
Next, the cleaned substrate was transferred into a tantalum/tantalum nitride high density plasma physical vapor deposition (HDP-PVD) chamber (known as an IMP chamber) where a barrier layer comprising tantalum/tantalum nitride/tantalum was deposited conformally in the via and on the field of the substrate as described above.
The substrate was then transferred into a copper CVD chamber where a conformal wetting layer was deposited in the via using chemical vapor deposition (CVD) techniques as described above. Next, the substrate was transferred into a copper PVD chamber where the via was completely filled using a low temperature (i.e., <450°C) PVD technique.
The substrate was then introduced into the Mirra CMP system also available from Applied Materials, Inc. where the copper layer on the field of the substrate was removed.
Next, the substrate was degassed and pre-cleaned in a Pre-Clean II Chamber available from Applied Materials, Inc.
Next, the substrate was moved into a tantalum tantalum nitride PVD chamber where a tantalum/tantalum nitride barrier layer was deposited over substrate and the copper via.
Next, the substrate was moved into a Cu PVD chamber where a copper blanket layer was then deposited over the TaN layer using PVD techniques. The substrate was then moved into a Ta/TaN PVD chamber or a WN CVD chamber where an anti-reflective coating (ARC) was then deposited over the copper blanket layer to enhance resolution in the subsequent photolithography steps used to pattern the copper line.
The ARC was patterned and both the ARC and copper blanket layer were etched using a chlorine based chemistry comprising SiCl4, HCI and BC13 at a combined flow rate of between about 50-250 seem to yield copper lines over the field. The pressure was maintained at about 5mTorr to about 50mTorr and the substrate was maintained at a temperature of about 150-350°C.
A bias power in the range of 100-900 W and a source power of about 800-1800W was delivered to the chamber. Next, the tantalum/tantalum nitride barrier layer on the field was removed using a fluorine based chemistry comprising CHF3 or CF4 and the same process parameters as used in the chlorine based etch process.
While foregoing is directed to the preferred embodiment of the present invention, other and further embodiments of the invention may be devised without departing from the basis scope thereof, and the scope thereof is determined by the claims that follow.

Claims

Claims:
1. A method of forming a line over a via, comprising: a) forming an etch barrier in an upper portion of the via; b) depositing a metal layer over the etch barrier and via; and then c) etching the line.
2. The method of claim 1 wherein the etch barrier is formed by depositing a metal over the via.
3. The method of claim 2 wherein the metal is tungsten.
4. The method of claim 3 wherein the tungsten is selectively deposited over the via.
5. The method of claim 1 wherein the etch barrier is formed by removing a portion of the upper surface of the via to form a recess therein, depositing a conductive material in the recess and then planarizing the upper surface of the substrate to form an barrier in the recess.
6. The method of claim 5 wherein the recess is formed using an etch process.
7. The method of claim 5 wherein the recess is formed using a chemical mechanical process.
8. The method of claim 6 wherein the upper surface of the substrate is planarized using chemical mechanical polishing.
9. The method of claim 7 wherein the upper surface of the substrate is planarized using chemical mechanical polishing.
10. The method of claim 8 wherein the via and the line are comprised of copper.
11. The method of claim 9 wherein the via and the line are comprised of copper.
12. A method of forming an interconnect on a substrate, comprising: a) depositing a metal over the field of a substrate and in a via formed on a substrate; b) removing the metal from the field of the substrate; c) depositing a conductive barrier over the via; d) depositing a blanket metal layer over the conductive barrier and the field of the substrate; and e) etching a line in the blanket metal layer.
13. The method of claim 12 wherein the conductive barrier is selectively deposited over the via.
14. The method of claim 12 further comprising the step of forming a recess in the top of the via prior to depositing a conductive barrier over the via.
15. The method of claim 14 further comprising the step of planarizing the surface of the substrate after the conductive barrier has been deposited in the recess.
16. The method of claim 14 wherein the recess is formed using an etch process.
17. The method of claim 15 wherein the recess is formed using a chemical mechanical process.
18. The method of claim 17 wherein the via and the line are comprised of copper.
19. The method of claim 12 wherein the line is formed using an etch process which is tuned to selectively etch two different materials.
20. The method of claim 12 wherein the conductive barrier is comprised of tantalum and the metal is comprised of copper.
21. The method of claim 20 wherein the etching step comprises first etching the copper using a chlorine based chemistry and then etching the barrier comprising tantalum using a fluorine based chemistry.
PCT/US1999/014199 1998-07-08 1999-06-23 Method of forming metal interconnects WO2000003431A1 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR1020017000274A KR20010071783A (en) 1998-07-08 1999-06-23 Method of forming metal interconnects
JP2000559591A JP2004513502A (en) 1998-07-08 1999-06-23 Forming metal interconnects
EP99930599A EP1103073A1 (en) 1998-07-08 1999-06-23 Method of forming metal interconnects

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/111,657 1998-07-08
US09/111,657 US6372633B1 (en) 1998-07-08 1998-07-08 Method and apparatus for forming metal interconnects

Publications (1)

Publication Number Publication Date
WO2000003431A1 true WO2000003431A1 (en) 2000-01-20

Family

ID=22339740

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US1999/014199 WO2000003431A1 (en) 1998-07-08 1999-06-23 Method of forming metal interconnects

Country Status (7)

Country Link
US (2) US6372633B1 (en)
EP (1) EP1103073A1 (en)
JP (1) JP2004513502A (en)
KR (1) KR20010071783A (en)
CN (1) CN1359536A (en)
TW (1) TW475248B (en)
WO (1) WO2000003431A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2004114373A2 (en) * 2003-06-20 2004-12-29 Infineon Technologies Ag Stud formation for mram manufacturing

Families Citing this family (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6303972B1 (en) 1998-11-25 2001-10-16 Micron Technology, Inc. Device including a conductive layer protected against oxidation
US7067861B1 (en) * 1998-11-25 2006-06-27 Micron Technology, Inc. Device and method for protecting against oxidation of a conductive layer in said device
JP3708732B2 (en) * 1998-12-25 2005-10-19 Necエレクトロニクス株式会社 Manufacturing method of semiconductor device
US6342733B1 (en) * 1999-07-27 2002-01-29 International Business Machines Corporation Reduced electromigration and stressed induced migration of Cu wires by surface coating
US6610151B1 (en) * 1999-10-02 2003-08-26 Uri Cohen Seed layers for interconnects and methods and apparatus for their fabrication
US7105434B2 (en) 1999-10-02 2006-09-12 Uri Cohen Advanced seed layery for metallic interconnects
US6677232B2 (en) * 2000-12-21 2004-01-13 Texas Instruments Incorporated Method for fabricating metal conductors and multi-level interconnects in a semiconductor device
KR100465761B1 (en) * 2002-06-17 2005-01-13 삼성전자주식회사 Semiconductor interconnect structure with TaN and method of forming the same
US7071563B2 (en) * 2001-09-28 2006-07-04 Agere Systems, Inc. Barrier layer for interconnect structures of a semiconductor wafer and method for depositing the barrier layer
US6821848B2 (en) 2002-04-02 2004-11-23 Hewlett-Packard Development Company, L.P. Tunnel-junction structures and methods
US6967350B2 (en) * 2002-04-02 2005-11-22 Hewlett-Packard Development Company, L.P. Memory structures
KR100480891B1 (en) * 2002-05-16 2005-04-07 매그나칩 반도체 유한회사 Method for forming copper line in semiconductor device
US6774458B2 (en) 2002-07-23 2004-08-10 Hewlett Packard Development Company, L.P. Vertical interconnection structure and methods
JP3646718B2 (en) * 2002-10-04 2005-05-11 セイコーエプソン株式会社 Manufacturing method of semiconductor device
JP2004207281A (en) * 2002-12-20 2004-07-22 Fujitsu Ltd Multilayer interconnection structure, its manufacturing method, and semiconductor device
US7008871B2 (en) * 2003-07-03 2006-03-07 International Business Machines Corporation Selective capping of copper wiring
KR101035593B1 (en) * 2003-10-13 2011-05-19 매그나칩 반도체 유한회사 Method of forming interconnection line for semiconductor device
KR100562985B1 (en) * 2003-12-30 2006-03-23 주식회사 하이닉스반도체 Method of forming metal wiring in flash memory device
KR100688055B1 (en) * 2004-05-10 2007-02-28 주식회사 하이닉스반도체 Method for manufacturing metal-interconnect using barrier metal formed low temperature
KR100649972B1 (en) * 2005-06-10 2006-11-27 주식회사 하이닉스반도체 Method for manufacturing metal line in semiconductor device
US7772128B2 (en) * 2006-06-09 2010-08-10 Lam Research Corporation Semiconductor system with surface modification
US7704885B2 (en) * 2007-05-24 2010-04-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for fabricating the same
JP2009026989A (en) * 2007-07-20 2009-02-05 Toshiba Corp Semiconductor device, manufacturing method of the semiconductor device
US7960036B2 (en) 2007-07-31 2011-06-14 International Business Machines Corporation Semiconductor structure and method of manufacturing same
JP2010092987A (en) * 2008-10-06 2010-04-22 Toshiba Corp Semiconductor apparatus
US20130224948A1 (en) * 2012-02-28 2013-08-29 Globalfoundries Inc. Methods for deposition of tungsten in the fabrication of an integrated circuit
US8835248B2 (en) 2012-05-24 2014-09-16 Sandisk Technologies Inc. Method for forming metal wire
WO2014109830A1 (en) * 2013-01-08 2014-07-17 Applied Materials, Inc. Metal oxynitride based heterojunction field effect transistor
US10002834B2 (en) 2015-03-11 2018-06-19 Applied Materials, Inc. Method and apparatus for protecting metal interconnect from halogen based precursors
CN106158724B (en) * 2015-03-24 2019-03-12 中芯国际集成电路制造(上海)有限公司 The forming method of semiconductor structure
US10727124B2 (en) 2018-10-29 2020-07-28 International Business Machines Corporation Structure and method for forming fully-aligned trench with an up-via integration scheme
US11081364B2 (en) * 2019-02-06 2021-08-03 Micron Technology, Inc. Reduction of crystal growth resulting from annealing a conductive material
US20220108917A1 (en) * 2020-10-06 2022-04-07 Applied Materials, Inc. Low resistance and high reliability metallization module
US11923244B2 (en) * 2021-03-05 2024-03-05 Applied Materials, Inc. Subtractive metals and subtractive metal semiconductor structures

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0448276A2 (en) * 1990-03-23 1991-09-25 AT&T Corp. Integrated circuit interconnection
EP0455341A2 (en) * 1990-04-30 1991-11-06 STMicroelectronics, Inc. Semiconductor contact via structure and method
JPH0766202A (en) * 1993-08-27 1995-03-10 Matsushita Electric Ind Co Ltd Manufacture of semiconductor device
EP0720228A2 (en) * 1994-12-29 1996-07-03 STMicroelectronics, Inc. Semiconductor connection structure and method

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3304754B2 (en) * 1996-04-11 2002-07-22 三菱電機株式会社 Multistage embedded wiring structure of integrated circuit
US5981378A (en) * 1997-07-25 1999-11-09 Vlsi Technology, Inc. Reliable interconnect via structures and methods for making the same
US6100184A (en) * 1997-08-20 2000-08-08 Sematech, Inc. Method of making a dual damascene interconnect structure using low dielectric constant material for an inter-level dielectric layer

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0448276A2 (en) * 1990-03-23 1991-09-25 AT&T Corp. Integrated circuit interconnection
EP0455341A2 (en) * 1990-04-30 1991-11-06 STMicroelectronics, Inc. Semiconductor contact via structure and method
JPH0766202A (en) * 1993-08-27 1995-03-10 Matsushita Electric Ind Co Ltd Manufacture of semiconductor device
EP0720228A2 (en) * 1994-12-29 1996-07-03 STMicroelectronics, Inc. Semiconductor connection structure and method

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
PATENT ABSTRACTS OF JAPAN vol. 1995, no. ŸŸ 31 July 1995 (1995-07-31) *

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2004114373A2 (en) * 2003-06-20 2004-12-29 Infineon Technologies Ag Stud formation for mram manufacturing
WO2004114373A3 (en) * 2003-06-20 2005-03-31 Infineon Technologies Ag Stud formation for mram manufacturing

Also Published As

Publication number Publication date
KR20010071783A (en) 2001-07-31
CN1359536A (en) 2002-07-17
US20020058408A1 (en) 2002-05-16
JP2004513502A (en) 2004-04-30
US6372633B1 (en) 2002-04-16
TW475248B (en) 2002-02-01
EP1103073A1 (en) 2001-05-30

Similar Documents

Publication Publication Date Title
US6372633B1 (en) Method and apparatus for forming metal interconnects
US7030023B2 (en) Method for simultaneous degas and baking in copper damascene process
US7365001B2 (en) Interconnect structures and methods of making thereof
EP0788156B1 (en) Refractory metal capped low resistivity metal conductor lines and vias formed using PVD and CVD
US6821879B2 (en) Copper interconnect by immersion/electroless plating in dual damascene process
KR100599434B1 (en) Method of forming metal interconnection line for semiconductor device
US7176571B2 (en) Nitride barrier layer to prevent metal (Cu) leakage issue in a dual damascene structure
US7166922B1 (en) Continuous metal interconnects
EP1168434B1 (en) Method of making metallization and contact structures in an integrated circuit
US6235633B1 (en) Method for making tungsten metal plugs in a polymer low-K intermetal dielectric layer using an improved two-step chemical/mechanical polishing process
US6225223B1 (en) Method to eliminate dishing of copper interconnects
CN101635272A (en) Method for forming interconnect structures
US10062605B2 (en) Via and chamfer control for advanced interconnects
WO2004053979A1 (en) A method for depositing a metal layer on a semiconductor interconnect structure having a capping layer
US6734097B2 (en) Liner with poor step coverage to improve contact resistance in W contacts
US20030194872A1 (en) Copper interconnect with sidewall copper-copper contact between metal and via
US20040171256A1 (en) Mask layer and interconnect structure for dual damascene semiconductor manufacturing
US20040147100A1 (en) Damascene method employing composite etch stop layer
US6383943B1 (en) Process for improving copper fill integrity
US6465345B1 (en) Prevention of inter-channel current leakage in semiconductors
US6258709B1 (en) Formation of electrical interconnect lines by selective metal etch
KR20020076810A (en) Method of fabricating Copper line of semiconductor device
US7485574B2 (en) Methods of forming a metal line in a semiconductor device
US20070007654A1 (en) Metal line of semiconductor device and method for forming thereof
US20070075429A1 (en) Metal interconnection lines of semiconductor devices and methods of forming the same

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 99809922.8

Country of ref document: CN

AK Designated states

Kind code of ref document: A1

Designated state(s): CN JP KR SG

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE

121 Ep: the epo has been informed by wipo that ep was designated in this application
DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
WWE Wipo information: entry into national phase

Ref document number: 1020017000274

Country of ref document: KR

ENP Entry into the national phase

Ref document number: 2000 559591

Country of ref document: JP

Kind code of ref document: A

WWE Wipo information: entry into national phase

Ref document number: 1999930599

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 1999930599

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 1020017000274

Country of ref document: KR

WWW Wipo information: withdrawn in national office

Ref document number: 1999930599

Country of ref document: EP

WWW Wipo information: withdrawn in national office

Ref document number: 1020017000274

Country of ref document: KR