WO2000002675A1 - Automated semiconductor processing system - Google Patents

Automated semiconductor processing system Download PDF

Info

Publication number
WO2000002675A1
WO2000002675A1 PCT/US1999/014414 US9914414W WO0002675A1 WO 2000002675 A1 WO2000002675 A1 WO 2000002675A1 US 9914414 W US9914414 W US 9914414W WO 0002675 A1 WO0002675 A1 WO 0002675A1
Authority
WO
WIPO (PCT)
Prior art keywords
pallet
indexer
wafers
belts
cassette
Prior art date
Application number
PCT/US1999/014414
Other languages
French (fr)
Other versions
WO2000002675A9 (en
Inventor
Jeffry A. Davis
Kevin P. Meyer
Kert L. Dolechek
Original Assignee
Semitool, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US09/112,259 external-priority patent/US6273110B1/en
Application filed by Semitool, Inc. filed Critical Semitool, Inc.
Priority to JP2000558925A priority Critical patent/JP2002520140A/en
Priority to EP99930722A priority patent/EP1109632A4/en
Priority to KR1020017000226A priority patent/KR20010074681A/en
Publication of WO2000002675A1 publication Critical patent/WO2000002675A1/en
Publication of WO2000002675A9 publication Critical patent/WO2000002675A9/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67754Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a batch of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67769Storage means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67772Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving removal of lid, door, cover
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67775Docking arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
    • H01L21/67781Batch transfer of wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/14Wafer cassette transporting

Definitions

  • the field of the invention is automated semiconducted wafer processing systems, used for processing semiconductor wafers, hard disk media, semiconductor substrates, and similar materials requiring very low levels of contamination.
  • Computers, televisions, telephones and other electronic products contain large numbers of essential electronic semiconductor devices.
  • semiconductor devices To produce electronic products, hundreds or thousands of semiconductor devices are manufactured in a very small space, using lithography techniques on semiconductor substrates, such as on silicon wafers. Due to the extremely small dimensions involved in manufacturing semiconductor devices, contaminants on the semiconductor substrate material, such as particles of dust, dirt, paint, metal, etc. lead to defects in the end products.
  • Clean rooms are enclosed areas or rooms within a semiconductor manufacturing facility, designed to keep out contaminants. All air provided to a clean room is typically highly filtered to prevent airborne contaminants from entering into or circulating within the clean room. Special materials and equipment are needed to maintain contaminants within the clean room at adequately low levels. Consequently, construction and maintenance of clean rooms can be time consuming and costly.
  • the semiconductor processing equipment installed within a clean room should preferably be compact, so that large numbers of semiconductor wafers can be processed within a smaller space, thereby reducing space requirements and costs. Accordingly, there is a need for smaller semiconductor processing equipment, to reduce clean room space requirements.
  • Existing automated semiconductor processing systems use robots to carry the semiconductor materials.
  • an automated semiconductor processing system has an indexer bay or space and a process bay or space within an enclosure.
  • the indexer bay is oriented perpendicularly to the process bay, to form a compact design requiring less floor space in a clean room.
  • an indexer is provided in the indexer bay.
  • the indexer preferably holds pallets for supporting semiconductor wafers contained within cassettes.
  • Pallet movers in the indexer move the pallets and cassettes in sequence from an indexer loading position, through a plurality of intermediate storage positions, to an indexer unload position.
  • the indexer allows the automated semiconductor processing system to run continuously, by moving and storing cassettes.
  • the pallet movers include an x-axis and y-axis shift system, for moving pallets longitudinally and laterally around in the indexer.
  • the y-axis shift system has a pair of toothed belts engaging a rack on the bottom of the pallets, to prevent inadvertent movement of the pallets relative to the belts.
  • prisms on the pallets redirect light beams from sensor pairs, to detect the presence or absence of a cassette on a pallet, or wafers in a cassette.
  • a process robot within an automated semiconductor processing system has a robot arm vertically moveable along a lift rail.
  • the robot arm has a forearm segment extending between an elbow joint and a wrist joint.
  • a wafer holder on the robot arm is laterally offset from the elbow and wrist joints.
  • the robot arm is compact yet has an extended range of travel. The processing system therefore requires less space.
  • a moveable buffer shelf is positioned over the indexer, to increase productivity and versatility of the system.
  • a novel process module door is provided to better close and seal a process module chamber.
  • Figure 1 is a top, rear and left side perspective view of the present automated semiconductor processing system
  • Figures 2 and 3 are front, top, and left side perspective views thereof;
  • Figure 4 is a front elevation view thereof
  • Figure 5 is a left side view thereof
  • Figure 6 is a front perspective view of the input/output robot shown in Figure 3 ;
  • Figure 7 is a rear perspective view thereof;
  • Figure 8 is a perspective view of the indexer shown in Figures 1-3, with various components removed for clarity of illustration;
  • Figure 9 is a perspective view thereof with additional components removed for clarity of illustration;
  • Figure 10 is yet another perspective view thereof showing additional details
  • Figure 11 is a leftside view of the indexer shown in Figures 1 -3 ;
  • Figure 12 is a plan view thereof, with the pallets on the indexer removed, for clarity of illustration;
  • Figure 13 is a front view of the indexer shown in Figures 1-3;
  • Figure 14 is a plan view of the indexer shown in Figures 1-3, and illustrating sequences of movement
  • Figure 15 is a perspective view of the elevator shown in Figure 5, in the lowered position;
  • Figure 16 is a perspective view thereof showing the elevator in the raised position
  • Figure 17 is a front perspective view of the process robot shown in Figures 2 and
  • Figure 18 is a plan view thereof
  • Figure 19 is an enlarged plan view thereof
  • Figure 20 is a rear perspective view of the process robot, with the arm fully withdrawn;
  • Figure 21 is a plan view thereof
  • Figure 22 is a side elevation view, in part section, of the process robot
  • Figure 23 is a front perspective view of the process robot;
  • Figures 24A-24E are schematic illustrations showing various positions of the arm of the process robot;
  • Figure 25 is a perspective view of a process module, as shown in Figures 3-5;
  • Figure 26 is a perspective view of the semiconductor process module illustrated in Figures 4, 5 and 25, and having a novel door actuation and sealing mechanism;
  • Figure 27 is a perspective view of the process module door actuation assembly
  • Figure 28 is a cross-sectional side view of the process module door in an open position
  • Figure 29 is a cross-sectional side view of the process module door in a closed position
  • Figure 30 is a perspective view of a second indexer embodiment.
  • Figure 31 is a reversed perspective view of the indexer shown in Figure 30, with various components removed, for purposes of illustration.
  • an automated semiconductor material processing system 50 is installed within a clean room 52.
  • the system 50 has a clean air enclosure or housing 54 having a left side wall 56 with a fixed transparent window 57 to allow viewing of operations within the enclosure 54.
  • the enclosure 54 has a front wall 58, as shown in Figure 2, having a fixed transparent window 59.
  • a loading/unloading opening 60 in the front wall 58 is closed off during operation of the system 50 by a transparent loading window or panel 62, as illustrated in Figure 2.
  • down draft fans or blowers 80 are provided on top of the enclosure 54, to continuously move clean air room downwardly through the enclosure.
  • a utilities compartment 82 provides space for power supplies, reagent tanks, pumps, and other components well known for semiconductor processing.
  • a user interface 64 on the front wall 58 provides information and inputs control instructions from the system operator.
  • the user interface is linked to a computer/controller 85, in the utilities compartment 82, or at a remote location.
  • the computer/controller 85 is linked to the various motors and sensors described below, as well as to a facility control computer, to control operation of the system 50.
  • the system 50 includes an indexer bay or space 75 extending rearwardly along the left side wall 56.
  • a process bay or space 94 extends along the front wall 58, perpendicularly to the indexer bay 75.
  • the indexer bay or space 75, and a process bay or space 95 are continuous with each other, and are designated and illustrated schematically in Figure 3, as separate spaces only for purposes of description.
  • the loading/unloading window 60 opens through the front wall 58 of the enclosure 54 into the indexer bay 75.
  • An I/O robot 86 in the indexer bay 75 is located largely below the opening 60.
  • An indexer 72 is provided in the indexer bay 75, generally in alignment with the opening 60.
  • An input plate 132 on the indexer 72 extends over the I/O robot 86 toward the window 60.
  • the indexer 72 preferably holds up to eight cassettes 88 containing flat media, e.g., silicon wafers 90.
  • the cassettes 88 rest on pallets 136 on the indexer 72.
  • the pallets 136 and the I/O plate 132 are vertically positioned at about the same elevation as the bottom of the opening 60.
  • a moving buffer shelf 76 is supported above the cassettes 88 on the indexer 72 via a vertical buffer plate 130 extending up from a center beam in the indexer 72.
  • the process bay 95 includes two or more process chambers.
  • the process chambers are a chemical process chamber 68, and a spin/rinser dryer 70.
  • a process robot 66 moves through the process bay 95 to the indexer 72, to carry wafers 90 to or from the chambers 68 or 70.
  • an elevator 78 under the indexer 72 lifts the wafers 90 out of the cassettes 88 (2 cassette loads at a time) so that they can be picked up and carried by the process robot 66.
  • each cassette 88 preferably holds 25 wafers, with the eight cassette capacity of the indexer 72 holding 200 wafers.
  • the wafers are handled in batches of 50, as the elevator 78 and process robot 66 carry the combined contents of two cassettes simultaneously.
  • the I/O robot 86 has a mounting plate 110 attached to the left side wall 56 or adjacent enclosure structure.
  • a Y-axis rail 112 is supported on the mounting plate 110.
  • a linear actuator 114 on the rail 112 moves an armature 105 in the Y direction, as shown in Figures 3 and 6.
  • an X-axis rail 106 on the back of the armature 105 supports a Z-axis or vertical fork rail 102.
  • a vertical fork actuator 104 moves the rail 102 vertically on the armature 105.
  • An x-axis actuator 108 moves the vertical rail 102, along with the vertical actuator 104, in the X or lateral direction.
  • a cassette fork 100 near the top of the vertical rail 102 is adapted to lift a cassette 88 by engaging the cassette side flanges 89.
  • the indexer 72 has a rectangular frame 118 including a bottom plate 120, a front plate 122, a back plate 124, and left and right-end plates 126 and 128.
  • the I/O plate 132 is attached to the right end plate 122, and braced by gussets 134.
  • a center beam 160 divides the indexer into an input row or side 135 and an output row 137.
  • the vertical buffer support plate 130 is positioned and moves back and forth within a centrally located buffer plate slot 144 in the center beam 160.
  • Two pairs of opposing pallet rails 142 extend substantially from the left end plate 126, to the right end plate 128, and provide resting or supporting surfaces for the pallets 136 at the pallet deck or surface 139.
  • the indexer 72 includes 10 pallet positions: A, B, C, D, E, F, G, H, I, and J.
  • the indexer 72 has eight pallets 136, so that two positions, at diagonally opposite corners, are always vacant.
  • cutouts 162 in the bottom plate 120 of the indexer 72, at positions C and H, allow air to flow downwardly through the indexer 72.
  • Elevator clearance holes 164 through the bottom plate 120, at positions I and J, provide clearance for the elevator 78.
  • the indexer 72 includes a X-axis or lateral shift system or assembly generally designated 140, and a longitudinal or a Y-axis shift system or assembly, generally designated 170. These shift systems move the pallets 136 carrying the cassettes 88 around on the indexer 72, as shown in Figure 14.
  • the lateral shift system 140 includes a lateral guide block 150, fixed to the indexer frame 118.
  • a lateral shift end fork 146A is supported on the lateral guide block 150, and is driven by a lateral drive motor 154 to step or sequence between positions E and F, as shown in Figure 14.
  • An end fork air cylinder 152 raises and lowers the end fork 146A between fixed up and down positions which are fixed by mechanical stops.
  • Figures 9 and 10 show components of the lateral shift system 140 at the left or inside end of the indexer 72. Similar or duplicate components (the lateral guide block 150; an end fork 146B and a lateral air cylinder 152) are mounted at the right end as well.
  • a lateral shift system linking belt 156 extends around the perimeter of the indexer frame 118, supported by idlers 157, and is attached to the diagonally opposite end forks 146 A and 146B.
  • the longitudinal or Y-axis shift assembly 170 of the indexer 72 includes longitudinal guide rails 172 extending parallel to the front and back plates 122 and 124, on either side of the center rail 160.
  • Eight side forks 180B-180J are located at positions B, C, D, E, G, H, I, and J, as best shown in Figure 12.
  • a side fork actuator or air cylinder 174 is attached to each of the eight side forks 180.
  • the air cylinders 174 are longitudinally displaceable with the side forks 180, as they move back and forth on the longitudinal guide rails 172.
  • the eight side forks 180 are joined together by a longitudinal drive belt 178.
  • the longitudinal drive belt 178 extends in a loop around the perimeter of the indexer frame 118, supported on idlers.
  • the longitudinal drive belt 178 is positioned within the indexer frame 118 vertically above the lateral drive belt 156.
  • a longitudinal or Y-axis drive motor 176 is engaged to the drive belt 178, such that with actuation of the motor 176, all eight side forks 180 move simultaneously. Referring to Figure 12, when the motor drives side forks 180B-180E in the input row 135 in direction I, the side forks 180G-180J on the opposite side of the center beam 160B, in the output row 137, move in direction O.
  • the indexer 72 also includes a buffer shelf shift system or assembly, generally designated 190.
  • the buffer shift system 190 shifts the vertical buffer plate 130, which supports the buffer shelf 76 from the front position shown in Figure 9 to the rear position shown in Figure 8.
  • the buffer shelf 76 shown in phantom in Figure 8 is omitted from the other figures, for clarity of illustration.
  • the buffer shift system 190 includes a buffer drive motor 198 linked to a buffer drive belt 200 through a flex coupling 196, and a buffer capstan 192.
  • the buffer drive belt 200 extends around the capstan 192 and a buffer belt idler 194, positioned at opposite ends of the buffer plate slot 144.
  • the vertical buffer plate 130 is secured to the buffer drive belt 200.
  • the bottom end of the vertical buffer plate 130 is slidably attached to a buffer plate guide rail 202 underneath the buffer plate slot 144.
  • the indexer 72 has three sets of sensors 138 at each location A-J.
  • the three sensors at each location may be separate individual sensors, or a single combination sensor.
  • the sensors, at each position sense whether a pallet is present; whether a cassette is present on a pallet; and whether wafers are present in a cassette.
  • the sensors are linked to a controller or computer and provide status information for each location in the indexer 72.
  • optical sensors are used.
  • the elevator 78 has a motor 210 linked to an armature 212 through a lead screw or other rotation to linear drive.
  • Wafer platforms 216 are supported on lift columns 214. Actuation of the motor 210 lifts the armature 212 up along a elevator rail 215, to vertically move the wafers 90 into and out of the cassettes 88. With the wafers 90 lifted out of the cassettes 88 as shown in Figure 16, they can be picked up by the process robot 66.
  • the process robot 66 includes a lateral or X-axis rail 250 extending through the process bay 95 and partially into the indexer bay 75.
  • a lift unit 252 is moveable along the lateral rail 250, driven by a magnetic flux linear drive motor 251.
  • a robot arm, 255 is attached to a vertical lift rail 254 on the lift unit 252.
  • An A/C lift motor 257 moves the robot arm 255 vertically along the lift rail 254.
  • the cylinder 280 of a gas spring counter balance 278 is attached to the robot arm 255.
  • a piston 282 extending out of the cylinder 280 is attached to the lift unit 252.
  • the gas spring counterbalance 278 exerts a constant upward force on the robot arm 255, to reduce the lifting or braking force that the lift motor 257 must exert to move or position the robot arm 255.
  • the robot arm 255 has an elbow drive A/C motor
  • elbow housing 258 within an elbow housing 258.
  • the elbow housing 258 is attached to the slide of the lift rail 254, on the lift unit 252.
  • a forearm 260 is attached to the elbow housing 258 via an elbow joint 256.
  • the forearm 260 is mechanically coupled to the elbow drive motor
  • a wrist drive A/C servo motor 265 is contained within a wrist housing 264 pivotably attached to the outer end of the forearm 260 via a wrist joint 262.
  • a wafer holder 268 formed by opposing end effectors 270 is joined to the lower front area of the wrist housing 264. Grooves 274 in the end effectors 270 facilitate engaging, lifting and carrying the wafers 90.
  • Motor amplifiers 275 for driving the wrist drive motor 265, elbow drive motor 259, lift motor 257, and lateral drive motor 251, are contained in and move with the lift unit 252. Locating the motor amplifiers in the lift unit 252 reduces space requirements and cabling requirements.
  • a process module 300 in the process bay 95 includes, for example, the spin rinser dryer 70 and the chemical process chamber 68, although other modules, or additional modules may be used. End effector rinser dryers 302 are provided in the front floor 305 of the process module 300.
  • the process module 300 includes a process vessel 310 which partially encloses a process bowl 314.
  • the process vessel 310 mates with a movable door 512 which can be moved between the closed position shown in solid lines in Fig. 26, and an open position shown in phantom outline.
  • the door assembly 500 is aligned in a fixed position parallel to a front wall 502 of the process vessel 310.
  • the door assembly 500 includes a door plate 510 supporting a door 512 and a door actuator 514 generally designated 514.
  • the door 512 includes a stiffening plate 504 having a viewing window 508 that permits visual inspection of the processing bowl or chamber 314.
  • the door actuator 514 includes a stationary outer cylinder 516 coupled to the door support plate 510, and an extension ring 518.
  • the extension ring 518 is concentrically and slidably positioned inside of the outer cylinder ring 516.
  • the door support plate 510 includes a viewing aperture 520, which aligns with the window 508, when closed, for providing visibility into the processing chamber.
  • the door support plate 510 is attached on each side to slideable guide brackets 522.
  • Each guide bracket 522 is slidably mounted to a pneumatic cylinder 524.
  • the cylinders 524 are connected to the front wall 502 of the processing vessel via mounting plates 528.
  • the combination of the guide brackets 522, the cylinders 524, and the mounting plates 528 provides a rigid door mounting construction that needs no additional guides or support blocks.
  • the guide brackets 522 are mounted for substantially vertical movement so that the door assembly can be moved between an open position to allow access into the bowl of the processor, and a closed position wherein the door assembly is in substantially concentric alignment with the bowl 314. In the closed position, the door can be extended and sealed against the bowl 314 of the processor.
  • annular inner hub 530 has an annular flange 532 and a cylinder 534.
  • the annular flange 532 is attached to the door support plate 510.
  • a plurality of fasteners secure the outer cylinder ring 516 and the annular flange 532 concentrically to the mounting plate 510.
  • the extension ring 518 is concentrically positioned between the hub 530 and the outer cylinder ring 516, and includes a U-shaped portion 519 that defines an annular guide receptacle 520.
  • the cylinder 534 fits within the annular guide receptacle 520.
  • the extension ring 518 also includes an annular end face 540, as shown in Fig. 28.
  • the extension ring 518 is displaceable with an annular chamber 542 defined by the cylinder 534 and the other cylinder ring 516, to seal and unseal the bowl 314.
  • the extension ring 518 bifurcates the chamber 542 into two operative compartments: a retraction chamber 543 and an extension chamber 544.
  • Each chamber is adapted to hold pneumatic or hydraulic fluid and act as pneumatic or hydraulic cylinder.
  • annular seals 550 are positioned on or against the extension ring 518 to seal the chambers 543 and 544.
  • Separate fluid supply conduits are preferably provided to the retraction chamber 543 and the extension chamber 544 to increase or decrease fluid pressure within the respective chambers and effectuate movement of the extension ring 518.
  • the extension ring 518 moves away from the door support plate 510. Movement of the extension ring 518 into the extended position shown in Fig. 28 moves the door 512 into sealing engagement with the access opening 506 of the processor bowl, thereby sealing the process module 300.
  • An annular door seal 551 is mounted on the periphery of the door 512.
  • the door seal includes a lip 552 and a tongue 554.
  • the lip 552 of the door seal lies in a plane that is within the front wall of the processor, and the tongue presses in sealing engagement against the outside rim of the process bowl 314 thereby making a seal between the door 512 and the process bowl 314.
  • the door seal also preferably includes a flange 555 which acts as a stop for the door seal.
  • the combination of the extension ring 518 and the door seal 550 provides a highly reliable and effective door closing and sealing mechanism. Piston-like movement of the ring 518 allows it to move the door 512 straight outwardly from the support plate without bowing or bending, and without the need for peripheral adjustments to ensure smooth movement. By seating against the outside rim of the process bowl, the tongue provides an effective fluid tight seal and automatically compensates for any misalignment between the door and the processor.
  • the inner hub 530 and the outer cylinder ring 516 are rigidly attached to the door plate 510.
  • the door plate in turn, is fixed relative to the process bowl 514, via the connection of the door plate 510, to the cylinders 524, to the front wall 502. Consequently, as the extension ring 518 moves outwardly away from the door plate 510, it can press tightly against and seal the bowl 514.
  • the operator of the system 50 initiates a loading sequence by entering commands via the user interface 64.
  • the window panel 62 drops down, thereby opening the loading window 60.
  • the operator places a cassette 88 filled with wafers 90 onto the I/O plate 132.
  • the cassette 88 may be initially placed on the I/O plate 132 by a human operator or by another robot.
  • the cutout 133 in the I/O plate positions the cassette 88, so that it may be lifted by the I/O robot, and also allows air to flow downwardly over the wafers 90 in the cassette 88.
  • the fork 100 of the I/O robot 86 is initially in the same X-Y position as the I/O plate 132.
  • the vertical fork motor or actuator 14 raises the fork 100, until the fork has engaged the side flanges 89 of the cassette 88.
  • the I/O robot 86 then lifts the cassette 88 vertically off of the I/O plate 132, shifts laterally (in the X direction) towards the left side wall 56, via actuation of the lateral motor 108. This movement aligns the now lifted cassette with the input row of the indexer.
  • the I/O robot 86 then moves the lifted cassette longitudinally (in the Y-direction) toward the indexer, until the cassette is aligned above a pallet in position A, via the Y-axis motor 1 14.
  • the I/O robot then sets the cassette 88 down on the pallet 136 at position A on the indexer 72. If there is no pallet at position A, the indexer 72 must first be sequenced, as described below, to bring a pallet into position A. The I/O robot then returns the fork 100 to its initial position.
  • the longitudinal shift system 170 moves the side forks 180B-J (in the direction of arrow O in Figure 12) until the side fork 180B is underneath the pallet 136 and cassette 88 in position A.
  • the end forks 146 A and 146B have down or at-rest positions below the down or at-rest positions of the side forks 180B-J, so that the side forks 180B, 180E, 180G, and 180J can move into the end positions A, E, F and J, without interfering with the end forks 146A and 146B.
  • the side forks 180B-J are attached to the longitudinal drive belt 178, they all necessarily move together in the Y direction.
  • the eight side fork air actuators or cylinders 174 are extended, causing the side forks 180 to lift the pallets above them up and off of the pallet deck 139.
  • the longitudinal drive motor 176 turns in the opposite direction, moving side fork 180B, now carrying the first cassette 88 on a pallet, from position A to position B.
  • the air cylinders 174 are then retracted to lower the pallet 136 and cassette 88 down into position B. After this movement is completed, there is no pallet at position A.
  • all of the side fork actuators 174 are controlled to move simultaneously, all of the side forks 180B-J necessarily move together in the vertical Z-axis direction.
  • the longitudinal drive motor 176 is again energized to move side fork 180B back towards position A, and thereby move side fork 180J from position J back to position I.
  • the side fork air cylinders 174 are down, so that there is no pallet movement. Rather, the side forks are merely repositioned below the pallets.
  • the side forks are moved, in this step, enough to avoid interfering with the end forks, and not necessarily one complete position.
  • the lateral drive motor 154 is energized to move the end fork 146B from position A to position J, and to simultaneously move the end fork 146A from position F to position E.
  • the lateral air cylinders 152 are extended, lifting end fork 146B, and the pallet at position J, and simultaneously lifting end fork 146A to lift the pallet at position E.
  • the lateral drive motor 154 is then energized in the reverse direction (direction L in Figure 12) and via the lateral belt 156, the end fork 146B carries the pallet from position J to position A, and simultaneously, the end fork 146A carries a pallet from position E to position F.
  • the lateral air cylinders 152 are then retracted, to lower the pallets into positions A and F on the indexer deck 139.
  • the indexer 72 With a second pallet in position A, the indexer 72 is ready to receive a second cassette 88. After a second cassette is positioned on the I/O plate 132, the I/O robot 86 repeats the indexer loading sequence of cassette movements, so that the second cassette is placed on the indexer at position A.
  • the elevator 78 is energized, lifting the wafer platforms 216 on the lift columns
  • the window panel 62 moves up to close off the loading window 60, to prevent an operator from inadvertently coming into contact with moving components within the enclosure 54.
  • the process robot 66 moves to lift the wafers 90 off of the elevator 78.
  • the lateral drive flux motor 251 moves the lift unit 252 laterally until the wafer holder 268 is properly aligned with the wafers 90 on the elevator 78.
  • the wafer holder 268 is moved in until the end effectors 270 are positioned and aligned on either side of the wafers 90, with the grooves 274 in the end effectors 270 each aligned to receive a wafer.
  • this wafer engagement movement is an underhanded movement of the robot arm 255.
  • the wafer holder 268 is moved up to lift the wafers 90 off of the elevator 78.
  • the robot arm 255 then withdraws to the position shown in Figure 24C.
  • the robot arm can be fully backed away from the indexer, with only minimal clearance space required, as shown in Figures 20 and 21.
  • the wafers are maintained in a vertical or near vertical position.
  • the lateral drive motor 251 is energized to move the lift unit 252 so that the wafers in the wafer holder 268 are brought into alignment with the selected process chamber.
  • the robot arm 255 is raised up on the lift unit by the lift motor 257.
  • the forearm 260 is pivoted upwardly via the elbow drive motor 259.
  • the wrist drive motor 265 is driven in an opposite direction to bring or maintain the wafer holder in an approximately 10° down incline orientation, as shown in Figure 22.
  • the forearm is pivoted downwardly to extend the wafer holder carrying the wafers into the process chamber.
  • the robot arm 255 then withdraws from the process chamber.
  • the wrist drive motor 265 is controlled to orient the end effectors vertically, as shown in Figure 24D. With the end effectors aligned with the end effector rinser/dryer 302, the lift motor 257 lowers the entire robot arm 255, to extend the end effectors into the end effector rinser/dryer 302. After the end effectors 270 are cleaned and dried, they are withdrawn from the end effector rinser/dryer 302 and positioned to remove wafers from either process chamber, or to pick up additional batches of wafers from the indexer for delivery to a process chamber. As the end effectors are cleaned at the process chamber rather than at another location, processing time can be reduced, because this cleaning step is accomplished without the need to move the process robot.
  • the wafer holder 268 is offset to one side of the wrist joint 262 and elbow joint 256, as well as the other components of the process robot 66. No part of the process robot 66 is ever positioned directly above the wafers. As air is blown downwardly in the enclosure 54, any particles generated or released by the process robot 66 will not come into contact with the wafers. As a result, the potential for contamination of the wafers during processing is reduced.
  • the process robot 66 has an elbow joint 256 and a wrist joint 262, joined by a single segment or forearm 260. Consequently, in contrast to earlier known systems having shoulder, elbow and wrist joints, joined by two arm segments, the process robot 66 achieves a range of vertical reach via movement of the robot arm 255 on the lift rail 254, rather than by articulation of arm segments. This allows the process robot 66 to be very compact, while still achieving sufficient ranges of movement. Correspondingly, the entire enclosure 54 can be made more compact.
  • the process robot 66 can perform both underhanded and overhanded movements, the vertical travel necessary on the lift rail 254 is limited. In addition, the ability to perform both underhanded and overhanded movements allows the forearm 260 to be relatively short, which also contributes to a compact enclosure 54.
  • the buffer shelf 76 moves forward (in direction O in
  • a second embodiment indexer 600 includes a box frame 602 formed by side walls 604 and 606, a front end wall 608, and a back end wall 610, joined to each other, and to a base plate 612.
  • An input plate 614 extends outwardly from the front end wall 608.
  • a center wall 616 and lateral ribs 666 divide the indexer 600 into first and second rows Rl and R2, with each row having 5 pallet positions or stations, i.e., A-E and F-J, as shown in Figure 12.
  • the center wall 616 is supported in the box frame 602 via support bars 615 extending from the center wall 616 to the side walls 604 and 606.
  • a buffer assembly 618 includes a buffer side plate 620 attached to the inner side wall 606.
  • a buffer tray 622 has combs 624 on comb arms 626.
  • the buffer tray 622 is supported on a buffer tray support 630.
  • the tray support 630 in turn is slidably mounted on upper and lower buffer rails 632 and 634, on the buffer side plate 620.
  • Linear bearings 636 on the tray support 630 allow for low friction movement of the tray support 630 along the rails 632 and 634.
  • a buffer drive belt 642 extends around a buffer drive motor 638 and an end pulley 640.
  • the buffer drive belt 642 is attached to the buffer tray support, so that rotational movement of the motor 638 causes translational (y-axis) movement of the buffer tray support 630 along the rails 632 and 634. Locating the buffer assembly 618 on the side of the indexer 600, as shown in Figure 30, allows for a more compact design, in comparison to the centrally located buffer assembly of the first indexer embodiment 72 shown in Figure 8.
  • the indexer 600 includes a longitudinal or y-axis shift assembly, generally designated 650, and a lateral or x-axis shift assembly, generally designated as 652.
  • the y-axis shift assembly 650 includes 2 side by side and parallel y-axis frames 660.
  • Each y-axis frame 660 includes an inner frame plate 662, adjacent and attached to the center wall 616, and an outer frame plate 664, supported on the side walls 604 and 606.
  • the lateral ribs 666 are attached to and extend between the inner frame plate 662 and outer frame plate 664, in both of the y-axis frames 660.
  • End rollers 674 are rotatably mounted at the ends of each of the inner and outer frame plates 662 and 664 (for a total of 8 end rollers 674).
  • Idler rollers 676 are spaced apart and rotatably mounted on the frame plates 662 and 664, between the end rollers 674, on each frame plate 662 and 664.
  • An endless toothed belt 670 is mounted over the end rollers 674 and idler rollers 676 on each frame plate 662 and 664 (for a total of 4 endless toothed belts 670).
  • the teeth 672 on the belts 670 face outwardly, so that the smooth inside or back surface of the belts 670 contact the end rollers 674 and idler rollers 676.
  • teeth 672 are shown only at sections of the belts 670, the belts 670 actually have continuous teeth 672 all around.
  • the rollers and belt in the foreground of Figure 31 have been omitted from the drawing.
  • gear unit 682 which turns drive shafts 684A and 684B (in the side by side parallel y-axis frames 660) at equal speeds, but in opposite directions.
  • the drive shafts 684A and 684B turn drive sprockets 686, which in turn drive the belts 670.
  • the outside toothed surface of the belts 670 wraps around the drive sprockets 686, and around an idler sprockets 688, as shown in dotted line in Figure 31.
  • an x-axis sensor pair 690 and a y-axis sensor pair 696, is provided at each of the ten pallet stations or locations A-J, delineated by the lateral ribs 666.
  • the x-axis sensor pair includes an infrared transmitter 692 and an infrared detector 694, laterally aligned with each other (on a line perpendicular to the frame plates 662 and 664).
  • the y-axis sensor pair 696 includes a y-axis infrared transmitter 698 and a y-axis infrared detector 700, located generally centrally on the lateral ribs 666, and aligned with each other (on a line parallel to the frame plates 662 and 664).
  • a reflective optical sensor 702 is provided in the side walls 604 and 606, at each of the pallet positions A-J.
  • the sensor pairs 690 and 696 and optical sensor 702 are linked to the controller 85, which monitors and controls operations of the indexer 600.
  • each pallet 710 has a rectangular pallet cutout or opening 712.
  • Pallet tooth racks 715 shown in dotted line in Figure 30, are provided on the bottom surface of the pallets 710.
  • the tooth rack 715 extends along both of the longer sides of the pallet 710.
  • the tooth rack 715 has teeth matching the size and pitch of the teeth 672 on the belts 70.
  • Each pallet 710 has a pair of x-axis and y-axis prisms. Specifically, an x-axis transmitter prism 714 is longitudinally aligned with an x-axis detector prism 716, on each pallet 710, as shown in Figure 30. Similarly, a y-axis transmitter prism 718 is laterally aligned with a y-axis detector prism 720, on each pallet 710.
  • the x-axis transmitter prism 714 and x-axis detector prism 716 are vertically directly above or aligned with the x-axis infrared transmitter 692 and x-axis infrared detector 694, respectively, in that pallet position.
  • the y-axis transmitter prism 718 and y-axis detector prism 720 are vertically directly above and aligned with the y-axis infrared transmitter 698 and the y-axis infrared detector 700, in that pallet position.
  • the idler rollers 676 have roller flanges 678 which protrude vertically above the belts 670.
  • the roller flanges 678 fit into roller grooves 675 (shown in dotted line in Figure 30) on the bottom surface of each pallet 710.
  • the engagement of the roller flanges 678 into the grooves 675 prevents any x-axis movement of the pallets 710 (unless the pallet 710 is lifted vertically.) Accordingly, the pallets 710 are vertically supported on both the belts 670 and roller flanges 678.
  • the indexer 600 has an x-axis shift assembly 652 substantially the same as the x- axis shift assembly or shift system 140 shown in Figures 9 and 10 and described above, and is therefore not further described or illustrated here. However, rather than the end fork air cylinder 152 used in the x-axis shift system 140, the x-axis shift assembly 652 in the indexer 600 has a pallet lift electric motor 654, for better control of pallet lift movement.
  • the operation and sequencing of the indexer 600 is similar to that of the indexer 72 described above with reference to Figures 8-12.
  • pallet movement along the y- axis is achieved via the computer controller 85 controlling the y-axis drive motor 680 to incrementally move, or index, the belts 670.
  • the pallets 710 remain on the belts 670 and rollers 676.
  • X-axis movement of the pallets 710 at the ends of the indexer 600 is similar to the movement described above for the indexer 72 and is therefore not further described here.
  • the optical sensor 72 detects the presence or absence of a pallet 710 via detecting the presence or absence of reflected light.
  • the x-axis sensor pair 690 detects the presence or absence of a cassette 88.
  • the infrared transmitter 692 projects a light beam vertically upwardly. The light beam passes through the x-axis transmitter prism 714, on each pallet 710, which bends the light beam 90°, so that the light beam is then projected horizontally inwardly towards the x-axis detector prism 716.
  • the light beam will be blocked by the cassette 88, and the x-axis detector 694 will not detect any infrared light, indicating presence of a cassette 88.
  • the pallet 710 has no cassette 88 on it, infrared light from the transmitter 692 passes through the x-axis transmitter prism 714, passes over the pallet 710, and is redirected downwardly by the x- axis detector prism 716, so that the infrared light is directed to and detected by the x-axis infrared detector 694, indicating the absence of a cassette 88.
  • the y-axis sensor pair 696 works in a similar way, to detect the presence or absence of wafers in the cassette 88.
  • infrared light from the y-axis transmitter 698 is projected vertically upwardly, and is turned 90° by the y-axis transmitter prism 718, so that the light projects through a slot or tunnel 725 at the bottom of the cassette 88. If no wafers or other flat media are present in the cassette 88, the light travels entirely through the tunnel 75, is redirected downwardly by the y-axis detector prism 720, and is detected by the y-axis detector 700, indicating absence of any wafers in the cassette 88.
  • the bottom edge of the wafer projects downwardly through the tunnel 725, preventing light from passing through the tunnel. Accordingly, the presence of any wafer in the cassette 88 will block the light from the y-axis transmitter 698, so that the y-axis detector 700 detects no light, indicating presence of at least one wafer in the cassette 88.
  • Operation of the buffer assembly 618 in the indexer 600 is similar to operation of the buffer assembly 76, described above, and shown in Figure 8. However, locating the buffer assembly 618 at the side of the indexer 600 allows for a more compact design.
  • Use of the belts 670 provides for faster and more reliable pallet movement, in contrast to the indexer 72 shown in Figures 8-16.
  • Use of the prisms 714-720 allows for detection of cassettes and wafers, without requiring lifting of the pallets.

Abstract

An automated semiconductor processing system has an indexer bay (75) perpendicularly aligned with a process bay (94) within a clean air enclosure (54). An indexer (72) in the indexer bay provides stocking or storage for work in progress semiconductor wafers. Process chambers (68, 70) are located in the process bay. A process robot (66) moves between the indexer bay and process bay to carry semiconductor wafers to and from the process chambers. The process robot has a robot arm (255) vertically moveable along a lift rail (254). Semiconductor wafers are carried offset from the robot arm, to better avoid contamination. The automated system is compact and requires less clean room floor space.

Description

DESCRIPTION
AUTOMATED SEMICONDUCTOR PROCESSING SYSTEM
Field of the Invention
The field of the invention is automated semiconducted wafer processing systems, used for processing semiconductor wafers, hard disk media, semiconductor substrates, and similar materials requiring very low levels of contamination.
Background of the Invention
Computers, televisions, telephones and other electronic products contain large numbers of essential electronic semiconductor devices. To produce electronic products, hundreds or thousands of semiconductor devices are manufactured in a very small space, using lithography techniques on semiconductor substrates, such as on silicon wafers. Due to the extremely small dimensions involved in manufacturing semiconductor devices, contaminants on the semiconductor substrate material, such as particles of dust, dirt, paint, metal, etc. lead to defects in the end products.
To exclude contaminants, semiconductor substrates are processed within clean rooms. Clean rooms are enclosed areas or rooms within a semiconductor manufacturing facility, designed to keep out contaminants. All air provided to a clean room is typically highly filtered to prevent airborne contaminants from entering into or circulating within the clean room. Special materials and equipment are needed to maintain contaminants within the clean room at adequately low levels. Consequently, construction and maintenance of clean rooms can be time consuming and costly. As a result, the semiconductor processing equipment installed within a clean room should preferably be compact, so that large numbers of semiconductor wafers can be processed within a smaller space, thereby reducing space requirements and costs. Accordingly, there is a need for smaller semiconductor processing equipment, to reduce clean room space requirements. Existing automated semiconductor processing systems use robots to carry the semiconductor materials. These robots are designed to avoid creating particles which could contaminate the semiconductors. However, even with careful design, material selection, and robot operation, particles may still be created by these robots, via their moving parts. Accordingly, there is a need for improved techniques for processing semiconductor substrate materials with very low levels of contamination to maintain the level of defects at acceptable levels.
Statement of the Invention
In a first aspect of the invention, an automated semiconductor processing system has an indexer bay or space and a process bay or space within an enclosure. The indexer bay is oriented perpendicularly to the process bay, to form a compact design requiring less floor space in a clean room.
In a second separate aspect of the invention, an indexer is provided in the indexer bay. The indexer preferably holds pallets for supporting semiconductor wafers contained within cassettes. Pallet movers in the indexer move the pallets and cassettes in sequence from an indexer loading position, through a plurality of intermediate storage positions, to an indexer unload position. The indexer allows the automated semiconductor processing system to run continuously, by moving and storing cassettes.
In a third separate aspect of the invention, the pallet movers include an x-axis and y-axis shift system, for moving pallets longitudinally and laterally around in the indexer. Preferably the y-axis shift system has a pair of toothed belts engaging a rack on the bottom of the pallets, to prevent inadvertent movement of the pallets relative to the belts.
In a fourth separate aspect of the invention, prisms on the pallets redirect light beams from sensor pairs, to detect the presence or absence of a cassette on a pallet, or wafers in a cassette.
In a fifth separate aspect of the invention, a process robot within an automated semiconductor processing system has a robot arm vertically moveable along a lift rail. The robot arm has a forearm segment extending between an elbow joint and a wrist joint. A wafer holder on the robot arm is laterally offset from the elbow and wrist joints. The robot arm is compact yet has an extended range of travel. The processing system therefore requires less space.
In a sixth and separate aspect of the invention, a moveable buffer shelf is positioned over the indexer, to increase productivity and versatility of the system.
In a seventh and separate aspect of the invention, a novel process module door is provided to better close and seal a process module chamber.
In a eighth aspect of the invention, two or more of the features described above are combined to provide an improved automated semiconductor processing system.
It is an object of the invention to provide an automated semiconductor processing system, better designed to keep semiconductor wafers free of contaminants. It is a further object of the invention to provide an automated semiconductor processing system that is versatile, yet compact, to reduce clean room space requirements.
Other objects, features and advantages will appear hereinafter.
BRIEF DESCRIPTION OF THE DRAWINGS In the drawings, wherein the same reference number denotes the same element throughout the several views:
Figure 1 is a top, rear and left side perspective view of the present automated semiconductor processing system;
Figures 2 and 3 are front, top, and left side perspective views thereof;
Figure 4 is a front elevation view thereof;
Figure 5 is a left side view thereof;
Figure 6 is a front perspective view of the input/output robot shown in Figure 3 ;
Figure 7 is a rear perspective view thereof; Figure 8 is a perspective view of the indexer shown in Figures 1-3, with various components removed for clarity of illustration;
Figure 9 is a perspective view thereof with additional components removed for clarity of illustration;
Figure 10 is yet another perspective view thereof showing additional details;
Figure 11 is a leftside view of the indexer shown in Figures 1 -3 ;
Figure 12 is a plan view thereof, with the pallets on the indexer removed, for clarity of illustration;
Figure 13 is a front view of the indexer shown in Figures 1-3;
Figure 14 is a plan view of the indexer shown in Figures 1-3, and illustrating sequences of movement;
Figure 15 is a perspective view of the elevator shown in Figure 5, in the lowered position;
Figure 16 is a perspective view thereof showing the elevator in the raised position;
Figure 17 is a front perspective view of the process robot shown in Figures 2 and
5;
Figure 18 is a plan view thereof;
Figure 19 is an enlarged plan view thereof;
Figure 20 is a rear perspective view of the process robot, with the arm fully withdrawn;
Figure 21 is a plan view thereof;
Figure 22 is a side elevation view, in part section, of the process robot;
Figure 23 is a front perspective view of the process robot; Figures 24A-24E are schematic illustrations showing various positions of the arm of the process robot;
Figure 25 is a perspective view of a process module, as shown in Figures 3-5;
Figure 26 is a perspective view of the semiconductor process module illustrated in Figures 4, 5 and 25, and having a novel door actuation and sealing mechanism;
Figure 27 is a perspective view of the process module door actuation assembly;
Figure 28 is a cross-sectional side view of the process module door in an open position;
Figure 29 is a cross-sectional side view of the process module door in a closed position;
Figure 30 is a perspective view of a second indexer embodiment; and
Figure 31 is a reversed perspective view of the indexer shown in Figure 30, with various components removed, for purposes of illustration.
DETAILED DESCRIPTION OF THE DRAWINGS
OVERVIEW Turning now in detail to the drawings, as shown in Figures 1-5, an automated semiconductor material processing system 50 is installed within a clean room 52. The system 50 has a clean air enclosure or housing 54 having a left side wall 56 with a fixed transparent window 57 to allow viewing of operations within the enclosure 54. Similarly, the enclosure 54 has a front wall 58, as shown in Figure 2, having a fixed transparent window 59.
A loading/unloading opening 60 in the front wall 58 is closed off during operation of the system 50 by a transparent loading window or panel 62, as illustrated in Figure 2.
Referring to Figures 1-5, down draft fans or blowers 80 are provided on top of the enclosure 54, to continuously move clean air room downwardly through the enclosure. A utilities compartment 82 provides space for power supplies, reagent tanks, pumps, and other components well known for semiconductor processing.
A user interface 64, on the front wall 58 provides information and inputs control instructions from the system operator. The user interface is linked to a computer/controller 85, in the utilities compartment 82, or at a remote location. The computer/controller 85 is linked to the various motors and sensors described below, as well as to a facility control computer, to control operation of the system 50.
Referring to Figures 1 -4, and especially to Figure 3, the system 50 includes an indexer bay or space 75 extending rearwardly along the left side wall 56. A process bay or space 94 extends along the front wall 58, perpendicularly to the indexer bay 75. The indexer bay or space 75, and a process bay or space 95 are continuous with each other, and are designated and illustrated schematically in Figure 3, as separate spaces only for purposes of description. Referring to Figures 1-5, the loading/unloading window 60 opens through the front wall 58 of the enclosure 54 into the indexer bay 75. An I/O robot 86 in the indexer bay 75 is located largely below the opening 60.
An indexer 72 is provided in the indexer bay 75, generally in alignment with the opening 60. An input plate 132 on the indexer 72 extends over the I/O robot 86 toward the window 60. The indexer 72 preferably holds up to eight cassettes 88 containing flat media, e.g., silicon wafers 90. The cassettes 88 rest on pallets 136 on the indexer 72. The pallets 136 and the I/O plate 132 are vertically positioned at about the same elevation as the bottom of the opening 60. A moving buffer shelf 76 is supported above the cassettes 88 on the indexer 72 via a vertical buffer plate 130 extending up from a center beam in the indexer 72.
Referring to Figures 2, 3 and 4, the process bay 95 includes two or more process chambers. In the embodiment shown, the process chambers are a chemical process chamber 68, and a spin/rinser dryer 70. A process robot 66 moves through the process bay 95 to the indexer 72, to carry wafers 90 to or from the chambers 68 or 70.
Referring to Figures 3 and 5, and momentarily to Figures 15 and 16, an elevator 78 under the indexer 72 lifts the wafers 90 out of the cassettes 88 (2 cassette loads at a time) so that they can be picked up and carried by the process robot 66. As shown in Figure 16, each cassette 88 preferably holds 25 wafers, with the eight cassette capacity of the indexer 72 holding 200 wafers. The wafers are handled in batches of 50, as the elevator 78 and process robot 66 carry the combined contents of two cassettes simultaneously.
THE I/O ROBOT Referring to Figures 6 and 7, the I/O robot 86 has a mounting plate 110 attached to the left side wall 56 or adjacent enclosure structure. A Y-axis rail 112 is supported on the mounting plate 110. A linear actuator 114 on the rail 112 moves an armature 105 in the Y direction, as shown in Figures 3 and 6.
Referring to Figure 7, an X-axis rail 106 on the back of the armature 105 supports a Z-axis or vertical fork rail 102. A vertical fork actuator 104 moves the rail 102 vertically on the armature 105. An x-axis actuator 108 moves the vertical rail 102, along with the vertical actuator 104, in the X or lateral direction. A cassette fork 100 near the top of the vertical rail 102 is adapted to lift a cassette 88 by engaging the cassette side flanges 89.
THE INDEXER
Turning now to Figure 8, the indexer 72 has a rectangular frame 118 including a bottom plate 120, a front plate 122, a back plate 124, and left and right-end plates 126 and 128. The I/O plate 132 is attached to the right end plate 122, and braced by gussets 134. A center beam 160 divides the indexer into an input row or side 135 and an output row 137. The vertical buffer support plate 130 is positioned and moves back and forth within a centrally located buffer plate slot 144 in the center beam 160. Two pairs of opposing pallet rails 142 extend substantially from the left end plate 126, to the right end plate 128, and provide resting or supporting surfaces for the pallets 136 at the pallet deck or surface 139. Referring momentarily to Figure 14, the indexer 72 includes 10 pallet positions: A, B, C, D, E, F, G, H, I, and J. The indexer 72 has eight pallets 136, so that two positions, at diagonally opposite corners, are always vacant. Turning to Figure 12, cutouts 162 in the bottom plate 120 of the indexer 72, at positions C and H, allow air to flow downwardly through the indexer 72. Elevator clearance holes 164 through the bottom plate 120, at positions I and J, provide clearance for the elevator 78.
Referring still to Figures 8-14, the indexer 72 includes a X-axis or lateral shift system or assembly generally designated 140, and a longitudinal or a Y-axis shift system or assembly, generally designated 170. These shift systems move the pallets 136 carrying the cassettes 88 around on the indexer 72, as shown in Figure 14.
As best shown in Figure 9, the lateral shift system 140 includes a lateral guide block 150, fixed to the indexer frame 118. A lateral shift end fork 146A is supported on the lateral guide block 150, and is driven by a lateral drive motor 154 to step or sequence between positions E and F, as shown in Figure 14. An end fork air cylinder 152 raises and lowers the end fork 146A between fixed up and down positions which are fixed by mechanical stops. Figures 9 and 10 show components of the lateral shift system 140 at the left or inside end of the indexer 72. Similar or duplicate components (the lateral guide block 150; an end fork 146B and a lateral air cylinder 152) are mounted at the right end as well. A lateral shift system linking belt 156 extends around the perimeter of the indexer frame 118, supported by idlers 157, and is attached to the diagonally opposite end forks 146 A and 146B. When the lateral drive motor 154 is energized, end fork 146 A moves from position F to position E, while end fork 146B simultaneously moves from position A to Position J, and vice versa.
Referring still to Figures 8-14, the longitudinal or Y-axis shift assembly 170 of the indexer 72 includes longitudinal guide rails 172 extending parallel to the front and back plates 122 and 124, on either side of the center rail 160. Eight side forks 180B-180J are located at positions B, C, D, E, G, H, I, and J, as best shown in Figure 12. A side fork actuator or air cylinder 174 is attached to each of the eight side forks 180. The air cylinders 174 are longitudinally displaceable with the side forks 180, as they move back and forth on the longitudinal guide rails 172. The eight side forks 180 are joined together by a longitudinal drive belt 178. The longitudinal drive belt 178 extends in a loop around the perimeter of the indexer frame 118, supported on idlers. The longitudinal drive belt 178 is positioned within the indexer frame 118 vertically above the lateral drive belt 156. A longitudinal or Y-axis drive motor 176 is engaged to the drive belt 178, such that with actuation of the motor 176, all eight side forks 180 move simultaneously. Referring to Figure 12, when the motor drives side forks 180B-180E in the input row 135 in direction I, the side forks 180G-180J on the opposite side of the center beam 160B, in the output row 137, move in direction O.
Referring to Figures 8-1 1 , the indexer 72 also includes a buffer shelf shift system or assembly, generally designated 190. The buffer shift system 190 shifts the vertical buffer plate 130, which supports the buffer shelf 76 from the front position shown in Figure 9 to the rear position shown in Figure 8. The buffer shelf 76, shown in phantom in Figure 8 is omitted from the other figures, for clarity of illustration.
Referring primarily to Figure 10, the buffer shift system 190 includes a buffer drive motor 198 linked to a buffer drive belt 200 through a flex coupling 196, and a buffer capstan 192. The buffer drive belt 200 extends around the capstan 192 and a buffer belt idler 194, positioned at opposite ends of the buffer plate slot 144. The vertical buffer plate 130 is secured to the buffer drive belt 200. The bottom end of the vertical buffer plate 130 is slidably attached to a buffer plate guide rail 202 underneath the buffer plate slot 144.
The indexer 72 has three sets of sensors 138 at each location A-J. The three sensors at each location may be separate individual sensors, or a single combination sensor. The sensors, at each position, sense whether a pallet is present; whether a cassette is present on a pallet; and whether wafers are present in a cassette. The sensors are linked to a controller or computer and provide status information for each location in the indexer 72. Preferably, optical sensors are used.
Turning now to Figures 15 and 16, the elevator 78 has a motor 210 linked to an armature 212 through a lead screw or other rotation to linear drive. Wafer platforms 216 are supported on lift columns 214. Actuation of the motor 210 lifts the armature 212 up along a elevator rail 215, to vertically move the wafers 90 into and out of the cassettes 88. With the wafers 90 lifted out of the cassettes 88 as shown in Figure 16, they can be picked up by the process robot 66. THE PROCESS ROBOT
Turning now to Figures 17-23, the process robot 66 includes a lateral or X-axis rail 250 extending through the process bay 95 and partially into the indexer bay 75. A lift unit 252 is moveable along the lateral rail 250, driven by a magnetic flux linear drive motor 251. A robot arm, 255, is attached to a vertical lift rail 254 on the lift unit 252. An A/C lift motor 257 moves the robot arm 255 vertically along the lift rail 254. As shown in Figure 23, the cylinder 280 of a gas spring counter balance 278 is attached to the robot arm 255. A piston 282 extending out of the cylinder 280 is attached to the lift unit 252. The gas spring counterbalance 278 exerts a constant upward force on the robot arm 255, to reduce the lifting or braking force that the lift motor 257 must exert to move or position the robot arm 255.
Referring still to Figures 17-23, the robot arm 255 has an elbow drive A/C motor
259 within an elbow housing 258. The elbow housing 258 is attached to the slide of the lift rail 254, on the lift unit 252. A forearm 260 is attached to the elbow housing 258 via an elbow joint 256. The forearm 260 is mechanically coupled to the elbow drive motor
259 via a gear reduction 261.
A wrist drive A/C servo motor 265 is contained within a wrist housing 264 pivotably attached to the outer end of the forearm 260 via a wrist joint 262. A wafer holder 268 formed by opposing end effectors 270 is joined to the lower front area of the wrist housing 264. Grooves 274 in the end effectors 270 facilitate engaging, lifting and carrying the wafers 90. A remote camera head 266 positioned on top of the wrist housing 264, and linked to the computer/controller 85, views the positions of the wafer retainers on the rotor within the process chambers, as described in U.S. Patent No. 5,784,797. The computer/controller can then determine whether the process robot can properly insert the wafers into the process chamber. The camera head 266 is also used to verify that the rotor rotainers are fully locked before processing begins within the process chamber.
Motor amplifiers 275, for driving the wrist drive motor 265, elbow drive motor 259, lift motor 257, and lateral drive motor 251, are contained in and move with the lift unit 252. Locating the motor amplifiers in the lift unit 252 reduces space requirements and cabling requirements. THE PROCESS MODULE
Turning now to Figure 25, a process module 300 in the process bay 95 includes, for example, the spin rinser dryer 70 and the chemical process chamber 68, although other modules, or additional modules may be used. End effector rinser dryers 302 are provided in the front floor 305 of the process module 300.
Referring to Figs. 26-29, the process module 300 includes a process vessel 310 which partially encloses a process bowl 314. The process vessel 310 mates with a movable door 512 which can be moved between the closed position shown in solid lines in Fig. 26, and an open position shown in phantom outline.
Referring to Figs. 26 and 27, the door assembly 500 is aligned in a fixed position parallel to a front wall 502 of the process vessel 310.
The door assembly 500 includes a door plate 510 supporting a door 512 and a door actuator 514 generally designated 514. The door 512 includes a stiffening plate 504 having a viewing window 508 that permits visual inspection of the processing bowl or chamber 314. The door actuator 514 includes a stationary outer cylinder 516 coupled to the door support plate 510, and an extension ring 518. The extension ring 518 is concentrically and slidably positioned inside of the outer cylinder ring 516. The door support plate 510 includes a viewing aperture 520, which aligns with the window 508, when closed, for providing visibility into the processing chamber.
Referring to Figs. 26 and 27, the door support plate 510 is attached on each side to slideable guide brackets 522. Each guide bracket 522 is slidably mounted to a pneumatic cylinder 524. The cylinders 524 are connected to the front wall 502 of the processing vessel via mounting plates 528. The combination of the guide brackets 522, the cylinders 524, and the mounting plates 528 provides a rigid door mounting construction that needs no additional guides or support blocks. The guide brackets 522 are mounted for substantially vertical movement so that the door assembly can be moved between an open position to allow access into the bowl of the processor, and a closed position wherein the door assembly is in substantially concentric alignment with the bowl 314. In the closed position, the door can be extended and sealed against the bowl 314 of the processor.
Referring to Figs. 28 and 29, an annular inner hub 530 has an annular flange 532 and a cylinder 534. The annular flange 532 is attached to the door support plate 510. A plurality of fasteners secure the outer cylinder ring 516 and the annular flange 532 concentrically to the mounting plate 510.
The extension ring 518 is concentrically positioned between the hub 530 and the outer cylinder ring 516, and includes a U-shaped portion 519 that defines an annular guide receptacle 520. The cylinder 534 fits within the annular guide receptacle 520. The extension ring 518 also includes an annular end face 540, as shown in Fig. 28. The extension ring 518 is displaceable with an annular chamber 542 defined by the cylinder 534 and the other cylinder ring 516, to seal and unseal the bowl 314.
The extension ring 518 bifurcates the chamber 542 into two operative compartments: a retraction chamber 543 and an extension chamber 544. Each chamber is adapted to hold pneumatic or hydraulic fluid and act as pneumatic or hydraulic cylinder.
Multiple annular seals 550 are positioned on or against the extension ring 518 to seal the chambers 543 and 544.
Separate fluid supply conduits are preferably provided to the retraction chamber 543 and the extension chamber 544 to increase or decrease fluid pressure within the respective chambers and effectuate movement of the extension ring 518. As shown in Fig. 28, when hydraulic fluid is supplied to the extension chamber 544, the extension ring 518 moves away from the door support plate 510. Movement of the extension ring 518 into the extended position shown in Fig. 28 moves the door 512 into sealing engagement with the access opening 506 of the processor bowl, thereby sealing the process module 300.
An annular door seal 551 is mounted on the periphery of the door 512. The door seal includes a lip 552 and a tongue 554. When the door is in the closed position shown in Fig. 28, the lip 552 of the door seal lies in a plane that is within the front wall of the processor, and the tongue presses in sealing engagement against the outside rim of the process bowl 314 thereby making a seal between the door 512 and the process bowl 314. The door seal also preferably includes a flange 555 which acts as a stop for the door seal.
The combination of the extension ring 518 and the door seal 550 provides a highly reliable and effective door closing and sealing mechanism. Piston-like movement of the ring 518 allows it to move the door 512 straight outwardly from the support plate without bowing or bending, and without the need for peripheral adjustments to ensure smooth movement. By seating against the outside rim of the process bowl, the tongue provides an effective fluid tight seal and automatically compensates for any misalignment between the door and the processor.
The inner hub 530 and the outer cylinder ring 516, are rigidly attached to the door plate 510. The door plate, in turn, is fixed relative to the process bowl 514, via the connection of the door plate 510, to the cylinders 524, to the front wall 502. Consequently, as the extension ring 518 moves outwardly away from the door plate 510, it can press tightly against and seal the bowl 514.
OPERATION
In use, the operator of the system 50 initiates a loading sequence by entering commands via the user interface 64. The window panel 62 drops down, thereby opening the loading window 60. The operator places a cassette 88 filled with wafers 90 onto the I/O plate 132. The cassette 88 may be initially placed on the I/O plate 132 by a human operator or by another robot. The cutout 133 in the I/O plate positions the cassette 88, so that it may be lifted by the I/O robot, and also allows air to flow downwardly over the wafers 90 in the cassette 88.
The fork 100 of the I/O robot 86 is initially in the same X-Y position as the I/O plate 132. The vertical fork motor or actuator 14 raises the fork 100, until the fork has engaged the side flanges 89 of the cassette 88. The I/O robot 86 then lifts the cassette 88 vertically off of the I/O plate 132, shifts laterally (in the X direction) towards the left side wall 56, via actuation of the lateral motor 108. This movement aligns the now lifted cassette with the input row of the indexer. The I/O robot 86 then moves the lifted cassette longitudinally (in the Y-direction) toward the indexer, until the cassette is aligned above a pallet in position A, via the Y-axis motor 1 14. The I/O robot then sets the cassette 88 down on the pallet 136 at position A on the indexer 72. If there is no pallet at position A, the indexer 72 must first be sequenced, as described below, to bring a pallet into position A. The I/O robot then returns the fork 100 to its initial position.
With a first cassette 88 resting on a pallet 136 at position A, which is the cassette loading position, the longitudinal shift system 170 moves the side forks 180B-J (in the direction of arrow O in Figure 12) until the side fork 180B is underneath the pallet 136 and cassette 88 in position A. The end forks 146 A and 146B have down or at-rest positions below the down or at-rest positions of the side forks 180B-J, so that the side forks 180B, 180E, 180G, and 180J can move into the end positions A, E, F and J, without interfering with the end forks 146A and 146B. As all of the side forks 180B-J are attached to the longitudinal drive belt 178, they all necessarily move together in the Y direction.
With the side fork 180B underneath the first cassette 88 in position A, the eight side fork air actuators or cylinders 174 are extended, causing the side forks 180 to lift the pallets above them up and off of the pallet deck 139. With the pallets in the up position, the longitudinal drive motor 176 turns in the opposite direction, moving side fork 180B, now carrying the first cassette 88 on a pallet, from position A to position B. The air cylinders 174 are then retracted to lower the pallet 136 and cassette 88 down into position B. After this movement is completed, there is no pallet at position A. As all of the side fork actuators 174 are controlled to move simultaneously, all of the side forks 180B-J necessarily move together in the vertical Z-axis direction.
To continue loading or sequencing the indexer 72, the longitudinal drive motor 176 is again energized to move side fork 180B back towards position A, and thereby move side fork 180J from position J back to position I. During this movement, the side fork air cylinders 174 are down, so that there is no pallet movement. Rather, the side forks are merely repositioned below the pallets. The side forks are moved, in this step, enough to avoid interfering with the end forks, and not necessarily one complete position. With the side fork 180J now clear of position J, the lateral drive motor 154 is energized to move the end fork 146B from position A to position J, and to simultaneously move the end fork 146A from position F to position E. Once under position J, the lateral air cylinders 152 are extended, lifting end fork 146B, and the pallet at position J, and simultaneously lifting end fork 146A to lift the pallet at position E. The lateral drive motor 154 is then energized in the reverse direction (direction L in Figure 12) and via the lateral belt 156, the end fork 146B carries the pallet from position J to position A, and simultaneously, the end fork 146A carries a pallet from position E to position F. The lateral air cylinders 152 are then retracted, to lower the pallets into positions A and F on the indexer deck 139.
With a second pallet in position A, the indexer 72 is ready to receive a second cassette 88. After a second cassette is positioned on the I/O plate 132, the I/O robot 86 repeats the indexer loading sequence of cassette movements, so that the second cassette is placed on the indexer at position A.
The foregoing sequence of steps is repeated until a cassette is loaded onto each of the eight pallets in the indexer. As the indexer has ten positions A-J, and eight pallets, two diagonally opposite corner positions, either positions A and F, or positions E and J, will, at any given time, not have a pallet.
After the first and second cassettes 88 loaded into the indexer 72 arrive at positions
I and J, the elevator 78 is energized, lifting the wafer platforms 216 on the lift columns
214 up through the open bottom of the cassettes 88. The wafers 90 in the cassettes are lifted to an elevated access position, as shown in Figure 16, where they are now ready to be picked up by the process robot 66.
The window panel 62 moves up to close off the loading window 60, to prevent an operator from inadvertently coming into contact with moving components within the enclosure 54.
Referring now to Figures 20, 21 and 24B, the process robot 66 moves to lift the wafers 90 off of the elevator 78. Specifically, the lateral drive flux motor 251 moves the lift unit 252 laterally until the wafer holder 268 is properly aligned with the wafers 90 on the elevator 78. With appropriate control of the lift motor 257, the elbow drive motor 258, and the wrist drive motor 265, the wafer holder 268 is moved in until the end effectors 270 are positioned and aligned on either side of the wafers 90, with the grooves 274 in the end effectors 270 each aligned to receive a wafer. As shown in Figure 24B, this wafer engagement movement is an underhanded movement of the robot arm 255. The wafer holder 268 is moved up to lift the wafers 90 off of the elevator 78. The robot arm 255 then withdraws to the position shown in Figure 24C. As the forearm has a 370° range of movement, and robot arm 255 is offset from the lift unit, the robot arm can be fully backed away from the indexer, with only minimal clearance space required, as shown in Figures 20 and 21. By appropriate control of the motors in the robot arm, the wafers are maintained in a vertical or near vertical position.
To deliver the wafers 90 to a process chamber, the lateral drive motor 251 is energized to move the lift unit 252 so that the wafers in the wafer holder 268 are brought into alignment with the selected process chamber. The robot arm 255 is raised up on the lift unit by the lift motor 257. In addition, the forearm 260 is pivoted upwardly via the elbow drive motor 259. Simultaneously, the wrist drive motor 265 is driven in an opposite direction to bring or maintain the wafer holder in an approximately 10° down incline orientation, as shown in Figure 22. Using an overhand movement, as shown in Figure 24A, the forearm is pivoted downwardly to extend the wafer holder carrying the wafers into the process chamber. The robot arm 255 then withdraws from the process chamber.
To clean the end effectors 270, the wrist drive motor 265 is controlled to orient the end effectors vertically, as shown in Figure 24D. With the end effectors aligned with the end effector rinser/dryer 302, the lift motor 257 lowers the entire robot arm 255, to extend the end effectors into the end effector rinser/dryer 302. After the end effectors 270 are cleaned and dried, they are withdrawn from the end effector rinser/dryer 302 and positioned to remove wafers from either process chamber, or to pick up additional batches of wafers from the indexer for delivery to a process chamber. As the end effectors are cleaned at the process chamber rather than at another location, processing time can be reduced, because this cleaning step is accomplished without the need to move the process robot.
As is apparent from e.g., Figure 23, the wafer holder 268 is offset to one side of the wrist joint 262 and elbow joint 256, as well as the other components of the process robot 66. No part of the process robot 66 is ever positioned directly above the wafers. As air is blown downwardly in the enclosure 54, any particles generated or released by the process robot 66 will not come into contact with the wafers. As a result, the potential for contamination of the wafers during processing is reduced.
Referring to Figures 24A-24E, the process robot 66 has an elbow joint 256 and a wrist joint 262, joined by a single segment or forearm 260. Consequently, in contrast to earlier known systems having shoulder, elbow and wrist joints, joined by two arm segments, the process robot 66 achieves a range of vertical reach via movement of the robot arm 255 on the lift rail 254, rather than by articulation of arm segments. This allows the process robot 66 to be very compact, while still achieving sufficient ranges of movement. Correspondingly, the entire enclosure 54 can be made more compact.
As the process robot 66 can perform both underhanded and overhanded movements, the vertical travel necessary on the lift rail 254 is limited. In addition, the ability to perform both underhanded and overhanded movements allows the forearm 260 to be relatively short, which also contributes to a compact enclosure 54.
Referring to Figures 1 and 16, the buffer shelf 76 moves forward (in direction O in
Figure 17) when the elevator 78 is in the down position, to receive up to 50 wafers. The buffer shelf 76 holds the wafers until the appropriate empty cassette 88 is moved into the I and J positions, so that the process robot 66 can move the disks from the buffer shelf 76 into the cassettes at positions I and J. When the buffer shelf 76 is not being loaded or unloaded with wafers, it remains in the back position (moved in direction I), so as not to interfere with operation of the elevator 78. The buffer shelf 76 temporarily holds already processed wafers, so that the process robot 66 can access and move the next batch of wafers for placement into the process chambers, before off loading already processed wafers back into the indexer. This ensures that the process chambers are constantly supplied with wafers for processing.
SECOND INDEXER EMBODIMENT
As shown in Figures 30 and 31, a second embodiment indexer 600 includes a box frame 602 formed by side walls 604 and 606, a front end wall 608, and a back end wall 610, joined to each other, and to a base plate 612. An input plate 614 extends outwardly from the front end wall 608. A center wall 616 and lateral ribs 666, divide the indexer 600 into first and second rows Rl and R2, with each row having 5 pallet positions or stations, i.e., A-E and F-J, as shown in Figure 12. The center wall 616 is supported in the box frame 602 via support bars 615 extending from the center wall 616 to the side walls 604 and 606.
Referring to Figure 30, a buffer assembly 618 includes a buffer side plate 620 attached to the inner side wall 606. A buffer tray 622 has combs 624 on comb arms 626. The buffer tray 622 is supported on a buffer tray support 630. The tray support 630 in turn is slidably mounted on upper and lower buffer rails 632 and 634, on the buffer side plate 620. Linear bearings 636 on the tray support 630 allow for low friction movement of the tray support 630 along the rails 632 and 634.
A buffer drive belt 642 extends around a buffer drive motor 638 and an end pulley 640. The buffer drive belt 642 is attached to the buffer tray support, so that rotational movement of the motor 638 causes translational (y-axis) movement of the buffer tray support 630 along the rails 632 and 634. Locating the buffer assembly 618 on the side of the indexer 600, as shown in Figure 30, allows for a more compact design, in comparison to the centrally located buffer assembly of the first indexer embodiment 72 shown in Figure 8.
Referring to Figures 30 and 31, the indexer 600 includes a longitudinal or y-axis shift assembly, generally designated 650, and a lateral or x-axis shift assembly, generally designated as 652.
Referring to Figure 31, the y-axis shift assembly 650 includes 2 side by side and parallel y-axis frames 660. Each y-axis frame 660 includes an inner frame plate 662, adjacent and attached to the center wall 616, and an outer frame plate 664, supported on the side walls 604 and 606. The lateral ribs 666 are attached to and extend between the inner frame plate 662 and outer frame plate 664, in both of the y-axis frames 660.
End rollers 674 are rotatably mounted at the ends of each of the inner and outer frame plates 662 and 664 (for a total of 8 end rollers 674). Idler rollers 676 are spaced apart and rotatably mounted on the frame plates 662 and 664, between the end rollers 674, on each frame plate 662 and 664. An endless toothed belt 670 is mounted over the end rollers 674 and idler rollers 676 on each frame plate 662 and 664 (for a total of 4 endless toothed belts 670). The teeth 672 on the belts 670 face outwardly, so that the smooth inside or back surface of the belts 670 contact the end rollers 674 and idler rollers 676. While for illustration purposes, the teeth 672 are shown only at sections of the belts 670, the belts 670 actually have continuous teeth 672 all around. In addition, for illustration purposes, the rollers and belt in the foreground of Figure 31 have been omitted from the drawing.
Referring still to Figure 31, a y-axis drive motor 680 supported on the center wall
616 is linked or engaged to a gear unit 682, which turns drive shafts 684A and 684B (in the side by side parallel y-axis frames 660) at equal speeds, but in opposite directions. The drive shafts 684A and 684B turn drive sprockets 686, which in turn drive the belts 670. The outside toothed surface of the belts 670 wraps around the drive sprockets 686, and around an idler sprockets 688, as shown in dotted line in Figure 31.
As shown in Figure 31 , an x-axis sensor pair 690, and a y-axis sensor pair 696, is provided at each of the ten pallet stations or locations A-J, delineated by the lateral ribs 666. The x-axis sensor pair includes an infrared transmitter 692 and an infrared detector 694, laterally aligned with each other (on a line perpendicular to the frame plates 662 and 664). Similarly, the y-axis sensor pair 696 includes a y-axis infrared transmitter 698 and a y-axis infrared detector 700, located generally centrally on the lateral ribs 666, and aligned with each other (on a line parallel to the frame plates 662 and 664).
A reflective optical sensor 702 is provided in the side walls 604 and 606, at each of the pallet positions A-J. The sensor pairs 690 and 696 and optical sensor 702 are linked to the controller 85, which monitors and controls operations of the indexer 600.
Referring back to Figure 30, 8 rectangular pallets 710 are provided in each row Rl and R2 of the indexer 600, so that four of the pallet positions in each row are always occupied by a pallet 710, and one end position adjacent to end wall 608 or 610 is always open. Each pallet 710 has a rectangular pallet cutout or opening 712. Pallet tooth racks 715, shown in dotted line in Figure 30, are provided on the bottom surface of the pallets 710. The tooth rack 715 extends along both of the longer sides of the pallet 710. The tooth rack 715 has teeth matching the size and pitch of the teeth 672 on the belts 70. Consequently, when a pallet 710 is placed on a y-axis frame 660, the teeth on the pallet tooth rack 715 positively engage the teeth 672 on the belts 670, so that the pallet is substantially locked against y-axis movement relative to the belts 670.
Each pallet 710 has a pair of x-axis and y-axis prisms. Specifically, an x-axis transmitter prism 714 is longitudinally aligned with an x-axis detector prism 716, on each pallet 710, as shown in Figure 30. Similarly, a y-axis transmitter prism 718 is laterally aligned with a y-axis detector prism 720, on each pallet 710. With the pallet 710 in any one of the ten pallet positions A-J in the indexer 600, the x-axis transmitter prism 714 and x-axis detector prism 716 are vertically directly above or aligned with the x-axis infrared transmitter 692 and x-axis infrared detector 694, respectively, in that pallet position. Similarly, the y-axis transmitter prism 718 and y-axis detector prism 720 are vertically directly above and aligned with the y-axis infrared transmitter 698 and the y-axis infrared detector 700, in that pallet position.
Referring to Figure 31 , the idler rollers 676 have roller flanges 678 which protrude vertically above the belts 670. The roller flanges 678 fit into roller grooves 675 (shown in dotted line in Figure 30) on the bottom surface of each pallet 710. The engagement of the roller flanges 678 into the grooves 675 prevents any x-axis movement of the pallets 710 (unless the pallet 710 is lifted vertically.) Accordingly, the pallets 710 are vertically supported on both the belts 670 and roller flanges 678.
The indexer 600 has an x-axis shift assembly 652 substantially the same as the x- axis shift assembly or shift system 140 shown in Figures 9 and 10 and described above, and is therefore not further described or illustrated here. However, rather than the end fork air cylinder 152 used in the x-axis shift system 140, the x-axis shift assembly 652 in the indexer 600 has a pallet lift electric motor 654, for better control of pallet lift movement.
The operation and sequencing of the indexer 600 is similar to that of the indexer 72 described above with reference to Figures 8-12. However, pallet movement along the y- axis is achieved via the computer controller 85 controlling the y-axis drive motor 680 to incrementally move, or index, the belts 670. During movement in the y-axis, the pallets 710 remain on the belts 670 and rollers 676. As a result, unlike the indexer 72, in the indexer 600, shown in Figures 30 and 31, there is no vertical movement of pallets 710, as the pallets move in the y-axis direction, between pallet stations.
X-axis movement of the pallets 710 at the ends of the indexer 600, is similar to the movement described above for the indexer 72 and is therefore not further described here.
At each pallet position, the optical sensor 72 detects the presence or absence of a pallet 710 via detecting the presence or absence of reflected light. In addition, at each pallet position A-J, the x-axis sensor pair 690 detects the presence or absence of a cassette 88. Specifically, the infrared transmitter 692 projects a light beam vertically upwardly. The light beam passes through the x-axis transmitter prism 714, on each pallet 710, which bends the light beam 90°, so that the light beam is then projected horizontally inwardly towards the x-axis detector prism 716. If a cassette 88 is present on the pallet 710, the light beam will be blocked by the cassette 88, and the x-axis detector 694 will not detect any infrared light, indicating presence of a cassette 88. On the other hand, if the pallet 710 has no cassette 88 on it, infrared light from the transmitter 692 passes through the x-axis transmitter prism 714, passes over the pallet 710, and is redirected downwardly by the x- axis detector prism 716, so that the infrared light is directed to and detected by the x-axis infrared detector 694, indicating the absence of a cassette 88.
The y-axis sensor pair 696 works in a similar way, to detect the presence or absence of wafers in the cassette 88. With a cassette 88 on a pallet 710, infrared light from the y-axis transmitter 698 is projected vertically upwardly, and is turned 90° by the y-axis transmitter prism 718, so that the light projects through a slot or tunnel 725 at the bottom of the cassette 88. If no wafers or other flat media are present in the cassette 88, the light travels entirely through the tunnel 75, is redirected downwardly by the y-axis detector prism 720, and is detected by the y-axis detector 700, indicating absence of any wafers in the cassette 88. If a wafer is in the cassette 88, the bottom edge of the wafer projects downwardly through the tunnel 725, preventing light from passing through the tunnel. Accordingly, the presence of any wafer in the cassette 88 will block the light from the y-axis transmitter 698, so that the y-axis detector 700 detects no light, indicating presence of at least one wafer in the cassette 88. Operation of the buffer assembly 618 in the indexer 600 is similar to operation of the buffer assembly 76, described above, and shown in Figure 8. However, locating the buffer assembly 618 at the side of the indexer 600 allows for a more compact design. Use of the belts 670 provides for faster and more reliable pallet movement, in contrast to the indexer 72 shown in Figures 8-16. Use of the prisms 714-720 allows for detection of cassettes and wafers, without requiring lifting of the pallets.

Claims

WHAT IS CLAIMED IS:
1. A machine for processing semiconductors wafers and similar flat media articles, comprising:
an enclosure;
an indexer within the enclosure, the indexer comprising:
an x-axis shift assembly; and
a y-axis shift assembly, the y-axis shift assembly including first and second pairs of belts;
a motor linked at least indirectly to the first and second pairs of belts, for driving the first and second pairs of belts simultaneously in opposite directions; and
a plurality of pallets supported at least partially on the belts.
2. The machine of claim 1 wherein the belts are endless toothed belts, with each pallet having a toothed rack engaging at least one of the endless toothed belts.
3. The machine of claims 1 or 2 further comprising a plurality of spaced apart rollers supporting the first and second pairs of belts, the rollers having flanges extending upwardly above the belts, and with the pallets supported at least partially on the flanges of the rollers.
4. The machine of claims 1 or 2 wherein each of the belts extends continuously through a plurality of indexer stations, and wherein the pallets are movable on the belts between indexer stations without lifting the pallets off of the belts.
5. The machine of claim 1 further comprising a buffer assembly attached to one side of the indexer.
6. The machine of claim 5 wherein the buffer assembly comprises a buffer plate attached to the indexer, a buffer slide rail attached to the buffer plate, and a buffer tray slidably supported on the buffer guide rails.
The machine of claim 6 wherein the slide rails are parallel to the belts.
8. The machine of claim 1 further comprising a pair of prisms on at least one of the pallets.
9. The machine of claim 1 further comprising a first station in the indexer under the first pair of belts, a first sensor pair in the first station, and a first prism pair on at least one of the pallets.
10. The machine of claim 9 further comprising a pallet sensor in the first station, for detecting the presence of a pallet at the first station.
11. The machine of claim 10 further comprising a second sensor pair in the first station, and a second prism pair on the at least one pallet , with the second prism pair oriented on a second line and the first prism pair oriented on a first line, with the second line perpendicular to the first line.
12. The machine of claim 1 further comprising means in the x-axis shift assembly for lifting a pallet up off of the first pair of belts and for lowering the pallet onto the second pair of belts.
13. A machine for processing flat media such as semiconductor material wafers, comprising:
an indexer frame;
at least one toothed belt within the indexer frame;
a motor linked to the at least one toothed belt; and
a pallet supported at least partially on the toothed belt, the pallet having a toothed rack meshed with the at least one toothed belt.
14. A machine for processing flat media such as semiconductor material wafers, comprising:
an indexer;
a y-axis shift system in the indexer;
a plurality of pallets supported on the y-axis shift system;
a first prism pair on at least one of the pallets; and
a first sensor pair aligned with the first prism pair.
15. A machine for storing and handling flat media such as semiconductor material wafers, held in cassettes supported on pallets, comprising:
a first-axis shift system for moving the pallets in a first direction;
a second-axis shift system for moving the pallets in a second direction perpendicular to the first direction;
a plurality of pallet stations aligned with at least one of the first-axis and the second-axis shift systems;
a pallet sensor at substantially each of the pallet stations;
a cassette sensor at substantially each pallet station;
a flat media sensor at substantially each pallet station;
a pair of cassette sensor prisms on substantially each pallet ; and
a pair of flat media sensor prisms on substantially each pallet.
16. A method of handling flat media comprising the steps of :
placing a cassette holding flat media onto a pallet;
placing the pallet onto a specific location on a first belt in an indexer;
indexing the first belt and incrementally moving the pallet in a first direction from a first pallet station to a second pallet station within the indexer, with the pallet continuously remaining on the first belt;
lifting the pallet vertically off of the first belt;
moving the pallet in a direction perpendicular to the first direction, into a position over a second belt;
lowering the pallet onto a specific location on a second belt; and indexing the second belt and incrementally moving the pallet in a second direction, opposite to the first direction, with the pallet continuously remaining on the second belt.
17. An apparatus for processing semiconductor wafers, comprising:
an enclosure;
an indexer bay within the enclosure;
an indexer in the indexer bay;
a process bay within the enclosure, the process bay joining perpendicularly into the indexer bay;
at least one process chamber in the process bay; and
a process robot for moving semiconductor wafers between the indexer and the at least one process bay.
18. The apparatus of claim 17 wherein the process chamber in a spin rinser dryer or a chemical process chamber.
19. The apparatus of claim 17 further comprising an end effector attached to and offset from the robot.
20. The apparatus of claim 19 wherein the robot comprises:
a lift rail and a lift motor, for moving the end effector vertically;
a robot arm attached to the lift rail through an elbow joint; and
a wrist joint attached to the robot arm and supporting the end effector.
21. The apparatus of claim 17 further comprising an input/output robot associated with the indexer, for loading and unloading wafers into and out of the indexer.
22. The apparatus of claim 17 wherein the indexer comprises:
a plurality of pallets for holding cassettes containing wafers;
means for moving the pallets between first and second positions;
a buffer shelf above the pallets; and
means for moving the buffer shelf.
23. A method for processing semiconductor wafers, comprising the steps of:
delivering a cassette containing wafers to a loading station of a processing apparatus;
moving the cassette from the loading station to an indexer;
advancing the cassette incrementally through the indexer;
lifting the wafers out of the cassette;
carrying the wafers to a process chamber;
inserting the wafers into the process chamber;
processing the wafers in the process chamber;
removing the processed wafers from the process chamber;
carrying the processed wafers back to the indexer;
placing the processed wafers on a buffer shelf; and
removing the processed wafers from the apparatus.
24. The method of claim 23 further comprising the step of moving the buffer shelf between a first position during lifting of the wafers, and a second position for receiving the processed wafers, during the step of placing the processed wafers on the buffer shelf.
25. The method of claim 23 or 24 wherein the wafers are supported by a robot arm which is constantly position to one side of the wafers, as the wafers are moved between the indexer and the processing chamber.
26. The method of claim 25 wherein the robot arm carries the wafers underhanded to the processing chamber, and inserts the wafers overhanded into the processing chamber.
27. The method of claim 25 wherein the robot arm has an end effector for holding the wafers, further comprising the step of cleaning the end effector after the wafers have been inserted into the processing chamber, without moving the robot arm away from the processing chamber, by orienting the end effector vertically, and then moving the end effector down into an end effector cleaner at the processing chamber.
28. The method of claim 23 further comprising the step of processing the wafers by rinsing and spin drying.
29. The apparatus of claim 22 wherein the means for moving comprises a lateral shift system and a longitudinal shift system in the indexer.
30. The apparatus of claim 29 wherein the lateral shift system includes a pair of lifting elements diagonally opposed in the indexer, a lift actuator associated with each of the lifting elements, and a lateral shift motor linked to each of the lifting elements.
31. The apparatus of claim 30 wherein the lifting elements comprise forks mounted on slide rails and the lift actuators comprise pneumatic cylinders.
32. The apparatus of claim 29 wherein the lifting elements are linked to the shift motor and to each other by a lateral shift drive belt.
33. A method of operating a work-in-progress indexer in an automated semiconductor processing system, comprising the steps of:
loading a first cassette onto a first pallet in a first position in the indexer;
shifting the first pallet from the first position to a second position in the indexer, by lifting, carrying, and then lowering a first lifting element under the first pallet;
shifting a second pallet into the first position from a preloading position, to receive a second cassette, by moving a preloading lifting element at least partially out from under the second pallet, and then moving a second lifting element to a position under the second pallet, lifting the second pallet, carrying the second pallet over to the first position, and then lowering the second pallet down into the first position; and
loading a second cassette onto the second pallet.
34. The method of claim 33 wherein the carrying movement of the second pallet is perpendicular to the carrying movement of the first pallet.
35. The method of claim 33 further comprising the step of sensing the presence or absence of at least one of a pallet, cassette, and wafers in the cassette, at the first position.
PCT/US1999/014414 1998-07-08 1999-06-25 Automated semiconductor processing system WO2000002675A1 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2000558925A JP2002520140A (en) 1998-07-08 1999-06-25 Automated semiconductor processing system
EP99930722A EP1109632A4 (en) 1998-07-08 1999-06-25 Automated semiconductor processing system
KR1020017000226A KR20010074681A (en) 1998-07-08 1999-06-25 Automated semiconductor processing system

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US09/112,259 US6273110B1 (en) 1997-12-19 1998-07-08 Automated semiconductor processing system
US09/274,511 1999-03-23
US09/274,511 US6279724B1 (en) 1997-12-19 1999-03-23 Automated semiconductor processing system
US09/112,259 1999-03-23

Publications (2)

Publication Number Publication Date
WO2000002675A1 true WO2000002675A1 (en) 2000-01-20
WO2000002675A9 WO2000002675A9 (en) 2000-07-06

Family

ID=26809757

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US1999/014414 WO2000002675A1 (en) 1998-07-08 1999-06-25 Automated semiconductor processing system

Country Status (6)

Country Link
US (2) US6279724B1 (en)
EP (1) EP1109632A4 (en)
JP (1) JP2002520140A (en)
CN (1) CN1126610C (en)
TW (1) TW507265B (en)
WO (1) WO2000002675A1 (en)

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2003008114A1 (en) * 2001-07-16 2003-01-30 Semitool, Inc. Systems and methods for processing workpieces
EP1332349A2 (en) * 2000-07-07 2003-08-06 Semitool, Inc. Automated processing system
US6668844B2 (en) 2001-07-16 2003-12-30 Semitool, Inc. Systems and methods for processing workpieces
EP1460676A2 (en) * 2001-11-13 2004-09-22 FSI International, Inc. Reduced footprint tool for automated processing of microelectronic substrates
US6893505B2 (en) 2002-05-08 2005-05-17 Semitool, Inc. Apparatus and method for regulating fluid flows, such as flows of electrochemical processing fluids
DE102005017945A1 (en) * 2005-04-18 2006-10-19 Paul Hartmann Ag First aid kit to be stored in vehicle, comprising plastic cover with vacuum created inside for size reduction
US7134827B2 (en) 2001-11-13 2006-11-14 Fsi International, Inc. Reduced footprint tool for automated processing of microelectronic substrates
US7534475B2 (en) 2004-03-08 2009-05-19 Fujifilm Corporation Liquid crystal compound comprising two condensed and substituted rings
US7871538B2 (en) 2005-03-04 2011-01-18 Fujifilm Corporation Liquid crystal composition, retardation plate, and elliptic polarizing plate
US8584349B2 (en) 2008-12-23 2013-11-19 Xoma Technology Ltd. Flexible manufacturing system
US9206355B2 (en) 2012-04-20 2015-12-08 Lg Chem, Ltd. Polymerizable liquid crystal compound, polymerizable liquid crystal composition, and optically anisotropic body

Families Citing this family (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6471460B1 (en) * 1996-07-15 2002-10-29 Semitool, Inc. Apparatus for processing a microelectronic workpiece including a workpiece cassette inventory assembly
US20030051972A1 (en) * 1997-05-05 2003-03-20 Semitool, Inc. Automated immersion processing system
US20030051974A1 (en) * 1997-05-05 2003-03-20 Semitool, Inc. Automated semiconductor processing system
US6572320B2 (en) * 1997-05-05 2003-06-03 Semitool, Inc. Robot for handling workpieces in an automated processing system
US7067018B2 (en) 1997-05-05 2006-06-27 Semitool, Inc. Automated system for handling and processing wafers within a carrier
US6439824B1 (en) 2000-07-07 2002-08-27 Semitool, Inc. Automated semiconductor immersion processing system
US6736148B2 (en) * 1997-05-05 2004-05-18 Semitool, Inc. Automated semiconductor processing system
US6454514B2 (en) 1998-07-08 2002-09-24 Semitool, Inc. Microelectronic workpiece support and apparatus using the support
JP3513437B2 (en) * 1999-09-01 2004-03-31 キヤノン株式会社 Substrate management method and semiconductor exposure apparatus
US6629053B1 (en) * 1999-11-22 2003-09-30 Lam Research Corporation Method and apparatus for determining substrate offset using optimization techniques
US6502054B1 (en) 1999-11-22 2002-12-31 Lam Research Corporation Method of and apparatus for dynamic alignment of substrates
JP2001291698A (en) * 2000-04-10 2001-10-19 Nec Corp Apparatus for treatment and method therefor
CH714282B1 (en) * 2000-07-06 2019-04-30 Murata Machinery Ltd Storage system with conveyor elements.
US20040025901A1 (en) * 2001-07-16 2004-02-12 Semitool, Inc. Stationary wafer spin/spray processor
GB0204882D0 (en) * 2002-03-01 2002-04-17 Trikon Technologies Ltd Pedestal
JP4033689B2 (en) * 2002-03-01 2008-01-16 東京エレクトロン株式会社 Liquid processing apparatus and liquid processing method
US6830057B2 (en) * 2002-11-01 2004-12-14 Semitool, Inc. Wafer container cleaning system
US7289734B2 (en) * 2002-12-24 2007-10-30 Tropic Networks Inc. Method and system for multi-level power management in an optical network
US7905960B2 (en) 2004-03-24 2011-03-15 Jusung Engineering Co., Ltd. Apparatus for manufacturing substrate
JP2008525125A (en) * 2004-12-22 2008-07-17 インテリジェント ホスピタル システムズ リミテッド Automatic dispensing system (APAS)
US7783383B2 (en) * 2004-12-22 2010-08-24 Intelligent Hospital Systems Ltd. Automated pharmacy admixture system (APAS)
US8821099B2 (en) * 2005-07-11 2014-09-02 Brooks Automation, Inc. Load port module
US7931859B2 (en) 2005-12-22 2011-04-26 Intelligent Hospital Systems Ltd. Ultraviolet sanitization in pharmacy environments
ITBO20060559A1 (en) * 2006-07-26 2008-01-27 Tissue Logistics Solutions S P A MACHINE FOR THE PRODUCTION OF GROUPS OF ROLLED PRODUCTS.
US9117859B2 (en) 2006-08-31 2015-08-25 Brooks Automation, Inc. Compact processing apparatus
US7602562B2 (en) 2007-05-21 2009-10-13 Electro Scientific Industries, Inc. Fluid counterbalance for a laser lens used to scribe an electronic component substrate
US8271138B2 (en) 2007-09-12 2012-09-18 Intelligent Hospital Systems Ltd. Gripper device
US8225824B2 (en) 2007-11-16 2012-07-24 Intelligent Hospital Systems, Ltd. Method and apparatus for automated fluid transfer operations
JP4515507B2 (en) * 2008-01-31 2010-08-04 東京エレクトロン株式会社 Plasma processing system
DE102008027861A1 (en) * 2008-06-11 2009-12-17 Vistec Semiconductor Systems Jena Gmbh Device for holding disc-shaped objects
US8386070B2 (en) 2009-03-18 2013-02-26 Intelligent Hospital Systems, Ltd Automated pharmacy admixture system
US8626329B2 (en) * 2009-11-20 2014-01-07 Agr Automation Ltd. Product assembly system and control software
JP5614352B2 (en) * 2011-03-29 2014-10-29 東京エレクトロン株式会社 Loading unit and processing system
CN102921677B (en) * 2012-10-24 2015-06-03 深圳创维-Rgb电子有限公司 Automatic brushing and decontaminating integrated machine for power supply boards
SG11201503659QA (en) 2012-11-28 2015-06-29 Acm Res Shanghai Inc Method and apparatus for cleaning semiconductor wafer
AT515531B1 (en) * 2014-09-19 2015-10-15 Siconnex Customized Solutions Gmbh Mounting system and feeding method for disc-shaped objects
KR101734241B1 (en) * 2015-12-10 2017-05-11 현대자동차 주식회사 Trunk lid hinge intellectual loader unit
TWI674930B (en) * 2017-04-18 2019-10-21 韓商Sti股份有限公司 Apparatus for cleaning container

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4568234A (en) * 1983-05-23 1986-02-04 Asq Boats, Inc. Wafer transfer apparatus
US5064337A (en) * 1988-07-19 1991-11-12 Tokyo Electron Limited Handling apparatus for transferring carriers and a method of transferring carriers
US5232328A (en) * 1991-03-05 1993-08-03 Semitool, Inc. Robot loadable centrifugal semiconductor processor with extendible rotor
US5378145A (en) * 1992-07-15 1995-01-03 Tokyo Electron Kabushiki Kaisha Treatment system and treatment apparatus
US5544421A (en) * 1994-04-28 1996-08-13 Semitool, Inc. Semiconductor wafer processing system
US5731678A (en) * 1996-07-15 1998-03-24 Semitool, Inc. Processing head for semiconductor processing machines

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL176841C (en) * 1975-03-04 1985-06-17 Philips Nv TRANSPORTATION DEVICE FOR TEST SAMPLE CARRERS, AND THESE CARRIERS.
FR2314390A1 (en) * 1975-06-13 1977-01-07 Sofermo AUTONOMOUS MODULAR JOINT FOR VARIOUS MECHANISMS AND IN PARTICULAR FOR ROBOTS
US4506777A (en) * 1978-12-04 1985-03-26 Beckman Instruments, Inc. Sample handling apparatus
EP0047132B1 (en) 1980-09-02 1985-07-03 Heraeus Quarzschmelze Gmbh Method of and apparatus for transferring semiconductor wafers between carrier members
DE3114032C2 (en) * 1981-04-07 1983-04-14 Gerd 8151 Osterwarngau Stückler Parts feed for an assembly table
JPS59114206A (en) * 1982-12-21 1984-07-02 Toshiba Corp Pallet conveyor device
US4667081A (en) * 1985-02-04 1987-05-19 Spectra-Physics, Inc. Apparatus for changing the direction of a light beam passing through an articulated joint
US4806057A (en) * 1986-04-22 1989-02-21 Motion Manufacturing, Inc. Automatic wafer loading method and apparatus
JPS63219134A (en) 1987-03-09 1988-09-12 Mitsubishi Electric Corp Wafer handling device of diffusion furnace
US5030057A (en) * 1987-11-06 1991-07-09 Tel Sagami Limited Semiconductor wafer transferring method and apparatus and boat for thermal treatment of a semiconductor wafer
US5431421A (en) 1988-05-25 1995-07-11 Semitool, Inc. Semiconductor processor wafer holder
JPH02286510A (en) * 1989-04-28 1990-11-26 Tsubakimoto Chain Co Bogie connection type conveyor
US5203445A (en) * 1990-03-17 1993-04-20 Tokyo Electron Sagami Limited Carrier conveying apparatus
US5186594A (en) 1990-04-19 1993-02-16 Applied Materials, Inc. Dual cassette load lock
JPH081922B2 (en) * 1991-01-25 1996-01-10 株式会社東芝 Wafer-holding device
JPH081923B2 (en) 1991-06-24 1996-01-10 ティーディーケイ株式会社 Clean transfer method and device
JPH05146984A (en) 1991-07-08 1993-06-15 Murata Mach Ltd Robot for handling wafer cassette
US5215420A (en) 1991-09-20 1993-06-01 Intevac, Inc. Substrate handling and processing system
NL9200446A (en) 1992-03-10 1993-10-01 Tempress B V Apparatus for handling microcircuit disks (wafers).
DE4404902C2 (en) * 1994-02-16 2002-04-11 Hauni Maschinenbau Ag Method and device for handling containers holding cigarette trays
US5784797A (en) 1994-04-28 1998-07-28 Semitool, Inc. Carrierless centrifugal semiconductor processing system
US5664337A (en) 1996-03-26 1997-09-09 Semitool, Inc. Automated semiconductor processing systems
ES2229247T3 (en) 1995-03-28 2005-04-16 Brooks Automation Gmbh CHARGING AND DISCHARGE STATION FOR SEMICONDUCTOR TREATMENT FACILITIES.
US5613821A (en) 1995-07-06 1997-03-25 Brooks Automation, Inc. Cluster tool batchloader of substrate carrier
US5674039A (en) 1996-07-12 1997-10-07 Fusion Systems Corporation System for transferring articles between controlled environments
CH697146A5 (en) * 1996-10-09 2008-05-15 Tec Sem Ag Gripping device for handling wafers.
US5885045A (en) * 1997-03-17 1999-03-23 Fortrend Engineering Corporation Integrated wafer pod-load/unload and mass-transfer system

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4568234A (en) * 1983-05-23 1986-02-04 Asq Boats, Inc. Wafer transfer apparatus
US5064337A (en) * 1988-07-19 1991-11-12 Tokyo Electron Limited Handling apparatus for transferring carriers and a method of transferring carriers
US5232328A (en) * 1991-03-05 1993-08-03 Semitool, Inc. Robot loadable centrifugal semiconductor processor with extendible rotor
US5378145A (en) * 1992-07-15 1995-01-03 Tokyo Electron Kabushiki Kaisha Treatment system and treatment apparatus
US5544421A (en) * 1994-04-28 1996-08-13 Semitool, Inc. Semiconductor wafer processing system
US5731678A (en) * 1996-07-15 1998-03-24 Semitool, Inc. Processing head for semiconductor processing machines

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
MAGNUM: "The perfect partnership of process excellence and production control in a fully-automated platform", SEMITOOL, 1996, pages 1 - 12, XP002921926 *
See also references of EP1109632A4 *

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1332349A2 (en) * 2000-07-07 2003-08-06 Semitool, Inc. Automated processing system
EP1332349A4 (en) * 2000-07-07 2008-12-17 Semitool Inc Automated processing system
US6668844B2 (en) 2001-07-16 2003-12-30 Semitool, Inc. Systems and methods for processing workpieces
WO2003008114A1 (en) * 2001-07-16 2003-01-30 Semitool, Inc. Systems and methods for processing workpieces
US7134827B2 (en) 2001-11-13 2006-11-14 Fsi International, Inc. Reduced footprint tool for automated processing of microelectronic substrates
EP1460676A2 (en) * 2001-11-13 2004-09-22 FSI International, Inc. Reduced footprint tool for automated processing of microelectronic substrates
EP1460676A3 (en) * 2001-11-13 2005-10-26 FSI International, Inc. Reduced footprint tool for automated processing of microelectronic substrates
US6893505B2 (en) 2002-05-08 2005-05-17 Semitool, Inc. Apparatus and method for regulating fluid flows, such as flows of electrochemical processing fluids
US7534475B2 (en) 2004-03-08 2009-05-19 Fujifilm Corporation Liquid crystal compound comprising two condensed and substituted rings
US7871538B2 (en) 2005-03-04 2011-01-18 Fujifilm Corporation Liquid crystal composition, retardation plate, and elliptic polarizing plate
DE102005017945A1 (en) * 2005-04-18 2006-10-19 Paul Hartmann Ag First aid kit to be stored in vehicle, comprising plastic cover with vacuum created inside for size reduction
US8584349B2 (en) 2008-12-23 2013-11-19 Xoma Technology Ltd. Flexible manufacturing system
US10106974B2 (en) 2008-12-23 2018-10-23 Xoma (Us) Llc Flexible manufacturing system
US10294658B2 (en) 2008-12-23 2019-05-21 Xoma (Us) Llc Flexible manufacturing system
US9206355B2 (en) 2012-04-20 2015-12-08 Lg Chem, Ltd. Polymerizable liquid crystal compound, polymerizable liquid crystal composition, and optically anisotropic body
US9416317B2 (en) 2012-04-20 2016-08-16 Lg Chem, Ltd. Polymerizable liquid crystal compound, polymerizable liquid crystal composition, and optical anisotropic body

Also Published As

Publication number Publication date
CN1126610C (en) 2003-11-05
CN1308565A (en) 2001-08-15
WO2000002675A9 (en) 2000-07-06
EP1109632A4 (en) 2006-11-22
US6279724B1 (en) 2001-08-28
TW507265B (en) 2002-10-21
JP2002520140A (en) 2002-07-09
EP1109632A1 (en) 2001-06-27
US20020044855A1 (en) 2002-04-18

Similar Documents

Publication Publication Date Title
US6279724B1 (en) Automated semiconductor processing system
US6273110B1 (en) Automated semiconductor processing system
US6723174B2 (en) Automated semiconductor processing system
US6942738B1 (en) Automated semiconductor processing system
US7278813B2 (en) Automated processing system
EP1159214B1 (en) In/out load port transfer mechanism
US5678320A (en) Semiconductor processing systems
US5882168A (en) Semiconductor processing systems
KR20010080658A (en) Apparatus for storing and moving a cassette
US10403528B2 (en) Substrate-processing apparatus and method of manufacturing semiconductor device
US6960257B2 (en) Semiconductor processing system with wafer container docking and loading station
US6799932B2 (en) Semiconductor wafer processing apparatus
US6736148B2 (en) Automated semiconductor processing system
EP0757843A1 (en) Semiconductor processing system with wafer container docking and loading station
KR20010074681A (en) Automated semiconductor processing system
WO2023200746A1 (en) Method and/or system for processing a substrate and robot apparatus therefor

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 99808318.6

Country of ref document: CN

AK Designated states

Kind code of ref document: A1

Designated state(s): CN JP KR SG US

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE

121 Ep: the epo has been informed by wipo that ep was designated in this application
DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
AK Designated states

Kind code of ref document: C2

Designated state(s): CN JP KR SG US

AL Designated countries for regional patents

Kind code of ref document: C2

Designated state(s): AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE

COP Corrected version of pamphlet

Free format text: FIRST PAGE OF INTERNATIONAL SEARCH REPORT DELETED

WWE Wipo information: entry into national phase

Ref document number: 1999930722

Country of ref document: EP

WWE Wipo information: entry into national phase

Ref document number: 1020017000226

Country of ref document: KR

ENP Entry into the national phase

Ref document number: 2000 558925

Country of ref document: JP

Kind code of ref document: A

WWP Wipo information: published in national office

Ref document number: 1999930722

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 1020017000226

Country of ref document: KR

WWW Wipo information: withdrawn in national office

Ref document number: 1020017000226

Country of ref document: KR