WO1999062099A9 - Gas distributor for a semiconductor process chamber - Google Patents

Gas distributor for a semiconductor process chamber

Info

Publication number
WO1999062099A9
WO1999062099A9 PCT/US1999/012089 US9912089W WO9962099A9 WO 1999062099 A9 WO1999062099 A9 WO 1999062099A9 US 9912089 W US9912089 W US 9912089W WO 9962099 A9 WO9962099 A9 WO 9962099A9
Authority
WO
WIPO (PCT)
Prior art keywords
gas
process chamber
substrate
chamber
nozzles
Prior art date
Application number
PCT/US1999/012089
Other languages
French (fr)
Other versions
WO1999062099A1 (en
Inventor
Arnold Kholodenko
Dmitry Lubomirsky
Guang-Jye Shiau
Peter K Loewenhardt
Shamouil Shamouilian
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to JP2000551418A priority Critical patent/JP4721516B2/en
Publication of WO1999062099A1 publication Critical patent/WO1999062099A1/en
Publication of WO1999062099A9 publication Critical patent/WO1999062099A9/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means

Definitions

  • This invention relates to a process chamber for processing semiconductor substrates, and in particular to a gas distributor for distributing process gas into the process chamber.
  • a process gas distributor that provides a non-uniform distribution of process gas in a process chamber can cause large variations in processing rates and uniformity across a surface of a substrate processed in the chamber.
  • process gas is introduced into the chamber and a plasma is formed from the process gas to etch or deposit material on the substrate.
  • current semiconductor substrates have increased in diameter from 100 mm (4 inches) to 300 mm (1 2 inches).
  • the proportionate increase in the volume of the chamber has made it more difficult to provide a uniform distribution of process gas or plasma species across the entire processing surface of the substrate. As a result, there is often considerable variation in processing rates and processing uniformity from the center to the periphery of the substrate.
  • Achieving a uniform process gas distribution is a particular problem in process chambers having ceramic walls or ceilings because it is difficult to fabricate the ceramic components with feed-throughs that allow gas nozzles to extend therethrough to uniformly distribute process gas into the process chamber.
  • the ceramic walls are composed of polycrystalline ceramic material, such as aluminum oxide or silicon, which are brittle materials and difficult to machine holes for holding a gas feedthrough without breaking or otherwise damaging the ceramic component.
  • other components such as RF induction coils, adjacent to the ceramic walls further reduce the space available for locating a gas nozzle through the wall.
  • a process chamber according to the present invention for processing a semiconductor substrate comprises a support, a gas distributor adapted to inject process gas at an inclined angle relative to a plane of the substrate into the process chamber, a gas energizer, and an exhaust.
  • a substrate held on the support is processed by process gas distributed by the gas distributor, energized by the gas energizer, exhausted by the exhaust.
  • the gas distributor comprises a plurality of gas nozzles that inject process gas at an inclined angle that is sufficiently large to cause two or more streams of process gas to impinge against one another to form a circulating gas stream in the process chamber.
  • the gas distributor comprises a plurality of gas nozzles to provide process gas into the process chamber, and a gas flow controller that alternates the flow of process gas between the gas nozzles.
  • the gas distributor comprises first and second gas nozzles adapted to inject process gas into the process chamber, and a gas flow controller comprising a computer controller system and computer-usable medium comprising computer program code that operates the gas distributor to (1 ) flow process gas through the first gas nozzle for a time period, and thereafter, stop the flow of process gas through the first gas nozzle, and (2) flow process gas through the second gas nozzle for another time period, and thereafter, stop the flow of process gas through the second gas nozzle.
  • the process chamber comprises a dome ceiling above the support, and an inductor antenna adjacent to the dome ceiling to couple RF energy to process gas in the process chamber.
  • a gas distributor comprises gas nozzles facing one another for injecting process gas at an inclined angle relative to a plane of the substrate, into the process chamber, and an exhaust exhausts the process gas from the process chamber.
  • the dome ceiling comprises a semiconductor material having an electrical susceptibility that is sufficiently low to allow RF energy from the inductor coil to permeate therethrough.
  • the present invention is to a method of processing a semiconductor substrate in which a substrate is placed on a support in a process chamber, and a process gas stream is injected into the process chamber at an inclined angle relative to a plane of the substrate.
  • the process gas can be energized to process the substrate either before or after introduction of the process gas into the process chamber.
  • the method further comprises maintaining a curved surface opposite the substrate, the curved surface having a radius of curvature sufficiently large to direct the process gas stream downward and along a periphery of the substrate.
  • the present invention is to a method of processing, in which a substrate is supported in the process chamber.
  • a first burst of process gas is injected into the process chamber through a first gas nozzle and the process gas is energized.
  • a second burst of process gas is injected into the process chamber through a second gas nozzle while continuing to energize the process gas.
  • these steps are repeated at least once.
  • Figure 1 is a schematic sectional side view of a process chamber and process gas distributor of the present invention
  • Figure 2a is a schematic sectional side view of the process chamber and process gas distributor of the present invention showing the gas flow paths;
  • Figure 2b is a schematic sectional top view of the process chamber of Figure 2a;
  • Figure 3a to 3c are graphical representations of gas flow timing sequences for actuating flow controllers for supplying process gas to the gas nozzles;
  • FIG. 4 is an illustrative block diagram of computer program product according to the present invention.
  • Figure 5a is a contour map of etch rates of a blanket layer of aluminum on a substrate that is etched in a chamber having gas nozzles inclined at an angle of 45°;
  • Figure 5b is a three-dimensional graph of the etch rates according to the contour map shown in Figure 5a;
  • Figure 6a is a contour map of the etch rates of a blanket layer of aluminum on a substrate that is etched in a chamber having gas nozzles inclined at an angle of 60°;
  • Figure 6b is a three-dimensional graph of the etch rates according to the contour map shown in Figure 6a;
  • Figure 7a is a contour map of the etch rates of a blanket layer of aluminum on a substrate that is etched in a chamber having gas nozzles inclined at an angle of 75°;
  • Figure 7b is a three-dimensional graph of the etch rates according to the contour map shown in Figure 7a.
  • the process chamber of the present invention processes a semiconductor substrate using a gas distribution system capable of providing a more uniform distribution of process gas in the process chamber.
  • An exemplary apparatus 20 of the present invention is schematically illustrated in Figure 1 , is provided only to illustrate an example of the present invention, and should not be used to limit the scope of the invention.
  • the apparatus 20 generally comprises an enclosed chamber 25 having sidewalls 30, a bottom wall 35, and a ceiling 40.
  • the chamber 25 is fabricated from any one of a variety of materials including metals, ceramics, glasses, polymers, and composite materials.
  • Metals commonly used to fabricate the process chamber 25 include, for example, anodized aluminum, stainless steel, or INCONELTM, of which anodized aluminum is preferred.
  • Ceramic and semiconductor materials that can be used to fabricate the chamber 25 include, for example, silicon, boron carbide, and aluminum oxide.
  • the process chamber 25 comprises a pedestal or support 45 at the bottom of the chamber 25 for supporting the substrate 50 thereon.
  • a dielectric member 55 positioned on the support 45 has a receiving surface 60 for receiving the substrate.
  • the dielectric member 55 comprises a unitarv monolithic structure of ceramic or polymer, for example, aluminum oxide or aluminum nitride, with an electrode 65 embedded in the dielectric member 55.
  • the electrode 65 is fabricated from a conductive high melting point refractory metal, such as tungsten, tantalum, or molybdenum.
  • the dielectric member 55 also comprises gas feed-through holes 70 for providing heat transfer gas, such as helium, to the receiving surface 60 below the substrate.
  • a series of gas feed-through holes 70 are provided around the circumference of the dielectric member 55 to provide a uniform distribution of heat transfer gas in the region below the substrate 50.
  • the electrode 65 in the dielectric member 55 has dual functions, serving both as a gas energizer 72 or plasma generator for energizing and sustaining a plasma from the process gas in the chamber 25 by capacitively coupling to an electrically biased or grounded surface of the chamber 25, and also as an electrostatic chuck that generates an electrostatic charge for electrostatically holding the substrate 50.
  • An electrode voltage supply 75 maintains an electrical potential between the electrode 65 and a surface of the chamber 25, such as the ceiling 40.
  • both a DC chucking voltage and an RF bias voltage are applied to the electrode 65 through an electrical connector.
  • the RF bias voltage comprises one or more frequencies from 13.56 MHz to 400 KHz at a power level of from about 50 to about 3000 Watts.
  • the DC voltage is typically from about 250 to about 2000 volts, and is applied to the electrode 65 to generate electrostatic charge that holds the substrate 50.
  • the apparatus 20 comprises a dome-shaped ceiling 40 that serves as a window for coupling an RF induction field transmitted by an inductor antenna 80 adjacent to the ceiling 40 to energize the process gas in the chamber.
  • dome shaped it is meant a single or multiple radius dome, planar, conical, truncated conical, cylindrical, multi-sided polyhedral shaped ceiling member, or combination of such shapes.
  • the inductor antenna 80 comprises multiple coils having a circular symmetry with a central axis coincident with the longitudinal axis of the process chamber 25 and perpendicular to the plane of the substrate 50.
  • each coil comprises from about 1 to about 10 turns, and more typically from about 2 to about 6 turns.
  • the ceiling 40 is made from dielectric or semiconducting material that has a low impedance to the RF induction field of the inductor antenna 80, and has an electric field susceptibility that is sufficiently low to transmit the RF induction field generated by the inductor antenna 80 through the ceiling 40 with minimum loss of power.
  • the ceiling can be made from aluminum oxide that is transparent to RF induction fields.
  • the ceiling 40 can also be made from metal or semiconductor material, and maintained at an electrical potential or electrical ground.
  • an RF source power supply 85 powers the inductor antenna 80, and the electrode voltage supply 75 biases the electrode 65 relative to the ceiling 40.
  • the gas energizer 72 can also comprise a microwave or other source of ionizing radiation capable of energizing the process gas before or after injection into the process chamber.
  • the process gas and process gas byproducts that are formed during processing of the substrate are exhausted by an exhaust system 1 15 comprising exhaust pumps 120 (typically including a 1000 liter/sec turbo molecular pump and roughing pump) with a throttle valve 125 in the exhaust line to control the pressure of process gas in the chamber 25.
  • an annulus surrounding the lower portion of the chamber 25 forms an asymmetric pumping channel 130 for pumping gas out of the chamber 25 to provide a more uniform distribution of gaseous species around the surface of the substrate.
  • the interior of the asymmetric pumping channel 130 is lined with a replaceable metal liner 135 to facilitate removal and cleaning ⁇ of residue formed on the annulus.
  • process gas is introduced into the chamber 25 through a gas distributor 90 (or gas distribution system) of the present invention that generally includes a process gas supply 95, a gas flow controller 100 that operates mass flow controllers 105 that control the flow of gas through a gas feed conduit 1 10, and one or more gas injection nozzles 140 that direct the flow of process gas into the chamber 25.
  • the process gas supply 95 comprises a conventional gas supply, such as a tank of compressed process gas.
  • the gas flow controller 100 controls the flow of process gas and typically comprises a computer controller system 145 and computer program that operates the mass flow controllers 105 and/or pneumatic or solenoid valves 150 in each gas feed conduit 110 that extends to a particular gas nozzle 140.
  • the gas feed conduit 1 10 extends through the sidewalls 30 of the chamber 25 so that holes or other feed-throughs do not have to be machined through the ceiling 40.
  • the gas nozzles 140 comprise a single gas nozzle or more preferably a plurality of gas nozzles 140a,b,c,d.
  • the multiple gas nozzle version comprises pairs of gas nozzles 140a,b that face each other across the diameter of the chamber 25.
  • a first gas nozzle 140a injects a first gas stream at an inclined angle relative to a plane of the substrate 50 into the chamber 25; and a second gas nozzle 140b facing the first gas nozzle 140a, injects a second gas stream also at an inclined angle relative to the plane of the substrate 50.
  • the multiple facing pairs of gas nozzles 140a,b,c,d comprises one or more groups of gas nozzles 140 that are spaced apart and positioned around the periphery of the substrate 50 to provide a uniform flux of process gas entering the chamber 25 from around the periphery of the substrate 50.
  • the gas distributor 90 comprises at least four to eight gas nozzles 140 spaced apart and positioned symmetrically at 90° or 45° intervals around the circumference of the chamber 25 to inject process gas uniformly into the entire process chamber.
  • At least one group of first and second gas nozzles 140a,b inject first and second gas streams at an inclined angle relative to the plane of the substrate 50.
  • the angle at which the gas streams are injected is sufficiently large to cause the first and second gas streams to impinge against one another and form a circulating gas flow stream that rises to the ceiling 40 above the center of the substrate 50 and descends along the periphery of the substrate 50, as illustrated by the gas flow path lines of Figures 2a and 2b.
  • the gas nozzles 140 are positioned in an inclined surface of an annular collar 148 or in the sidewalls 30 of the chamber 25.
  • Each outlet of the gas nozzles 140 comprises a longitudinal channel having a central axis that forms an inclined upward angle relative to the plane of the substrate 50, to propel the gas stream toward the ceiling 40 of the chamber 25. It has also been discovered that a preferred angle of inclination for the longitudinal channel which determines the angle of inclination of a central axis of the gas streams flowing into the chamber is from about 30 to about 80°, and more preferably from about 40 to about 60°. At these angles, the gas streams from the gas nozzles 140 have been found to provide a circulating gas flow pattern that provides a uniform distribution of process gas across the surface of the substrate 50 and significantly improves substrate yields.
  • the gas distributor 90 comprises a first group of facing inclined gas nozzles 140a,b that inject gas streams at an inclined angle relative to the plane of the substrate 50, and a second group of facing non-inclined gas nozzles 140c,d that injects gas stream in a plane that is substantially parallel to the plane of the substrate 50.
  • the gas nozzles 140 are mounted in the annular collar 148 that extends around the circumference of the chamber 25.
  • the collar 148 has an inclined surface containing the group of inclined gas nozzles 140a,b that inject gas at an inclined angle into the chamber 25 and a perpendicular surface containing the group of directly opposing gas nozzles 140c,d that inject gas parallel to the plane of the substrate 50.
  • the annular collar 148 provides a smooth and flat surface for containing the gas nozzles 140 from which residue deposits can be easily cleaned, and also serves to contain the process gas about the substrate.
  • the annular collar 148 can be machined from a block or segmented blocks of ceramic material or metal material, that contain the conduits and outlets of the gas nozzles 140.
  • the inclined gas nozzles 140a,b inject their gas streams at an inclined angle, causing the inclined gas streams to impinge against one another, coalesce, and form a combined gas stream that rises above the center of the substrate 50, strikes the opposing ceiling 40 or other surface of the chamber 25, and descends along the periphery of the substrate 50.
  • the opposing gas nozzles 140c,d that inject their gas streams directly against one another cause their gas streams to impinge directly above the center of the substrate 50 so that a portion of the combined gas stream descends on the center of the substrate 50 and another portion of the gas stream rises up above the center of the substrate 50.
  • the combination of gas streams rising upwardly and downwardly across the center and periphery of the substrate provide a gas distribution across the chamber 25 that results in uniform processing rates across the entire surface of the substrate 50.
  • the number and angle of inclination of the inclined gas nozzles 140a,b and the number of the opposing gas nozzles 140c,d depends on the size of the process chamber and the volumetric flow rate of process gas through the gas nozzles 140.
  • the gas distributor 90 can also comprise a plurality of groups of inclined and non-inclined gas nozzles 140, each group of gas nozzles being inclined at a different angle relative to the plane of the substrate 50 or relative to the shape of the surface of the ceiling 40.
  • the groups of gas nozzles 140 are positioned symmetrically to one another in the chamber 25, and are spaced apart at equal intervals along the chamber to alternate position the inclined gas nozzles 140a,b and non-inclined gas nozzles 140c,d.
  • the circulating gas flow streams provided by the facing gas nozzles 140 works particularly efficiently in combination with a curved chamber surface, such as the domed shaped ceiling 40 that faces and opposes the processing surface of the substrate 50.
  • a curved chamber surface such as the domed shaped ceiling 40 that faces and opposes the processing surface of the substrate 50.
  • the upwardly moving gas stream above the center of the substrate 50 strikes the chamber ceiling 40 and is redirected in a circular flow path by the curved ceiling 40 toward the periphery of the substrate 50.
  • the curved ceiling 40 comprises an average radius of curvature that is sufficiently large to direct the upwardly rising gas stream downward and toward the periphery of the substrate 50.
  • the domed ceiling 40 preferably comprises a multi-radius dome having multiple radii of curvature with a mean or average radius of curvature of at least about 1 50 mm.
  • curved surfaces having an apex can also be used to redirect the process gas in the chamber 25.
  • the process gas flow path redirected by the curved ceiling 40 provides a more uniform distribution of process gas species across the surface of the substrate 50 and better etching or deposition process uniformity across the substrate surface.
  • the process chamber 25 is evacuated and maintained at a predetermined subatmospheric pressure.
  • the substrate 50 is then deposited on the support 45 by a robot arm and lift pin system (not shown).
  • the electrode 65 is electrically biased with respect to the substrate 50 by an electrical voltage.
  • Process gas that is introduced into the process chamber 25 via the gas nozzles 140 is energized to form an energized process gas or plasma by maintaining coupling RF energy into the chamber 25 using coils and/or electrically biased process electrodes.
  • Figures 2a and 2b illustrate the gas flow lines in the process chamber 25 showing that the process gas rises up toward the ceiling 40, flows downward along the periphery of the substrate 50, and then flows into the asymmetric channel 130 of the exhaust system 1 15.
  • Fresh process gas enters the process chamber 25 via the inclined or opposite facing gas nozzles 140 and circulates in a radially symmetrical gas flow path.
  • the resultant circular or elliptical gas flow path simulates a gas flow stream that would occur from a gas distributor that extends gas nozzles through the ceiling 40 because at least a portion of the gas stream inside the chamber flows from the top of the chamber down towards the sides of the chamber walls.
  • the gas flow path is from the top of the chamber 25 and downward toward the substrate, there is less contamination of the substrate 50 by etchant residue and particulates that otherwise flake off from around the substrate or gas nozzles 140.
  • the resultant increased relative pressure of gas immediately above the substrate 50 provides an enhanced uniformity of processing rates across the substrate 50 and uses less process gas to process the substrate 50. As a result, smaller amounts of process gas are released in the gaseous effluent to provide more environmentally safe processing.
  • the apparatus 20 described herein can be used to deposit material on a substrate 50 such as by chemical vapor deposition, etch material from the substrate, or clean contaminant deposits deposited on walls and components in the chamber 25.
  • Typical chemical vapor deposition processes that can be performed to deposit coatings on a substrate 25 are generally described in Chapter 9, VLSI Technology, 2nd Ed., Ed. by Sze, McGraw-Hill Publishing Co., New York, which is incorporated herein by this reference.
  • SiO 2 is deposited by a process gas comprising (i) silicon source gas for example SiH 4 or SiCI 2 H 2 , and an oxygen source gas such as CO 2 and H 2 O, or N 2 O; or (ii) a single gas containing both silicon and oxygen such as Si(0C ?
  • H 5 ) 4 Other conventional CVD process gases include Hc, Nward AsH,, B,H «, KCI, PH 3 , WF 6 , and SiH 4 .
  • the apparatus 20 can also be used for other etching processes as generally described in VLSI Technology. Second Edition, Chapter 5, by S.M. Sze, McGraw-Hill Publishing Company (1 988), which is incorporated herein by reference.
  • Typical processes or etching metal layers use process gases such as BCI 3 , Cl 2 , SF 6 , CF 4 , CFCI 3 , CF 2 CI 2 , CF 3 CI, CHF 3 and C 2 CIF 5 .
  • Resist etching processes typically use oxygen gas to etch the polymeric resist on the substrate 50.
  • the process gas can also comprise a neutral or non-reactive carrier gas that is added to the reactive gases in a volume percent ratio of about 20 to about 80 volume%, and more preferably from 40 to 70 volume%.
  • the carrier gas further reduces the volume of the process gas that is used for processing the substrate 50 and also further reduces emissions of toxic or hazardous gases in the effluent.
  • the carrier gas serves to transport the active gas species past the substrate surface to maximize the amount of carrier gas that reacts with the substrate 50.
  • the carrier gas operates particularly efficiently in conjunction with the gas distributor 90 by efficiently transporting reactive gaseous species throughout the chamber 25 and evenly past the processing surface of substrate 50.
  • the process chamber 25 of the present invention provides significantly improved processing uniformity. It is believed that these results occur because theoretical diffusive gas flow does not occur in all chambers operated at low chamber pressures, as commonly believed in the art. It has been discovered that in some regions the process gas diffuses through the chamber, and in other regions, steady state flow patterns of process gas occur during processing. The steady state flow patterns affect the distribution of gas species and the processing uniformity of the substrate surface.
  • the gas flow distributor 90 of the present invention provides steady state gas flow streams that result in a more uniform distribution of gaseous species in the chamber 25 and enhanced processing uniformity. The gas flow streams also reduce gas stagnation regions and prevent excessive deposition of process residues on chamber walls and on the substrate 50.
  • the gas flow stream across the surface of the substrate 50 also provides more efficient utilization of the process gas thereby decreasing hazardous or toxic gas in the effluent gas.
  • the flow of process gas into the chamber 25 is regulated to provide pulsed bursts of process gas into the chamber 25.
  • the flow of process gas to a gas nozzle 140 is turned on and thereafter turned off, while processing a substrate 50, to provide short pulsed bursts of gas into the chamber 25.
  • the gas flow controller 100 regulates the flow of process gas through one gas nozzle 140 or groups of gas nozzles 140a,b,c,d for a predefined time period, and thereafter, stops the flow of process gas through the gas nozzle(s).
  • the gas flow controller 100 regulates the flow of process gas through another gas nozzle (or another set of gas nozzles) for another time period, and then stops the flow of process gas through that gas nozzle, and so on.
  • the starting and stopping of gas flow through the gas nozzles 140 is repeated at least once, and more preferably, a multitude of times, during processing of the substrate 50.
  • the gas flow controller 100 activates the gas flow valves 150 on one gas nozzle to flow gas into the chamber 25 for about 1 to about 50 seconds, shuts off the flow valve for 1 to 50 seconds, and then turns back on the gas flow for about 1 to about 50 seconds, and so on.
  • the pulsed bursts of process gas into the chamber are provided through an individual or sets of gas nozzles 140 that are positioned around the periphery of the substrate 50, a suitable number of gas nozzles comprising from two to eight gas nozzles, and more preferably, four to six gas nozzles that are uniformly spaced apart in the chamber 25.
  • the distribution and flow pattern of process gas species in the chamber 25 is controlled in a predetermined manner. Further control over the distribution of gas in the chamber is possible by varying the flow rates of the process gas injected through each gas nozzle140, the time period for which the process gas flows through particular gas nozzles 140, and the timed sequence of process gas flow through a set of gas nozzles 140 in relation to the position of the gas nozzles in the chamber.
  • Each sequence of process gas flow through a set of gas nozzles 140 forms a process cycle, and the process cycles are repeated multiple times during processing of a single substrate 50.
  • process gas is introduced through a gas nozzle for a period of from about 1 to about 10 seconds, and more preferably, a period of from about 1 to about 5 seconds.
  • the number of cycles is based on the total process time desired. For example, if the process gas is introduced into each gas nozzle for 2 seconds only, and the total substrate process time to completion is 40 seconds, a total of about 5 cycles are to be performed, each cycle providing process gas for about 8 seconds into the chamber 25.
  • Figures 3a to 3c illustrate exemplary different process gas flow timing sequences for actuating sets of gas nozzles 140 in the chamber 25. These figures are graphical representations of the timing sequence, or the period of duration of the opening and closing of each valve 150 that supplies process gas to one of four gas nozzles 140 positioned circumferentially along the sidewalls of the chamber 25.
  • a gas flow valve 150 of a particular gas nozzle 140 is opened for a predefined period of, for example, 10 seconds, to provide a source of process gas into the chamber 25, and thereafter, the gas flow valve is shut off, and another gas flow valve is turned on to provide another source of process gas into the chamber.
  • the gas flow timing cycle of Figure 3a comprises a first cycle comprising four steps in which process gas is first introduced through a first gas nozzle 140, and then sequentially flowed through each of the second, third, and fourth gas nozzle to complete the cycle.
  • the timed sequence of operation of the gas flow valves 150 is such that the source or ingress of the gas flow into the chamber 25 appears to rotates around a central longitudinal axis of the chamber 25 along the circumference of the process chamber.
  • the adjacent gas nozzles 140 can be turned on and off to provide an apparent rotating gas source that moves in a clockwise or counter-clockwise.
  • the flow of process gas can be pulsed in a different sequence of gas nozzles, or in an overlapping sequence of gas nozzles, from one gas nozzle to another gas nozzle around the circumference of the chamber 25.
  • gas nozzle 1 is opened to flow process gas into the chamber 25, and before gas nozzle 1 is closed, adjacent gas nozzle 2 is opened and only after gas nozzle 2 is open for a predefined overlapping time, is gas nozzle 1 shut off. Thereafter, gas nozzle 3 is opened while gas nozzle 2 is still open, and then gas nozzle 2 is shut off, and so on, to provide a rotating and overlapping timing sequence of pulsed gas sources around the circumference of the chamber 25.
  • the gas flow controller 100 alternates the flow of the first and second process gas streams between a pair of facing gas nozzles 140 that face one other at one location in the process chamber 25 to another pair of facing gas nozzles 140 that face each other at another location in the process chamber 25.
  • the gas flow is initially provided through a leading pair of first and second gas nozzles 140a,b that face one another.
  • the gas flow through a secondary pair of gas nozzles 140c,d is turned on, allowing process gas to flow into the chamber 25 from another pair of gas injections nozzles 140c,d at a different location in the chamber 25.
  • the gas distributor 90 comprises at least two pairs of nozzles that are located 90° apart along a perimeter of the chamber 25, each pair of nozzles opposing and facing each other.
  • Each gas nozzle 140a,b of a facing pair of nozzles is turned on simultaneously to provide first and second gas flow streams injected through a facing pair of gas nozzles 140a,b and then turned off.
  • each gas nozzle 140c,d of a second pair of facing gas nozzles is turned on, and thereafter turned off.
  • the two pairs of gas nozzles 140a,b and 140c,d are sequentially actuated to provide pulsed bursts of process gas from process gas sources that are located on two lines cutting across the chamber 25 at right angles to one another.
  • the gas nozzles 1 and 3 are opened simultaneously for a first time period T of about 0 ⁇ T ⁇ 10 seconds, and gas nozzles 2 and 4 are opened simultaneously for a second time period T of about 10 ⁇ T ⁇ 20 seconds.
  • two adjacent gas nozzles 140 which are 90° apart can also be simultaneously opened to supply process gas through adjacent pairs of gas nozzles, instead of through pairs of facing gas nozzles. Any other operative combination of facing or adjacent gas nozzles is also within the scope of the present invention, as would be apparent to one of ordinary skill.
  • the timing sequence of flowing process gas through various gas nozzles 140 can also be regulated to control the gas flow path or flow pattern in the chamber 25. Turning on and off a series of gas nozzles 140 positioned around the chamber 25, effectively changes the location of ingress of the gas into the chamber 25 to different positions along the circumference of the chamber 25.
  • gas streams can be injected through one or more nozzles 140 located at one position in the chamber 25, and thereafter, gas streams can be injected through other gas nozzles 140 located at a different position in the chamber 25.
  • Gas flow streams injected through pairs of facing gas nozzles 140a,b strike one another and coalesce to form an upwardly directed stream of gas, that upon impinging on the dome shaped ceiling 40 is redirected toward the periphery of the substrate 50.
  • the resultant flow of gas that moves vertically up along the center of the chamber 25 and along sidewalls 30 of the process chamber 25 has been found to significantly improve the process etching uniformity, especially when the gas stream rotates around different positions along the circumference of the
  • the pulsed flow of gas is particularly suitable for introducing etching gas into etching chambers 25 for etching the substrate 50 because it provides more uniform etching rates across the surface of the substrate 50.
  • the rotating gas inlet source has been found to significantly improve the process etching uniformity.
  • a preferred sequence of gas flow pulses for an etching process conducted with four gas nozzles 140 around the substrate 50 comprises the following steps: (i) gas nozzle 1 turned on for 2 seconds and stopped, (ii) gas nozzle 2 turned on for 2 seconds and stopped, (iii) gas nozzle 3 turned on for 2 seconds and stopped, (iv) gas nozzle 4 turned on for 2 seconds and stopped, and (v) optional repetition of these steps for one or more cycles until the substrate is processed.
  • Other gas flow sequences can also use pulsed gas bursts of from 0.1 to 2.5 seconds depending on the processing type and the number of gas nozzles.
  • a computer controller system 145 preferably operates the process chamber 25 and gas nozzles 140.
  • the computer controller system comprises a computer program code product that controls a computer comprising one or more central processor units (CPUs) interconnected to a memory system with peripheral control components, such as for example, a PENTIUM microprocessor, commercially available from Intel Corporation, Santa Clara, California.
  • the CPUs of the computer controller system 145 can also comprise ASIC (application specific integrated circuits) that operate a particular component of the chamber 25, such as the gas nozzles 140.
  • the interface between an operator and the computer system 145 is typically a video monitor 155 and a light pen 160.
  • the operator touches a designated area of a screen displayed on the CRT monitor 155 with the light pen 160 and pushes the button on the pen.
  • the area touched changes its color, or a new menu or screen is displayed, confirming the communication between the light pen 160 and the CRT monitor 1 55.
  • Other devices such as a keyboard, mouse, or pointing communication device can also be used to allow the user to communicate with the computer controller system 145.
  • the computer program code for operating the CPU(s) and other devices of the computer controller system can be written in any conventional computer readable programming language, such as for example, assembly language, C, C + + , or Pascal.
  • Suitable program code is entered into a single file, or multiple files, using a conventional text editor and stored or embodied in a computer-usable medium, such as a memory system of the computer controller system 145. If the entered code text is in a high level language, the code is compiled to a compiler code which is linked with an object code of precompiled windows library routines. To execute the linked and compiled object code, the system user invokes the object code, causing the computer to load the code in memory system to perform the tasks identified in the computer program code.
  • the computer program code comprises one or more sets of computer instructions that operate the timing, process gas composition, chamber pressure, substrate temperature, RF power levels, and other parameters of the process recipe being performed in the process chamber 25.
  • the computer program code also comprises computer instructions code for operating the gas flow distributor system 90, including the operations of turning on/off the gas nozzles, controlling the timing sequence of the gas nozzles, and controlling operation of a gas flow controller 100 to control the flow rates of the process gas through the gas nozzles 140.
  • a preferred version of the computer program code comprises multiple sets of program code, such as program code 175 that allows an operator to enter or select a process recipe, and that executes operation of the process recipe in a selected process chamber 25, chamber manager program code 180 for operating and managing priorities of the chamber components in the process chamber 25, and gas flow controller program code 185 for operating the gas nozzles 140. While illustrated as separate program codes that perform a set of tasks, it should be understood that these program codes can be integrated, or the tasks of one program code integrated with the tasks of another program code to provide a desired set of tasks. Thus the computer controller system 145 and computer program code described herein should not be limited to the specific embodiment of the program codes described herein, and other sets of program code or computer instructions that perform equivalent functions are within the scope of the present invention.
  • a user enters a process set and process chamber number into the process selector program code 175 via the light pen 160 and CRT monitor 155.
  • the process sets are composed of process parameters needed to carry out a specific process recipe in the chamber 25 and the process sets are identified by predefined set numbers.
  • the process selector program code 175 identifies a desired process chamber 25 and set of process parameters to operate the process chamber for performing a particular process.
  • the process parameters include process conditions, such as for example, chamber temperature and pressure, gas energizer parameters such as microwave or RF bias power levels and magnetic field power levels, cooling gas pressure, and chamber wall temperature.
  • the process conditions also include gas composition, flow rates, and gas valve timing sequence that sets the timing of the flow valves 150 of the gas nozzles 140.
  • the timing sequence is stored in a table of timing instructions that lists the timing intervals inputted by the operator, or contains an algorithm for timing the actuation (turn on) or de-actuation (turn off) sequence of the flow valves 150 in the predetermined sequential order of operation.
  • the process selector program code 175 executes the process set by passing the particular process set parameters to the chamber manager program code 180 which control multiple processing tasks in different process chambers according to the process set determined by the process selector program code 175.
  • the chamber manager program code 180 comprises program code for etching a substrate or depositing material on a substrate in the chamber 25.
  • the chamber manager program code 180 controls execution of various chamber components through code instruction sets which control operation of the chamber components.
  • chamber component code instruction sets include a substrate positioning instruction set 190 that controls robot components that load and remove the substrate onto the support 30a, process gas control instruction set 195 that controls the composition and flow rates of process gas supplied into the chamber 25, a pressure control instruction set 200 that controls the size of the opening of the throttle valve 80, a gas energizer control instruction set 205 that controls the power level of the gas energizer 72.
  • a gas flow controller program code 185 operates the gas distributor 90 to control the flow of process gas into the process chamber.
  • the chamber manager program code 180 selectively calls the chamber component instruction sets in accordance with the particular process set being executed, schedules the chamber component instruction sets, monitors operation of the various chamber components, determines which component needs to be operated based on the process parameters for the process set to be executed, and causes execution of a chamber component instruction set responsive to the monitoring and determining steps.
  • the gas flow controller program code 185 comprises a flow valve instruction set 210 for controlling each flow valve 150 in the gas conduit to a gas nozzle 140, and a sequence timing instruction set 215 for timing the sequence of opening and closing of the flow valves 150. While described as separate instruction sets for performing a set of tasks, it should be understood that each of these instruction sets can be integrated with one another, or the tasks of one set of program code integrated with the tasks of another to perform the desired set of tasks. Thus, the computer system 145 and the computer program code described herein should not be limited to the specific embodiment of the functional routines described herein; and any other set of routines or merged program code that perform equivalent sets of functions are also in the scope of the present invention.
  • the flow valve instruction set 210 actuates (turns on) the gas flow valve 150 of a single gas nozzle 140 to flow gas therethrough, or more preferably, simultaneously actuates a pair of gas nozzles 140a,b that face one another to flow gas simultaneously through both the facing gas nozzles.
  • the flow valve instruction set 210 actuates a gas flow valve 150 of a particular gas nozzle 140 for a short time period that is less than the time period required to process a particular substrate 50 in the chamber 25, to flow a pulsed burst of process gas into the chamber 25.
  • the flow valve instruction set 210 simultaneously actuates flow valves of a pair of facing gas nozzles 140a,b for a short time period to flow a first burst of gas through a pair of facing gas nozzles located at one position in the chamber 25, and thereafter, flows a second burst of gas through another pair of gas nozzles 140c,d located at a different position in the chamber, as described above.
  • the sequence timing instruction set 215 sets the timing of the flow valves 150 from a table of sequenced timing instructions and timing intervals entered by the operator into the process selector program code, or an algorithm for timing the actuation (turn on) and de-actuation (turn off) sequence of the flow valves 150 in the desired predetermined sequential order of operation of each valve.
  • Each gas flow valve 150 feeding a particular gas nozzle 140 is identifiable by a particular number for the operator to program a predetermined timing sequence.
  • the sequence timing instruction set 215 comprises code adapted for (i) flowing process gas through a first pair of gas nozzles 140a,b for a predetermined time period and thereafter stopping the flow of process gas through the first pair of gas nozzles, and (ii) flowing gas through the second pair of gas nozzles 140c,d for another predetermined time period and thereafter stopping the flow of process gas through the second pair of gas nozzles.
  • the sequence timing instruction set 215 repeats steps (i) and (ii) at least once to time the operation of the gas flow valves 150 in the desired predetermined sequence.
  • Figures 5a through 7b demonstrate that the process chamber 25 and gas flow distributor 90 of the present invention is capable of providing a uniform distribution of gaseous species and gas flow pattern across the surface of the substrate.
  • the chamber 25 comprising four gas nozzles 140 positioned along the sidewalls 30 of the chamber 25, and equally spaced apart at 90° from each other.
  • a blanket layer of aluminum deposited to a thickness of about 10,000 A on the substrate was etched.
  • Figures 5a through 7b illustrate the results of three separate tests in which the angle of the gas nozzles 140 relative to the surface of the substrate 50 was held at 45°, 60°, and 75°, respectively.
  • the etching gas comprised Cl 2 , BCI 3 , and N 2 ; the pressure in the chamber 25 was " 10 mTorr; and the temperature of the chamber 25 was maintained at 80°C.
  • the etching gas was pulsed through the gas nozzles 140 in the following sequence (i) facing gas nozzles 1 and 3 turned on for 2 sec and then turned off, ( ⁇ ) facing gas nozzles 2 and 4 turned on for 2 sec and then turned off. Thereafter, steps (i) and (ii) were repeated a total of 20 process cycles to provide a cumulative processing time of about 40 seconds.
  • Figure 5a is a contour map of a substrate surface showing contour lines of the amount of etching (representative of the etching gradient) of the surface of the blanket layer of aluminum processed in a process chamber 25 having gas nozzles 140 that injected gas into the chamber at an inclination angle of 45° .
  • Each contour line represents a particular thickness of residual aluminum remaining after etching, that ranged from 2823 A to 3276 A. The average thickness of the aluminum etched was about 3093 A.
  • an etch rate variation of 10.8% with a standard deviation of 1 ⁇ across the substrate 50 represents significantly improved uniformity in etching rates across the substrate, as compared to the prior art.
  • Figure 5b is a three-dimensional profile of the contour map of Figure 5a, with the Z-axis representing the etching rates across the surface of the substrate 50, showing slightly higher etch rates along a periphery of the substrate.
  • the contour map of Figure 6a shows even greater improvement in etching uniformity in a chamber 25 in which the gas nozzles 140 direct process gas streams toward the curved ceiling 40 at an angle of inclination of 60° relative to the plane of the substrate 50.
  • the thickness of the residual aluminum remaining after etching ranged from 3243 A to 3899 A with an average thickness of about 3590 A.
  • the etching rate varied across the substrate 50 by a 1 ⁇ deviation, and the percent change in etching rates was about 4.831 %, as compared to a 1 ⁇ etching uniformity of 20% for traditional chamber designs having gas nozzles that flow gas in a horizontal or vertical flow path.
  • Figure 6b shows a three-dimensional view of Figure 6a, with the Z-axis representing the etching rate across the substrate 50. From the depression in the middle of Figure 6b, it is seen that slightly higher etch rates were obtained at the periphery of the substrate 50.
  • the contour map of Figure 7a represents etch gradient lines of the etched topography surface of the blanket layer of aluminum layer in the chamber 25 in which the gas nozzles 140 were inclined at an angle of 75°.
  • the thickness of the residual aluminum layer remaining after etching ranged from 3051 A to 3699 A with an average thickness of about 3386 A. It is seen that 1 ⁇ etching uniformity of 3.578% was obtained.
  • Figure 7b shows a three-dimensional view of Figure 7b, with the Z-axis representing the etching rate across the substrate 50, showing superior uniformity and etching rates.
  • the gas distributor 90 and chamber of the present invention provide significantly improved processing, as illustrated for processes for etching aluminum layers on substrates 50.
  • the novel gas flow distributors provide directional gas streams that result in a more uniform distribution of gaseous species in the chamber 25, thereby significantly enhancing processing uniformity.
  • the flow of process gas across the substrate 50 and chamber walls reduces gas stagnation regions, gas phase nucleation of undesirable species, and deposition of excessive etchant residues on the sidewalls 30 and components of the chamber 25.
  • the gas flow stream in the chamber has also been found to more efficiently utilize the process gas in the chamber 25, thereby reducing the volume of gas that is used to process a substrate 50, and decreasing concentration of undesirable gaseous hazardous and toxic gaseous species in the effluent.
  • the location of the gas nozzles 140 be varied as apparent to one of ordinary skill.
  • the gas nozzles 140 can extend through the ceiling 40 or from the bottom wall around the periphery of the substrate.
  • the number and position of the gas nozzles 140 can be arranged to provide the desired gas flow pattern in the chamber 25, depending on the relative size of the substrate 50 and chamber 25.
  • upper, lower, center, ceiling 40, base, floor, and other such terms of spatial orientation or structures can be changed to equivalent or opposite orientations without affecting the scope of the present invention. Therefore, the appended claims should not be limited to the description of the preferred versions contained herein.

Abstract

A process chamber (25) for processing a semiconductor substrate, comprises a support for supporting a substrate (50). A gas distributor (90) provided for introducing process gas into the chamber (25), comprises a gas nozzle for injecting process gas at an inclined angle relative to a plane of the substrate (50), into the chamber (25). Optionally, a gas flow controller (100) controls and pulses the flow of process gas through one or more gas nozzles (140). An exhaust is used to exhaust the process gas from the chamber (25).

Description

SEMICONDUCTOR PROCESS CHAMBER HAVING IMPROVED GAS DISTRIBUTOR
BACKGROUND
This invention relates to a process chamber for processing semiconductor substrates, and in particular to a gas distributor for distributing process gas into the process chamber.
A process gas distributor that provides a non-uniform distribution of process gas in a process chamber can cause large variations in processing rates and uniformity across a surface of a substrate processed in the chamber. In semiconductor fabrication, process gas is introduced into the chamber and a plasma is formed from the process gas to etch or deposit material on the substrate. However, current semiconductor substrates have increased in diameter from 100 mm (4 inches) to 300 mm (1 2 inches). The proportionate increase in the volume of the chamber has made it more difficult to provide a uniform distribution of process gas or plasma species across the entire processing surface of the substrate. As a result, there is often considerable variation in processing rates and processing uniformity from the center to the periphery of the substrate.
Achieving a uniform process gas distribution is a particular problem in process chambers having ceramic walls or ceilings because it is difficult to fabricate the ceramic components with feed-throughs that allow gas nozzles to extend therethrough to uniformly distribute process gas into the process chamber. The ceramic walls are composed of polycrystalline ceramic material, such as aluminum oxide or silicon, which are brittle materials and difficult to machine holes for holding a gas feedthrough without breaking or otherwise damaging the ceramic component. Also, other components, such as RF induction coils, adjacent to the ceramic walls further reduce the space available for locating a gas nozzle through the wall. Thus there is a need for a gas distributor that provides a uniform distribution of process gas in a process chamber having ceramic walls or ceilings without requiring a hole or other feed-through to be drilled through the ceramic component. Yet another problem with current process chambers is that a relatively large amount of process gas is required to provide uniform processing rates across the substrate as compared to the amount of process gas actually consumed during processing of the substrate. Conventional process chambers require an abundance of process gas to assure complete processing of the semiconductor substrates. For example, typical CVD processes are 30 to 68% efficient, which leaves 70 to 32% of the unconsumed process gas exhausted in the effluent gas. Typical etch processes are even less efficient and often use as little as 10% of the total volume of process gas. These inefficiencies in process gas utilization increase the processing cost per substrate, particularly when the process gas is expensive. Also, excessive emissions of unconsumed process gases necessitate some form of effluent abatement apparatus to reduce the toxic or environmentally hazardous compounds in the effluent process gas, which is also expensive.
Thus there is a need for a process chamber having a gas distributor that provides a uniform distribution of process gas in the chamber, particularly for large diameter substrates. There is a further need for a gas distributor that increases the efficiency of utilization of process gas in the chamber, and thereby reduces environmentally hazardous emissions. There is also a need for a gas distributor that does not require holes or feed-throughs in ceramic walls in order to provide a uniform distribution of gas in the chamber.
SUMMARY
A process chamber according to the present invention for processing a semiconductor substrate comprises a support, a gas distributor adapted to inject process gas at an inclined angle relative to a plane of the substrate into the process chamber, a gas energizer, and an exhaust. A substrate held on the support is processed by process gas distributed by the gas distributor, energized by the gas energizer, exhausted by the exhaust. More preferably, the gas distributor comprises a plurality of gas nozzles that inject process gas at an inclined angle that is sufficiently large to cause two or more streams of process gas to impinge against one another to form a circulating gas stream in the process chamber. In another embodiment, the gas distributor comprises a plurality of gas nozzles to provide process gas into the process chamber, and a gas flow controller that alternates the flow of process gas between the gas nozzles. Preferably, the gas distributor comprises first and second gas nozzles adapted to inject process gas into the process chamber, and a gas flow controller comprising a computer controller system and computer-usable medium comprising computer program code that operates the gas distributor to (1 ) flow process gas through the first gas nozzle for a time period, and thereafter, stop the flow of process gas through the first gas nozzle, and (2) flow process gas through the second gas nozzle for another time period, and thereafter, stop the flow of process gas through the second gas nozzle.
In another embodiment, the process chamber comprises a dome ceiling above the support, and an inductor antenna adjacent to the dome ceiling to couple RF energy to process gas in the process chamber. A gas distributor comprises gas nozzles facing one another for injecting process gas at an inclined angle relative to a plane of the substrate, into the process chamber, and an exhaust exhausts the process gas from the process chamber. Preferably, the dome ceiling comprises a semiconductor material having an electrical susceptibility that is sufficiently low to allow RF energy from the inductor coil to permeate therethrough.
In another aspect, the present invention is to a method of processing a semiconductor substrate in which a substrate is placed on a support in a process chamber, and a process gas stream is injected into the process chamber at an inclined angle relative to a plane of the substrate. The process gas can be energized to process the substrate either before or after introduction of the process gas into the process chamber. Preferably, the method further comprises maintaining a curved surface opposite the substrate, the curved surface having a radius of curvature sufficiently large to direct the process gas stream downward and along a periphery of the substrate.
In yet another aspect, the present invention is to a method of processing, in which a substrate is supported in the process chamber. A first burst of process gas is injected into the process chamber through a first gas nozzle and the process gas is energized. Thereafter, a second burst of process gas is injected into the process chamber through a second gas nozzle while continuing to energize the process gas. Preferably, these steps are repeated at least once.
DRAWINGS
These and other features, aspects, and advantages of the present invention will become better understood with regard to the following description, appended claims, and accompanying drawings which illustrate examples of preferred embodiments of the invention, where:
Figure 1 is a schematic sectional side view of a process chamber and process gas distributor of the present invention;
Figure 2a is a schematic sectional side view of the process chamber and process gas distributor of the present invention showing the gas flow paths;
Figure 2b is a schematic sectional top view of the process chamber of Figure 2a;
Figure 3a to 3c are graphical representations of gas flow timing sequences for actuating flow controllers for supplying process gas to the gas nozzles;
Figure 4 is an illustrative block diagram of computer program product according to the present invention;
Figure 5a is a contour map of etch rates of a blanket layer of aluminum on a substrate that is etched in a chamber having gas nozzles inclined at an angle of 45°;
Figure 5b is a three-dimensional graph of the etch rates according to the contour map shown in Figure 5a; Figure 6a is a contour map of the etch rates of a blanket layer of aluminum on a substrate that is etched in a chamber having gas nozzles inclined at an angle of 60°;
Figure 6b is a three-dimensional graph of the etch rates according to the contour map shown in Figure 6a;
Figure 7a is a contour map of the etch rates of a blanket layer of aluminum on a substrate that is etched in a chamber having gas nozzles inclined at an angle of 75°; and
Figure 7b is a three-dimensional graph of the etch rates according to the contour map shown in Figure 7a.
DESCRIPTION
The process chamber of the present invention processes a semiconductor substrate using a gas distribution system capable of providing a more uniform distribution of process gas in the process chamber. An exemplary apparatus 20 of the present invention is schematically illustrated in Figure 1 , is provided only to illustrate an example of the present invention, and should not be used to limit the scope of the invention. The apparatus 20 generally comprises an enclosed chamber 25 having sidewalls 30, a bottom wall 35, and a ceiling 40. The chamber 25 is fabricated from any one of a variety of materials including metals, ceramics, glasses, polymers, and composite materials. Metals commonly used to fabricate the process chamber 25 include, for example, anodized aluminum, stainless steel, or INCONEL™, of which anodized aluminum is preferred. Ceramic and semiconductor materials that can be used to fabricate the chamber 25 include, for example, silicon, boron carbide, and aluminum oxide.
The process chamber 25 comprises a pedestal or support 45 at the bottom of the chamber 25 for supporting the substrate 50 thereon. Preferably, a dielectric member 55 positioned on the support 45 has a receiving surface 60 for receiving the substrate. The dielectric member 55 comprises a unitarv monolithic structure of ceramic or polymer, for example, aluminum oxide or aluminum nitride, with an electrode 65 embedded in the dielectric member 55. Preferably, the electrode 65 is fabricated from a conductive high melting point refractory metal, such as tungsten, tantalum, or molybdenum. As illustrated in Figure 1 , the dielectric member 55 also comprises gas feed-through holes 70 for providing heat transfer gas, such as helium, to the receiving surface 60 below the substrate. Typically, a series of gas feed-through holes 70 are provided around the circumference of the dielectric member 55 to provide a uniform distribution of heat transfer gas in the region below the substrate 50.
The electrode 65 in the dielectric member 55 has dual functions, serving both as a gas energizer 72 or plasma generator for energizing and sustaining a plasma from the process gas in the chamber 25 by capacitively coupling to an electrically biased or grounded surface of the chamber 25, and also as an electrostatic chuck that generates an electrostatic charge for electrostatically holding the substrate 50. An electrode voltage supply 75 maintains an electrical potential between the electrode 65 and a surface of the chamber 25, such as the ceiling 40. Preferably, both a DC chucking voltage and an RF bias voltage are applied to the electrode 65 through an electrical connector. The RF bias voltage comprises one or more frequencies from 13.56 MHz to 400 KHz at a power level of from about 50 to about 3000 Watts. The DC voltage is typically from about 250 to about 2000 volts, and is applied to the electrode 65 to generate electrostatic charge that holds the substrate 50.
The apparatus 20 comprises a dome-shaped ceiling 40 that serves as a window for coupling an RF induction field transmitted by an inductor antenna 80 adjacent to the ceiling 40 to energize the process gas in the chamber. By dome shaped it is meant a single or multiple radius dome, planar, conical, truncated conical, cylindrical, multi-sided polyhedral shaped ceiling member, or combination of such shapes. Preferably, the inductor antenna 80 comprises multiple coils having a circular symmetry with a central axis coincident with the longitudinal axis of the process chamber 25 and perpendicular to the plane of the substrate 50. The circular symmetry of the multiple coils provides a spatial distribution of inductive electrical field vector components that have a null or minimum along the central axis of symmetry to reduce the number of electrons over the center of the substrate 50, as described in U.S. patent application no. 08/648,254, which is incorporated herein by reference. Preferably, each coil comprises from about 1 to about 10 turns, and more typically from about 2 to about 6 turns.
In one version, the ceiling 40 is made from dielectric or semiconducting material that has a low impedance to the RF induction field of the inductor antenna 80, and has an electric field susceptibility that is sufficiently low to transmit the RF induction field generated by the inductor antenna 80 through the ceiling 40 with minimum loss of power. For example, the ceiling can be made from aluminum oxide that is transparent to RF induction fields. The ceiling 40 can also be made from metal or semiconductor material, and maintained at an electrical potential or electrical ground. Typically, an RF source power supply 85 powers the inductor antenna 80, and the electrode voltage supply 75 biases the electrode 65 relative to the ceiling 40. Instead of the electrode 65 or the inductor antenna 80, the gas energizer 72 can also comprise a microwave or other source of ionizing radiation capable of energizing the process gas before or after injection into the process chamber.
The process gas and process gas byproducts that are formed during processing of the substrate are exhausted by an exhaust system 1 15 comprising exhaust pumps 120 (typically including a 1000 liter/sec turbo molecular pump and roughing pump) with a throttle valve 125 in the exhaust line to control the pressure of process gas in the chamber 25. Preferably, an annulus surrounding the lower portion of the chamber 25 forms an asymmetric pumping channel 130 for pumping gas out of the chamber 25 to provide a more uniform distribution of gaseous species around the surface of the substrate. The interior of the asymmetric pumping channel 130 is lined with a replaceable metal liner 135 to facilitate removal and cleaning ι of residue formed on the annulus.
To process the substrate, process gas is introduced into the chamber 25 through a gas distributor 90 (or gas distribution system) of the present invention that generally includes a process gas supply 95, a gas flow controller 100 that operates mass flow controllers 105 that control the flow of gas through a gas feed conduit 1 10, and one or more gas injection nozzles 140 that direct the flow of process gas into the chamber 25. The process gas supply 95 comprises a conventional gas supply, such as a tank of compressed process gas. The gas flow controller 100 controls the flow of process gas and typically comprises a computer controller system 145 and computer program that operates the mass flow controllers 105 and/or pneumatic or solenoid valves 150 in each gas feed conduit 110 that extends to a particular gas nozzle 140. Preferably, the gas feed conduit 1 10 extends through the sidewalls 30 of the chamber 25 so that holes or other feed-throughs do not have to be machined through the ceiling 40.
The gas nozzles 140 comprise a single gas nozzle or more preferably a plurality of gas nozzles 140a,b,c,d. Preferably, the multiple gas nozzle version comprises pairs of gas nozzles 140a,b that face each other across the diameter of the chamber 25. In the paired configuration, a first gas nozzle 140a injects a first gas stream at an inclined angle relative to a plane of the substrate 50 into the chamber 25; and a second gas nozzle 140b facing the first gas nozzle 140a, injects a second gas stream also at an inclined angle relative to the plane of the substrate 50. While a single pair of facing inclined gas nozzles 140a,b can be used, it is preferred to have multiple pairs of gas nozzles 140a, b and 140c, d, as shown in Figure 1 . More preferably, the multiple facing pairs of gas nozzles 140a,b,c,d comprises one or more groups of gas nozzles 140 that are spaced apart and positioned around the periphery of the substrate 50 to provide a uniform flux of process gas entering the chamber 25 from around the periphery of the substrate 50. In a preferred embodiment, the gas distributor 90 comprises at least four to eight gas nozzles 140 spaced apart and positioned symmetrically at 90° or 45° intervals around the circumference of the chamber 25 to inject process gas uniformly into the entire process chamber.
Preferably, at least one group of first and second gas nozzles 140a,b inject first and second gas streams at an inclined angle relative to the plane of the substrate 50. The angle at which the gas streams are injected is sufficiently large to cause the first and second gas streams to impinge against one another and form a circulating gas flow stream that rises to the ceiling 40 above the center of the substrate 50 and descends along the periphery of the substrate 50, as illustrated by the gas flow path lines of Figures 2a and 2b. Preferably, the gas nozzles 140 are positioned in an inclined surface of an annular collar 148 or in the sidewalls 30 of the chamber 25. Each outlet of the gas nozzles 140 comprises a longitudinal channel having a central axis that forms an inclined upward angle relative to the plane of the substrate 50, to propel the gas stream toward the ceiling 40 of the chamber 25. It has also been discovered that a preferred angle of inclination for the longitudinal channel which determines the angle of inclination of a central axis of the gas streams flowing into the chamber is from about 30 to about 80°, and more preferably from about 40 to about 60°. At these angles, the gas streams from the gas nozzles 140 have been found to provide a circulating gas flow pattern that provides a uniform distribution of process gas across the surface of the substrate 50 and significantly improves substrate yields.
In a preferred embodiment, the gas distributor 90 comprises a first group of facing inclined gas nozzles 140a,b that inject gas streams at an inclined angle relative to the plane of the substrate 50, and a second group of facing non-inclined gas nozzles 140c,d that injects gas stream in a plane that is substantially parallel to the plane of the substrate 50. In a preferred configuration, the gas nozzles 140 are mounted in the annular collar 148 that extends around the circumference of the chamber 25. The collar 148 has an inclined surface containing the group of inclined gas nozzles 140a,b that inject gas at an inclined angle into the chamber 25 and a perpendicular surface containing the group of directly opposing gas nozzles 140c,d that inject gas parallel to the plane of the substrate 50. The annular collar 148 provides a smooth and flat surface for containing the gas nozzles 140 from which residue deposits can be easily cleaned, and also serves to contain the process gas about the substrate. The annular collar 148 can be machined from a block or segmented blocks of ceramic material or metal material, that contain the conduits and outlets of the gas nozzles 140.
In operation, the inclined gas nozzles 140a,b inject their gas streams at an inclined angle, causing the inclined gas streams to impinge against one another, coalesce, and form a combined gas stream that rises above the center of the substrate 50, strikes the opposing ceiling 40 or other surface of the chamber 25, and descends along the periphery of the substrate 50. The opposing gas nozzles 140c,d that inject their gas streams directly against one another cause their gas streams to impinge directly above the center of the substrate 50 so that a portion of the combined gas stream descends on the center of the substrate 50 and another portion of the gas stream rises up above the center of the substrate 50. The combination of gas streams rising upwardly and downwardly across the center and periphery of the substrate provide a gas distribution across the chamber 25 that results in uniform processing rates across the entire surface of the substrate 50. The number and angle of inclination of the inclined gas nozzles 140a,b and the number of the opposing gas nozzles 140c,d depends on the size of the process chamber and the volumetric flow rate of process gas through the gas nozzles 140. Although described as two groups of gas nozzles, the gas distributor 90 can also comprise a plurality of groups of inclined and non-inclined gas nozzles 140, each group of gas nozzles being inclined at a different angle relative to the plane of the substrate 50 or relative to the shape of the surface of the ceiling 40. Preferably, the groups of gas nozzles 140 are positioned symmetrically to one another in the chamber 25, and are spaced apart at equal intervals along the chamber to alternate position the inclined gas nozzles 140a,b and non-inclined gas nozzles 140c,d.
The circulating gas flow streams provided by the facing gas nozzles 140 works particularly efficiently in combination with a curved chamber surface, such as the domed shaped ceiling 40 that faces and opposes the processing surface of the substrate 50. In this version, the upwardly moving gas stream above the center of the substrate 50 strikes the chamber ceiling 40 and is redirected in a circular flow path by the curved ceiling 40 toward the periphery of the substrate 50. Preferably, the curved ceiling 40 comprises an average radius of curvature that is sufficiently large to direct the upwardly rising gas stream downward and toward the periphery of the substrate 50. The domed ceiling 40 preferably comprises a multi-radius dome having multiple radii of curvature with a mean or average radius of curvature of at least about 1 50 mm. Other facing curved surfaces having an apex, such as conical or other radially symmetric or concentric shapes, can also be used to redirect the process gas in the chamber 25. The process gas flow path redirected by the curved ceiling 40 provides a more uniform distribution of process gas species across the surface of the substrate 50 and better etching or deposition process uniformity across the substrate surface.
To process a substrate, the process chamber 25 is evacuated and maintained at a predetermined subatmospheric pressure. The substrate 50 is then deposited on the support 45 by a robot arm and lift pin system (not shown). The electrode 65 is electrically biased with respect to the substrate 50 by an electrical voltage. Process gas that is introduced into the process chamber 25 via the gas nozzles 140 is energized to form an energized process gas or plasma by maintaining coupling RF energy into the chamber 25 using coils and/or electrically biased process electrodes. Figures 2a and 2b illustrate the gas flow lines in the process chamber 25 showing that the process gas rises up toward the ceiling 40, flows downward along the periphery of the substrate 50, and then flows into the asymmetric channel 130 of the exhaust system 1 15. Fresh process gas enters the process chamber 25 via the inclined or opposite facing gas nozzles 140 and circulates in a radially symmetrical gas flow path. Even though the gas nozzles 140 are located along the circumference of the sidewalls 30 of the chamber 25, the resultant circular or elliptical gas flow path simulates a gas flow stream that would occur from a gas distributor that extends gas nozzles through the ceiling 40 because at least a portion of the gas stream inside the chamber flows from the top of the chamber down towards the sides of the chamber walls. Also, because the gas flow path is from the top of the chamber 25 and downward toward the substrate, there is less contamination of the substrate 50 by etchant residue and particulates that otherwise flake off from around the substrate or gas nozzles 140. The resultant increased relative pressure of gas immediately above the substrate 50 provides an enhanced uniformity of processing rates across the substrate 50 and uses less process gas to process the substrate 50. As a result, smaller amounts of process gas are released in the gaseous effluent to provide more environmentally safe processing.
The apparatus 20 described herein can be used to deposit material on a substrate 50 such as by chemical vapor deposition, etch material from the substrate, or clean contaminant deposits deposited on walls and components in the chamber 25. Typical chemical vapor deposition processes that can be performed to deposit coatings on a substrate 25 are generally described in Chapter 9, VLSI Technology, 2nd Ed., Ed. by Sze, McGraw-Hill Publishing Co., New York, which is incorporated herein by this reference. For example, SiO2 is deposited by a process gas comprising (i) silicon source gas for example SiH4 or SiCI2H2, and an oxygen source gas such as CO2 and H2O, or N2O; or (ii) a single gas containing both silicon and oxygen such as Si(0C?H5)4. Other conventional CVD process gases include Hc, N„ AsH,, B,H«, KCI, PH3, WF6, and SiH4. The apparatus 20 can also be used for other etching processes as generally described in VLSI Technology. Second Edition, Chapter 5, by S.M. Sze, McGraw-Hill Publishing Company (1 988), which is incorporated herein by reference. Typical processes or etching metal layers use process gases such as BCI3, Cl2, SF6, CF4, CFCI3, CF2CI2, CF3CI, CHF3 and C2CIF5. Resist etching processes typically use oxygen gas to etch the polymeric resist on the substrate 50.
In any of the embodiments described herein, the process gas can also comprise a neutral or non-reactive carrier gas that is added to the reactive gases in a volume percent ratio of about 20 to about 80 volume%, and more preferably from 40 to 70 volume%. The carrier gas further reduces the volume of the process gas that is used for processing the substrate 50 and also further reduces emissions of toxic or hazardous gases in the effluent. The carrier gas serves to transport the active gas species past the substrate surface to maximize the amount of carrier gas that reacts with the substrate 50. The carrier gas operates particularly efficiently in conjunction with the gas distributor 90 by efficiently transporting reactive gaseous species throughout the chamber 25 and evenly past the processing surface of substrate 50.
The process chamber 25 of the present invention provides significantly improved processing uniformity. It is believed that these results occur because theoretical diffusive gas flow does not occur in all chambers operated at low chamber pressures, as commonly believed in the art. It has been discovered that in some regions the process gas diffuses through the chamber, and in other regions, steady state flow patterns of process gas occur during processing. The steady state flow patterns affect the distribution of gas species and the processing uniformity of the substrate surface. The gas flow distributor 90 of the present invention provides steady state gas flow streams that result in a more uniform distribution of gaseous species in the chamber 25 and enhanced processing uniformity. The gas flow streams also reduce gas stagnation regions and prevent excessive deposition of process residues on chamber walls and on the substrate 50. The gas flow stream across the surface of the substrate 50 also provides more efficient utilization of the process gas thereby decreasing hazardous or toxic gas in the effluent gas. In another aspect of the present invention, the flow of process gas into the chamber 25 is regulated to provide pulsed bursts of process gas into the chamber 25. In this aspect, the flow of process gas to a gas nozzle 140 is turned on and thereafter turned off, while processing a substrate 50, to provide short pulsed bursts of gas into the chamber 25. The gas flow controller 100 regulates the flow of process gas through one gas nozzle 140 or groups of gas nozzles 140a,b,c,d for a predefined time period, and thereafter, stops the flow of process gas through the gas nozzle(s). Thereafter, the gas flow controller 100 regulates the flow of process gas through another gas nozzle (or another set of gas nozzles) for another time period, and then stops the flow of process gas through that gas nozzle, and so on. The starting and stopping of gas flow through the gas nozzles 140 is repeated at least once, and more preferably, a multitude of times, during processing of the substrate 50. For example, the gas flow controller 100 activates the gas flow valves 150 on one gas nozzle to flow gas into the chamber 25 for about 1 to about 50 seconds, shuts off the flow valve for 1 to 50 seconds, and then turns back on the gas flow for about 1 to about 50 seconds, and so on. Preferably, the pulsed bursts of process gas into the chamber are provided through an individual or sets of gas nozzles 140 that are positioned around the periphery of the substrate 50, a suitable number of gas nozzles comprising from two to eight gas nozzles, and more preferably, four to six gas nozzles that are uniformly spaced apart in the chamber 25.
By sequentially, or in an overlapping manner, turning on and off the gas flows to various gas nozzles 140 positioned around the circumference of the chamber 25, the distribution and flow pattern of process gas species in the chamber 25 is controlled in a predetermined manner. Further control over the distribution of gas in the chamber is possible by varying the flow rates of the process gas injected through each gas nozzle140, the time period for which the process gas flows through particular gas nozzles 140, and the timed sequence of process gas flow through a set of gas nozzles 140 in relation to the position of the gas nozzles in the chamber. Each sequence of process gas flow through a set of gas nozzles 140 forms a process cycle, and the process cycles are repeated multiple times during processing of a single substrate 50. Typically, in each process cycle, process gas is introduced through a gas nozzle for a period of from about 1 to about 10 seconds, and more preferably, a period of from about 1 to about 5 seconds. The number of cycles is based on the total process time desired. For example, if the process gas is introduced into each gas nozzle for 2 seconds only, and the total substrate process time to completion is 40 seconds, a total of about 5 cycles are to be performed, each cycle providing process gas for about 8 seconds into the chamber 25.
Figures 3a to 3c illustrate exemplary different process gas flow timing sequences for actuating sets of gas nozzles 140 in the chamber 25. These figures are graphical representations of the timing sequence, or the period of duration of the opening and closing of each valve 150 that supplies process gas to one of four gas nozzles 140 positioned circumferentially along the sidewalls of the chamber 25. A gas flow valve 150 of a particular gas nozzle 140 is opened for a predefined period of, for example, 10 seconds, to provide a source of process gas into the chamber 25, and thereafter, the gas flow valve is shut off, and another gas flow valve is turned on to provide another source of process gas into the chamber. The gas flow timing cycle of Figure 3a comprises a first cycle comprising four steps in which process gas is first introduced through a first gas nozzle 140, and then sequentially flowed through each of the second, third, and fourth gas nozzle to complete the cycle. The timed sequence of operation of the gas flow valves 150 is such that the source or ingress of the gas flow into the chamber 25 appears to rotates around a central longitudinal axis of the chamber 25 along the circumference of the process chamber. The adjacent gas nozzles 140 can be turned on and off to provide an apparent rotating gas source that moves in a clockwise or counter-clockwise. Alternatively, the flow of process gas can be pulsed in a different sequence of gas nozzles, or in an overlapping sequence of gas nozzles, from one gas nozzle to another gas nozzle around the circumference of the chamber 25. For example, in a typical overlapping sequence, gas nozzle 1 is opened to flow process gas into the chamber 25, and before gas nozzle 1 is closed, adjacent gas nozzle 2 is opened and only after gas nozzle 2 is open for a predefined overlapping time, is gas nozzle 1 shut off. Thereafter, gas nozzle 3 is opened while gas nozzle 2 is still open, and then gas nozzle 2 is shut off, and so on, to provide a rotating and overlapping timing sequence of pulsed gas sources around the circumference of the chamber 25.
In another preferred embodiment, illustrated in Figure 3b, the gas flow controller 100 alternates the flow of the first and second process gas streams between a pair of facing gas nozzles 140 that face one other at one location in the process chamber 25 to another pair of facing gas nozzles 140 that face each other at another location in the process chamber 25. In this version, the gas flow is initially provided through a leading pair of first and second gas nozzles 140a,b that face one another. Before or after turning off the flow of gas through the leading pair of gas nozzles 140a,b, the gas flow through a secondary pair of gas nozzles 140c,d is turned on, allowing process gas to flow into the chamber 25 from another pair of gas injections nozzles 140c,d at a different location in the chamber 25. Preferably, the gas distributor 90 comprises at least two pairs of nozzles that are located 90° apart along a perimeter of the chamber 25, each pair of nozzles opposing and facing each other. Each gas nozzle 140a,b of a facing pair of nozzles is turned on simultaneously to provide first and second gas flow streams injected through a facing pair of gas nozzles 140a,b and then turned off. Thereafter, each gas nozzle 140c,d of a second pair of facing gas nozzles is turned on, and thereafter turned off. Thus the two pairs of gas nozzles 140a,b and 140c,d are sequentially actuated to provide pulsed bursts of process gas from process gas sources that are located on two lines cutting across the chamber 25 at right angles to one another. For example, as shown in Figure 3b, the gas nozzles 1 and 3 are opened simultaneously for a first time period T of about 0 <T < 10 seconds, and gas nozzles 2 and 4 are opened simultaneously for a second time period T of about 10 <T < 20 seconds. Alternatively, as shown in Figure 3c, two adjacent gas nozzles 140 which are 90° apart can also be simultaneously opened to supply process gas through adjacent pairs of gas nozzles, instead of through pairs of facing gas nozzles. Any other operative combination of facing or adjacent gas nozzles is also within the scope of the present invention, as would be apparent to one of ordinary skill.
The timing sequence of flowing process gas through various gas nozzles 140 can also be regulated to control the gas flow path or flow pattern in the chamber 25. Turning on and off a series of gas nozzles 140 positioned around the chamber 25, effectively changes the location of ingress of the gas into the chamber 25 to different positions along the circumference of the chamber 25. For example, gas streams can be injected through one or more nozzles 140 located at one position in the chamber 25, and thereafter, gas streams can be injected through other gas nozzles 140 located at a different position in the chamber 25. Gas flow streams injected through pairs of facing gas nozzles 140a,b strike one another and coalesce to form an upwardly directed stream of gas, that upon impinging on the dome shaped ceiling 40 is redirected toward the periphery of the substrate 50. The resultant flow of gas that moves vertically up along the center of the chamber 25 and along sidewalls 30 of the process chamber 25 has been found to significantly improve the process etching uniformity, especially when the gas stream rotates around different positions along the circumference of the chamber 25.
The pulsed flow of gas is particularly suitable for introducing etching gas into etching chambers 25 for etching the substrate 50 because it provides more uniform etching rates across the surface of the substrate 50. In particular, the rotating gas inlet source has been found to significantly improve the process etching uniformity. For example, a preferred sequence of gas flow pulses for an etching process conducted with four gas nozzles 140 around the substrate 50 comprises the following steps: (i) gas nozzle 1 turned on for 2 seconds and stopped, (ii) gas nozzle 2 turned on for 2 seconds and stopped, (iii) gas nozzle 3 turned on for 2 seconds and stopped, (iv) gas nozzle 4 turned on for 2 seconds and stopped, and (v) optional repetition of these steps for one or more cycles until the substrate is processed. Other gas flow sequences can also use pulsed gas bursts of from 0.1 to 2.5 seconds depending on the processing type and the number of gas nozzles.
In the embodiments described above, a computer controller system 145 preferably operates the process chamber 25 and gas nozzles 140. The computer controller system comprises a computer program code product that controls a computer comprising one or more central processor units (CPUs) interconnected to a memory system with peripheral control components, such as for example, a PENTIUM microprocessor, commercially available from Intel Corporation, Santa Clara, California. The CPUs of the computer controller system 145 can also comprise ASIC (application specific integrated circuits) that operate a particular component of the chamber 25, such as the gas nozzles 140. The interface between an operator and the computer system 145 is typically a video monitor 155 and a light pen 160. To select a particular screen or function, the operator touches a designated area of a screen displayed on the CRT monitor 155 with the light pen 160 and pushes the button on the pen. The area touched changes its color, or a new menu or screen is displayed, confirming the communication between the light pen 160 and the CRT monitor 1 55. Other devices, such as a keyboard, mouse, or pointing communication device can also be used to allow the user to communicate with the computer controller system 145.
The computer program code for operating the CPU(s) and other devices of the computer controller system can be written in any conventional computer readable programming language, such as for example, assembly language, C, C+ + , or Pascal. Suitable program code is entered into a single file, or multiple files, using a conventional text editor and stored or embodied in a computer-usable medium, such as a memory system of the computer controller system 145. If the entered code text is in a high level language, the code is compiled to a compiler code which is linked with an object code of precompiled windows library routines. To execute the linked and compiled object code, the system user invokes the object code, causing the computer to load the code in memory system to perform the tasks identified in the computer program code.
The computer program code comprises one or more sets of computer instructions that operate the timing, process gas composition, chamber pressure, substrate temperature, RF power levels, and other parameters of the process recipe being performed in the process chamber 25. The computer program code also comprises computer instructions code for operating the gas flow distributor system 90, including the operations of turning on/off the gas nozzles, controlling the timing sequence of the gas nozzles, and controlling operation of a gas flow controller 100 to control the flow rates of the process gas through the gas nozzles 140.
A preferred version of the computer program code, as illustrated in Figure 4, comprises multiple sets of program code, such as program code 175 that allows an operator to enter or select a process recipe, and that executes operation of the process recipe in a selected process chamber 25, chamber manager program code 180 for operating and managing priorities of the chamber components in the process chamber 25, and gas flow controller program code 185 for operating the gas nozzles 140. While illustrated as separate program codes that perform a set of tasks, it should be understood that these program codes can be integrated, or the tasks of one program code integrated with the tasks of another program code to provide a desired set of tasks. Thus the computer controller system 145 and computer program code described herein should not be limited to the specific embodiment of the program codes described herein, and other sets of program code or computer instructions that perform equivalent functions are within the scope of the present invention.
In operation, a user enters a process set and process chamber number into the process selector program code 175 via the light pen 160 and CRT monitor 155. The process sets are composed of process parameters needed to carry out a specific process recipe in the chamber 25 and the process sets are identified by predefined set numbers. The process selector program code 175 identifies a desired process chamber 25 and set of process parameters to operate the process chamber for performing a particular process. The process parameters include process conditions, such as for example, chamber temperature and pressure, gas energizer parameters such as microwave or RF bias power levels and magnetic field power levels, cooling gas pressure, and chamber wall temperature. The process conditions also include gas composition, flow rates, and gas valve timing sequence that sets the timing of the flow valves 150 of the gas nozzles 140. The timing sequence is stored in a table of timing instructions that lists the timing intervals inputted by the operator, or contains an algorithm for timing the actuation (turn on) or de-actuation (turn off) sequence of the flow valves 150 in the predetermined sequential order of operation.
The process selector program code 175 executes the process set by passing the particular process set parameters to the chamber manager program code 180 which control multiple processing tasks in different process chambers according to the process set determined by the process selector program code 175. For example, the chamber manager program code 180 comprises program code for etching a substrate or depositing material on a substrate in the chamber 25. The chamber manager program code 180 controls execution of various chamber components through code instruction sets which control operation of the chamber components. Examples of chamber component code instruction sets include a substrate positioning instruction set 190 that controls robot components that load and remove the substrate onto the support 30a, process gas control instruction set 195 that controls the composition and flow rates of process gas supplied into the chamber 25, a pressure control instruction set 200 that controls the size of the opening of the throttle valve 80, a gas energizer control instruction set 205 that controls the power level of the gas energizer 72. In addition, a gas flow controller program code 185 operates the gas distributor 90 to control the flow of process gas into the process chamber. In operation, the chamber manager program code 180 selectively calls the chamber component instruction sets in accordance with the particular process set being executed, schedules the chamber component instruction sets, monitors operation of the various chamber components, determines which component needs to be operated based on the process parameters for the process set to be executed, and causes execution of a chamber component instruction set responsive to the monitoring and determining steps.
The gas flow controller program code 185 comprises a flow valve instruction set 210 for controlling each flow valve 150 in the gas conduit to a gas nozzle 140, and a sequence timing instruction set 215 for timing the sequence of opening and closing of the flow valves 150. While described as separate instruction sets for performing a set of tasks, it should be understood that each of these instruction sets can be integrated with one another, or the tasks of one set of program code integrated with the tasks of another to perform the desired set of tasks. Thus, the computer system 145 and the computer program code described herein should not be limited to the specific embodiment of the functional routines described herein; and any other set of routines or merged program code that perform equivalent sets of functions are also in the scope of the present invention.
The flow valve instruction set 210 actuates (turns on) the gas flow valve 150 of a single gas nozzle 140 to flow gas therethrough, or more preferably, simultaneously actuates a pair of gas nozzles 140a,b that face one another to flow gas simultaneously through both the facing gas nozzles. Preferably, the flow valve instruction set 210 actuates a gas flow valve 150 of a particular gas nozzle 140 for a short time period that is less than the time period required to process a particular substrate 50 in the chamber 25, to flow a pulsed burst of process gas into the chamber 25. More preferably, the flow valve instruction set 210 simultaneously actuates flow valves of a pair of facing gas nozzles 140a,b for a short time period to flow a first burst of gas through a pair of facing gas nozzles located at one position in the chamber 25, and thereafter, flows a second burst of gas through another pair of gas nozzles 140c,d located at a different position in the chamber, as described above.
The sequence timing instruction set 215 sets the timing of the flow valves 150 from a table of sequenced timing instructions and timing intervals entered by the operator into the process selector program code, or an algorithm for timing the actuation (turn on) and de-actuation (turn off) sequence of the flow valves 150 in the desired predetermined sequential order of operation of each valve. Each gas flow valve 150 feeding a particular gas nozzle 140 is identifiable by a particular number for the operator to program a predetermined timing sequence. The sequence timing instruction set 215 comprises code adapted for (i) flowing process gas through a first pair of gas nozzles 140a,b for a predetermined time period and thereafter stopping the flow of process gas through the first pair of gas nozzles, and (ii) flowing gas through the second pair of gas nozzles 140c,d for another predetermined time period and thereafter stopping the flow of process gas through the second pair of gas nozzles. The sequence timing instruction set 215 repeats steps (i) and (ii) at least once to time the operation of the gas flow valves 150 in the desired predetermined sequence.
EXAMPLES
The following examples, illustrated in Figures 5a through 7b, demonstrate that the process chamber 25 and gas flow distributor 90 of the present invention is capable of providing a uniform distribution of gaseous species and gas flow pattern across the surface of the substrate. In these examples, the chamber 25 comprising four gas nozzles 140 positioned along the sidewalls 30 of the chamber 25, and equally spaced apart at 90° from each other. In these tests, a blanket layer of aluminum deposited to a thickness of about 10,000 A on the substrate was etched. Figures 5a through 7b illustrate the results of three separate tests in which the angle of the gas nozzles 140 relative to the surface of the substrate 50 was held at 45°, 60°, and 75°, respectively. The etching gas comprised Cl2, BCI3, and N2; the pressure in the chamber 25 was " 10 mTorr; and the temperature of the chamber 25 was maintained at 80°C. The etching gas was pulsed through the gas nozzles 140 in the following sequence (i) facing gas nozzles 1 and 3 turned on for 2 sec and then turned off, (ϋ) facing gas nozzles 2 and 4 turned on for 2 sec and then turned off. Thereafter, steps (i) and (ii) were repeated a total of 20 process cycles to provide a cumulative processing time of about 40 seconds.
Figure 5a is a contour map of a substrate surface showing contour lines of the amount of etching (representative of the etching gradient) of the surface of the blanket layer of aluminum processed in a process chamber 25 having gas nozzles 140 that injected gas into the chamber at an inclination angle of 45° . Each contour line represents a particular thickness of residual aluminum remaining after etching, that ranged from 2823 A to 3276 A. The average thickness of the aluminum etched was about 3093 A. For a chamber having gas nozzles 140 inclined at an angle of 45 °, an etch rate variation of 10.8% with a standard deviation of 1 σ across the substrate 50 represents significantly improved uniformity in etching rates across the substrate, as compared to the prior art. Figure 5b is a three-dimensional profile of the contour map of Figure 5a, with the Z-axis representing the etching rates across the surface of the substrate 50, showing slightly higher etch rates along a periphery of the substrate.
The contour map of Figure 6a shows even greater improvement in etching uniformity in a chamber 25 in which the gas nozzles 140 direct process gas streams toward the curved ceiling 40 at an angle of inclination of 60° relative to the plane of the substrate 50. The thickness of the residual aluminum remaining after etching ranged from 3243 A to 3899 A with an average thickness of about 3590 A. The etching rate varied across the substrate 50 by a 1 σ deviation, and the percent change in etching rates was about 4.831 %, as compared to a 1 σ etching uniformity of 20% for traditional chamber designs having gas nozzles that flow gas in a horizontal or vertical flow path. Figure 6b shows a three-dimensional view of Figure 6a, with the Z-axis representing the etching rate across the substrate 50. From the depression in the middle of Figure 6b, it is seen that slightly higher etch rates were obtained at the periphery of the substrate 50.
The contour map of Figure 7a represents etch gradient lines of the etched topography surface of the blanket layer of aluminum layer in the chamber 25 in which the gas nozzles 140 were inclined at an angle of 75°. The thickness of the residual aluminum layer remaining after etching ranged from 3051 A to 3699 A with an average thickness of about 3386 A. It is seen that 1 σ etching uniformity of 3.578% was obtained. Figure 7b shows a three-dimensional view of Figure 7b, with the Z-axis representing the etching rate across the substrate 50, showing superior uniformity and etching rates.
The gas distributor 90 and chamber of the present invention provide significantly improved processing, as illustrated for processes for etching aluminum layers on substrates 50. The novel gas flow distributors provide directional gas streams that result in a more uniform distribution of gaseous species in the chamber 25, thereby significantly enhancing processing uniformity. Furthermore, the flow of process gas across the substrate 50 and chamber walls reduces gas stagnation regions, gas phase nucleation of undesirable species, and deposition of excessive etchant residues on the sidewalls 30 and components of the chamber 25. The gas flow stream in the chamber has also been found to more efficiently utilize the process gas in the chamber 25, thereby reducing the volume of gas that is used to process a substrate 50, and decreasing concentration of undesirable gaseous hazardous and toxic gaseous species in the effluent.
Although the present invention has been described in considerable detail with regard to the preferred versions thereof, other versions are possible. For example, the location of the gas nozzles 140 be varied as apparent to one of ordinary skill. For example, the gas nozzles 140 can extend through the ceiling 40 or from the bottom wall around the periphery of the substrate. Also, the number and position of the gas nozzles 140 can be arranged to provide the desired gas flow pattern in the chamber 25, depending on the relative size of the substrate 50 and chamber 25. Furthermore, upper, lower, center, ceiling 40, base, floor, and other such terms of spatial orientation or structures can be changed to equivalent or opposite orientations without affecting the scope of the present invention. Therefore, the appended claims should not be limited to the description of the preferred versions contained herein.

Claims

What is claimed is:
1 . A process chamber for processing a semiconductor substrate, the process chamber comprising:
(a) a support;
(b) a gas distributor adapted to inject process gas at an inclined angle relative to a plane of the substrate, into the process chamber;
(c) a gas energizer; and
(d) an exhaust, whereby a substrate held on the support is processed by process gas injected into the process chamber by the gas distributor, energized by the gas energizer, and exhausted by the exhaust.
2. The process chamber of claim 1 wherein the gas distributor is adapted to inject process gas at an inclined angle of from about 30 to about 80° relative to the plane of the substrate.
3. The process chamber of claim 1 wherein the gas distributor is adapted to inject the process gas toward a surface of the process chamber above the substrate.
4. The process chamber of claim 1 wherein the gas distributor comprises a plurality of gas nozzles.
5. The process chamber of claim 4 wherein the gas nozzles inject process gas at an inclined angle that is sufficiently large to cause two streams of process gas to impinge against one another to form a circulating gas stream in the process chamber.
6. The process chamber of claim 4 further comprising a gas flow controller that alternates the flow of process gas between one gas nozzle and another gas nozzle.
7. The process chamber of claim 4 wherein the gas distributor comprises at least one pair of gas nozzles that face one another.
8. A method of processing a semiconductor substrate in a process chamber, the method comprising the steps of:
(a) supporting the substrate in the process chamber;
(b) injecting a process gas stream at an inclined angle relative to a plane of the substrate, into the process chamber;
(c) before or after step (b), energizing the process gas stream to process the substrate; and
(d) exhausting the process gas stream from the process chamber.
9. The method of claim 8 comprising the step of injecting two process gas streams at inclined angles that are sufficiently large for the process gas streams to impinge against one another and circulate in the process chamber.
10. The method of claim 8 comprising the step of injecting the process gas streams at an inclined angle of from about 30 to about 80°.
1 1 . The method of claim 8 wherein in step (b) the ingress of the process gas streams is moved to different positions along a circumference of the process chamber.
1 2. The method of claim 8 wherein step (b) comprises the step of injecting process gas streams through a pair of facing gas nozzles, and thereafter, injecting the process gas streams through another pair of facing gas nozzles.
1 3. The method of claim 8 wherein step (b) further comprises the step of maintaining a curved surface opposing the substrate, the curved surface having a radius of curvature sufficiently large to direct the process gas stream downward and along a periphery of the substrate.
14. A process chamber for processing a semiconductor substrate, the process chamber comprising:
(a) a support;
(b) a gas distributor comprising a plurality of gas nozzles to provide process gas into the process chamber and a gas flow controller that alternates the flow of process gas between the gas nozzles;
(c) a gas energizer; and
(d) an exhaust, whereby a substrate on the support is processed by process gas provided in the process chamber by the gas distributor, energized by the gas energizer, and exhausted by the exhaust.
1 5. The process chamber of claim 14 further comprising pairs of facing gas nozzles.
16. The process chamber of claim 15 wherein the gas flow controller comprises a computer controller system and computer-usable medium having computer program code that operates the gas nozzles to alternately flow process gas through facing pairs of gas nozzles.
1 7. The process chamber of claim 1 6 wherein the computer program code comprises code instructions sets that operates flow valves of the gas nozzles to flow a first burst of gas through one pair of facing gas nozzles, and thereafter, flows a second burst of gas through another pair of facing gas nozzles.
18. The process chamber of claim 14 wherein the gas distributor comprises gas nozzles that inject process gas at an inclined angle relative to a plane of the substrate, into the process chamber.
19. A process chamber for processing a semiconductor substrate, the process chamber comprising:
(a) a support;
(b) a gas distributor comprising first and second gas nozzles that are adapted to inject process gas into the process chamber; (c) a gas flow controller comprising a computer controller system and computer-usable medium comprising computer program code that operates the gas distributor to (1 ) flow process gas through the first gas nozzle for a time period, and thereafter, stop the flow of process gas through the first gas nozzle, and (2) flow process gas through the second gas nozzle for another time period, and thereafter, stop the flow of process gas through the second gas nozzle;
(d) a gas energizer; and
(e) an exhaust, whereby a substrate on the support is processed by the process gas provided in the process chamber by the first and second gas nozzles, energized by the gas energizer, and exhausted by the exhaust.
20. The process chamber of claim 19 wherein the first gas nozzle comprises a first facing gas outlets, and the second gas nozzle comprises second facing gas outlets that are at an angular orientation relative to the first facing gas outlets.
21 . The process chamber of claim 19 wherein the first and second gas nozzles comprise outlets that are adapted to inject process gas at an inclined angle relative to a plane of the substrate.
22. A method of processing a semiconductor substrate in a process chamber, the method comprising the steps of:
(a) supporting the substrate in the process chamber;
(b) injecting into the process chamber, a first burst of process gas through a first gas nozzle and energizing the process gas; and
(c) injecting into the process chamber, a second burst of process gas through a second gas nozzle while continuing to energize the process gas.
23. The method of claim 22 further comprising the step of repeating steps (b) and (c) at least once.
24. The method of claim 22 wherein the first and second bursts of process gas are injected at an inclined angle of from about 30 to about 80° relative to a planar surface of the substrate.
25. The method of claim 24 further comprising the step of maintaining a curved surface opposite the planar surface of the substrate.
26. A computer readable program product for operating a process chamber to process a substrate in energized process gas, the computer readable program product comprising gas flow controller program code that operates a gas flow controller to (1 ) flow process gas through a first gas nozzle for a time period, and thereafter, stop the flow of process gas through the first gas nozzle, and (2) flow process gas through a second gas nozzle for another time period, and thereafter, stop the flow of process gas through the second gas nozzle.
27. A process chamber for processing a substrate, the process chamber comprising:
(a) a support;
(b) a dome ceiling above the support;
(c) an inductor antenna adjacent to the dome ceiling to couple RF energy to process gas in the process chamber;
(d) a gas distributor comprising gas nozzles facing one another for injecting process gas at an inclined angle relative to a plane of the substrate, into the process chamber; and
(e) an exhaust that exhausts the process gas from the process chamber.
28. The process chamber of claim 27 wherein the gas nozzles inject the process gas at an inclined angle of from about 30 to about 80°.
29. The process chamber of claim 28 wherein the dome ceiling comprises a radius of curvature that is sufficiently large to direct an incident stream of process gas, downward, and toward the substrate.
30. The process chamber of claim 27 further comprising a gas flow controller that alternates the flow of process gas between different pairs of gas nozzles at different locations in the process chamber.
PCT/US1999/012089 1998-05-28 1999-05-28 Gas distributor for a semiconductor process chamber WO1999062099A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2000551418A JP4721516B2 (en) 1998-05-28 1999-05-28 Processing chamber for processing semiconductor substrate and method for processing

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/086,704 US6185839B1 (en) 1998-05-28 1998-05-28 Semiconductor process chamber having improved gas distributor
US09/086,704 1998-05-28

Publications (2)

Publication Number Publication Date
WO1999062099A1 WO1999062099A1 (en) 1999-12-02
WO1999062099A9 true WO1999062099A9 (en) 2001-12-06

Family

ID=22200321

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US1999/012089 WO1999062099A1 (en) 1998-05-28 1999-05-28 Gas distributor for a semiconductor process chamber

Country Status (3)

Country Link
US (2) US6185839B1 (en)
JP (2) JP4721516B2 (en)
WO (1) WO1999062099A1 (en)

Families Citing this family (61)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6184158B1 (en) * 1996-12-23 2001-02-06 Lam Research Corporation Inductively coupled plasma CVD
KR100319891B1 (en) * 1999-06-29 2002-01-10 윤종용 heat treatment method for wafer
US6468490B1 (en) * 2000-06-29 2002-10-22 Applied Materials, Inc. Abatement of fluorine gas from effluent
US6689252B1 (en) * 1999-07-28 2004-02-10 Applied Materials, Inc. Abatement of hazardous gases in effluent
US6401353B2 (en) * 2000-03-08 2002-06-11 Dainippon Screen Mfg. Co., Ltd. Substrate dryer
JP4608827B2 (en) * 2001-08-15 2011-01-12 ソニー株式会社 Plasma processing apparatus and plasma processing method
US6676760B2 (en) 2001-08-16 2004-01-13 Appiled Materials, Inc. Process chamber having multiple gas distributors and method
WO2003021002A1 (en) * 2001-08-29 2003-03-13 Tokyo Electron Limited Apparatus and method for plasma processing
US6590344B2 (en) * 2001-11-20 2003-07-08 Taiwan Semiconductor Manufacturing Co., Ltd. Selectively controllable gas feed zones for a plasma reactor
US6713127B2 (en) * 2001-12-28 2004-03-30 Applied Materials, Inc. Methods for silicon oxide and oxynitride deposition using single wafer low pressure CVD
US7163587B2 (en) 2002-02-08 2007-01-16 Axcelis Technologies, Inc. Reactor assembly and processing method
US20030159653A1 (en) * 2002-02-28 2003-08-28 Dando Ross S. Manifold assembly for feeding reactive precursors to substrate processing chambers
US6743736B2 (en) * 2002-04-11 2004-06-01 Micron Technology, Inc. Reactive gaseous deposition precursor feed apparatus
US6896730B2 (en) * 2002-06-05 2005-05-24 Micron Technology, Inc. Atomic layer deposition apparatus and methods
US20040050326A1 (en) * 2002-09-12 2004-03-18 Thilderkvist Karin Anna Lena Apparatus and method for automatically controlling gas flow in a substrate processing system
US20040065256A1 (en) * 2002-10-03 2004-04-08 Kim Gi Youl Systems and methods for improved gas delivery
US6829056B1 (en) 2003-08-21 2004-12-07 Michael Barnes Monitoring dimensions of features at different locations in the processing of substrates
US7569193B2 (en) * 2003-12-19 2009-08-04 Applied Materials, Inc. Apparatus and method for controlled combustion of gaseous pollutants
US7740704B2 (en) * 2004-06-25 2010-06-22 Tokyo Electron Limited High rate atomic layer deposition apparatus and method of using
US20060021574A1 (en) * 2004-08-02 2006-02-02 Veeco Instruments Inc. Multi-gas distribution injector for chemical vapor deposition reactors
US7736599B2 (en) * 2004-11-12 2010-06-15 Applied Materials, Inc. Reactor design to reduce particle deposition during process abatement
US7771563B2 (en) * 2004-11-18 2010-08-10 Sumitomo Precision Products Co., Ltd. Systems and methods for achieving isothermal batch processing of substrates used for the production of micro-electro-mechanical-systems
US8088223B2 (en) * 2005-03-10 2012-01-03 Asm America, Inc. System for control of gas injectors
JP4843030B2 (en) * 2005-05-23 2011-12-21 スリーエム イノベイティブ プロパティズ カンパニー Method and apparatus for meltblowing polymeric material utilizing fluid flow from an auxiliary manifold
JP2008546078A (en) * 2005-05-23 2008-12-18 スリーエム イノベイティブ プロパティズ カンパニー Manifold for discharging liquid having desired mass-weight characteristics and design method thereof
JP4519037B2 (en) * 2005-08-31 2010-08-04 東京エレクトロン株式会社 Heating device and coating / developing device
KR101036734B1 (en) * 2005-10-31 2011-05-24 어플라이드 머티어리얼스, 인코포레이티드 Process abatement reactor
KR101501426B1 (en) * 2006-06-02 2015-03-11 어플라이드 머티어리얼스, 인코포레이티드 Gas flow control by differential pressure measurements
KR100797079B1 (en) * 2006-07-12 2008-01-22 세메스 주식회사 A method and apparatus for cleaning substrates
US8012366B2 (en) 2006-10-30 2011-09-06 Applied Materials, Inc. Process for etching a transparent workpiece including backside endpoint detection steps
US8017029B2 (en) 2006-10-30 2011-09-13 Applied Materials, Inc. Plasma mask etch method of controlling a reactor tunable element in accordance with the output of an array of optical sensors viewing the mask backside
US7967930B2 (en) 2006-10-30 2011-06-28 Applied Materials, Inc. Plasma reactor for processing a workpiece and having a tunable cathode
US8002946B2 (en) * 2006-10-30 2011-08-23 Applied Materials, Inc. Mask etch plasma reactor with cathode providing a uniform distribution of etch rate
US20080099437A1 (en) * 2006-10-30 2008-05-01 Richard Lewington Plasma reactor for processing a transparent workpiece with backside process endpoint detection
US20080099450A1 (en) * 2006-10-30 2008-05-01 Applied Materials, Inc. Mask etch plasma reactor with backside optical sensors and multiple frequency control of etch distribution
US9218944B2 (en) * 2006-10-30 2015-12-22 Applied Materials, Inc. Mask etch plasma reactor having an array of optical sensors viewing the workpiece backside and a tunable element controlled in response to the optical sensors
US7976671B2 (en) 2006-10-30 2011-07-12 Applied Materials, Inc. Mask etch plasma reactor with variable process gas distribution
WO2008120459A1 (en) * 2007-03-22 2008-10-09 Panasonic Corporation Plasma processing device and plasma processing method
US8486191B2 (en) * 2009-04-07 2013-07-16 Asm America, Inc. Substrate reactor with adjustable injectors for mixing gases within reaction chamber
JP5560093B2 (en) * 2009-06-30 2014-07-23 株式会社日立国際電気 Substrate processing apparatus, semiconductor device manufacturing method, and substrate manufacturing method
WO2011066508A2 (en) * 2009-11-30 2011-06-03 Applied Materials, Inc. Chamber for processing hard disk drive substrates
EP2547624A4 (en) * 2010-03-19 2014-05-07 Gtat Corp System and method for polycrystalline silicon deposition
US20110265951A1 (en) * 2010-04-30 2011-11-03 Applied Materials, Inc. Twin chamber processing system
US20120152900A1 (en) * 2010-12-20 2012-06-21 Applied Materials, Inc. Methods and apparatus for gas delivery into plasma processing chambers
US20130180954A1 (en) * 2012-01-18 2013-07-18 Applied Materials, Inc. Multi-zone direct gas flow control of a substrate processing chamber
US11713924B2 (en) 2012-02-01 2023-08-01 Revive Electronics, LLC Methods and apparatuses for drying electronic devices
US9644891B2 (en) 2012-02-01 2017-05-09 Revive Electronics, LLC Methods and apparatuses for drying electronic devices
US9970708B2 (en) 2012-02-01 2018-05-15 Revive Electronics, LLC Methods and apparatuses for drying electronic devices
US10876792B2 (en) 2012-02-01 2020-12-29 Revive Electronics, LLC Methods and apparatuses for drying electronic devices
US9513053B2 (en) 2013-03-14 2016-12-06 Revive Electronics, LLC Methods and apparatuses for drying electronic devices
US10690413B2 (en) 2012-02-01 2020-06-23 Revive Electronics, LLC Methods and apparatuses for drying electronic devices
US10240867B2 (en) 2012-02-01 2019-03-26 Revive Electronics, LLC Methods and apparatuses for drying electronic devices
US20140038421A1 (en) * 2012-08-01 2014-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Deposition Chamber and Injector
US9275869B2 (en) * 2013-08-02 2016-03-01 Lam Research Corporation Fast-gas switching for etching
JP6158111B2 (en) * 2014-02-12 2017-07-05 東京エレクトロン株式会社 Gas supply method and semiconductor manufacturing apparatus
JP2015201567A (en) * 2014-04-09 2015-11-12 東京エレクトロン株式会社 plasma processing apparatus and method
WO2015195271A1 (en) * 2014-06-20 2015-12-23 Applied Materials, Inc. Apparatus for gas injection to epitaxial chamber
EP3238309A4 (en) 2014-12-23 2019-01-02 Revive Electronics LLC Apparatuses and methods for controlling power to electronic devices
US10699878B2 (en) * 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10948824B2 (en) * 2018-06-28 2021-03-16 Taiwan Semiconductor Manufacturing Co., Ltd. Dispensing nozzle design and dispensing method thereof
DE102018117699A1 (en) * 2018-07-23 2020-01-23 Océ Holding Bv Method and device for printing on both sides of a record carrier

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB8516537D0 (en) 1985-06-29 1985-07-31 Standard Telephones Cables Ltd Pulsed plasma apparatus
JPS62249411A (en) * 1986-04-23 1987-10-30 Hitachi Ltd Processor
JPH02209486A (en) * 1989-02-10 1990-08-20 Fujitsu Ltd Vapor phase growing device
JPH0346234A (en) * 1989-07-13 1991-02-27 Sumitomo Electric Ind Ltd Horizontal type furnace for semiconductor treatment
US4993358A (en) 1989-07-28 1991-02-19 Watkins-Johnson Company Chemical vapor deposition reactor and method of operation
US5269847A (en) 1990-08-23 1993-12-14 Applied Materials, Inc. Variable rate distribution gas flow reaction chamber
JP3252330B2 (en) 1991-09-20 2002-02-04 東芝セラミックス株式会社 Electrode plate for plasma etching
US5444217A (en) * 1993-01-21 1995-08-22 Moore Epitaxial Inc. Rapid thermal processing apparatus for processing semiconductor wafers
JP3338884B2 (en) * 1993-09-20 2002-10-28 株式会社日立製作所 Semiconductor processing equipment
US5522934A (en) 1994-04-26 1996-06-04 Tokyo Electron Limited Plasma processing apparatus using vertical gas inlets one on top of another
US5597439A (en) 1994-10-26 1997-01-28 Applied Materials, Inc. Process gas inlet and distribution passages
US5688358A (en) * 1995-03-08 1997-11-18 Applied Materials, Inc. R.F. plasma reactor with larger-than-wafer pedestal conductor
US5556521A (en) * 1995-03-24 1996-09-17 Sony Corporation Sputter etching apparatus with plasma source having a dielectric pocket and contoured plasma source
US5683517A (en) 1995-06-07 1997-11-04 Applied Materials, Inc. Plasma reactor with programmable reactant gas distribution
US6093252A (en) * 1995-08-03 2000-07-25 Asm America, Inc. Process chamber with inner support
US5772771A (en) * 1995-12-13 1998-06-30 Applied Materials, Inc. Deposition chamber for improved deposition thickness uniformity
US5767628A (en) * 1995-12-20 1998-06-16 International Business Machines Corporation Helicon plasma processing tool utilizing a ferromagnetic induction coil with an internal cooling channel
US6200412B1 (en) * 1996-02-16 2001-03-13 Novellus Systems, Inc. Chemical vapor deposition system including dedicated cleaning gas injection
DE19622402C1 (en) * 1996-06-04 1997-10-16 Siemens Ag Substrate induction heating apparatus especially for CVD
AU3145197A (en) 1996-06-28 1998-01-21 Lam Research Corporation Apparatus and method for high density plasma chemical vapor deposition
US6090210A (en) * 1996-07-24 2000-07-18 Applied Materials, Inc. Multi-zone gas flow control in a process chamber
US5653808A (en) 1996-08-07 1997-08-05 Macleish; Joseph H. Gas injection system for CVD reactors
DE69807006T2 (en) 1997-05-22 2003-01-02 Canon Kk Plasma treatment device with a microwave application device provided with an annular waveguide and treatment method
JP2934740B2 (en) * 1997-08-26 1999-08-16 財団法人半導体研究振興会 Equipment for epitaxial growth of semiconductor crystals

Also Published As

Publication number Publication date
US6449871B1 (en) 2002-09-17
JP4721516B2 (en) 2011-07-13
JP2002517086A (en) 2002-06-11
JP5580705B2 (en) 2014-08-27
JP2011018938A (en) 2011-01-27
WO1999062099A1 (en) 1999-12-02
US6185839B1 (en) 2001-02-13

Similar Documents

Publication Publication Date Title
US6185839B1 (en) Semiconductor process chamber having improved gas distributor
CN101425474B (en) Method and apparatus for providing an electrostatic chuck with reduced plasma penetration and arcing
US20200332418A1 (en) Dc bias circuit and gas delivery system for substrate processing systems
US11011388B2 (en) Plasma apparatus for high aspect ratio selective lateral etch using cyclic passivation and etching
US9601319B1 (en) Systems and methods for eliminating flourine residue in a substrate processing chamber using a plasma-based process
US6676760B2 (en) Process chamber having multiple gas distributors and method
US7666479B2 (en) Apparatus and method of gas injection sequencing
KR20220010074A (en) Carrier Ring Designs to Control Deposition on Wafer Bevel/Edge
US20230035732A1 (en) Efficient cleaning and etching of high aspect ratio structures
KR100697267B1 (en) A chemical vapor deposition apparatus
KR20220160687A (en) Edge ring for localized delivery of tuning gas
US20230167552A1 (en) Showerhead designs for controlling deposition on wafer bevel/edge
US20220181128A1 (en) Apparatus for cleaning plasma chambers
US20220375746A1 (en) Semiconductor substrate bevel cleaning
US20230091524A1 (en) Multizone gas distribution plate for trench profile optimization
US20230134061A1 (en) Showerhead purge collar
KR20200067218A (en) Methods and devices for increasing reactor processing batch size
KR20230021726A (en) Pulsing remote plasma for reduced ion damage and improved etch uniformity

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): JP

DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
ENP Entry into the national phase

Ref country code: JP

Ref document number: 2000 551418

Kind code of ref document: A

Format of ref document f/p: F

AK Designated states

Kind code of ref document: C2

Designated state(s): JP

COP Corrected version of pamphlet

Free format text: PAGES 1-27, DESCRIPTION, REPLACED BY NEW PAGES 1-22; PAGES 28-34, CLAIMS, REPLACED BY NEW PAGES 23-28; DUE TO LATE TRANSMITTAL BY THE RECEIVING OFFICE