WO1999052136A1 - Procede de fabrication d'un corps structurel d'interconnexion - Google Patents
Procede de fabrication d'un corps structurel d'interconnexion Download PDFInfo
- Publication number
- WO1999052136A1 WO1999052136A1 PCT/JP1999/001732 JP9901732W WO9952136A1 WO 1999052136 A1 WO1999052136 A1 WO 1999052136A1 JP 9901732 W JP9901732 W JP 9901732W WO 9952136 A1 WO9952136 A1 WO 9952136A1
- Authority
- WO
- WIPO (PCT)
- Prior art keywords
- silicon oxide
- organic polymer
- thin film
- insulator layer
- wiring
- Prior art date
Links
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02126—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02203—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02205—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
- H01L21/02208—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
- H01L21/02214—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
- H01L21/02216—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02282—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02296—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
- H01L21/02318—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
- H01L21/02345—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/314—Inorganic layers
- H01L21/316—Inorganic layers composed of oxides or glassy oxides or oxide based glass
- H01L21/31695—Deposition of porous oxides or porous glassy oxides or oxide based porous glass
Definitions
- the present invention relates to a method for manufacturing a wiring structure. More specifically, the present invention relates to a method for manufacturing a wiring structure having an insulator layer composed of a porous silicon oxide thin film, and (1) a method for manufacturing a wiring structure on a substrate, the method comprising: Forming a preliminary insulator layer consisting of a silicon oxide-organic polymer-composite thin film in which a polymer is dispersed, and (2) forming a groove in the preliminary insulator layer to define a circuit pattern. ,
- the capacitance [Senkan'yo amount (l ine- t 0 - l ine capaci tance)] between adjacent wirings force ⁇ can be reduced, and any copper or silver
- the use of wiring made of metal with low resistivity allows the transmission delay (wiring delay) of electric signals to be significantly reduced as compared to conventional wiring structures. Further, according to the method of the present invention, the above excellent wiring structure can be efficiently manufactured. Further, the present invention provides a multilayer wiring board including the above excellent wiring structure, And a semiconductor device including the above excellent wiring structure. Conventional technology
- non-porous silicon oxide or silicon oxide with fluorine or organic groups introduced has been used as the material of the insulating layer used for multilayer wiring of semiconductor devices such as LSIs. Have been.
- the relative permittivity of these materials is relatively large.
- wiring of semiconductor elements such as LSI has been steadily increasing in density, and accordingly, the distance between adjacent wirings on a substrate has been reduced. As a result, the adjacent wiring can function as a capacitor.
- the dielectric constant of the insulating layer is high, the capacitance of the capacitor increases, and as a result, transmission delay of an electric signal transmitted through the wiring (so-called wiring delay) becomes a problem.
- silicon oxide and organic polymer are compounded to lower the relative dielectric constant, and silicon oxide is made porous to form a composite with air having a relative dielectric constant of about 1. Attempts have been made to lower the relative permittivity.
- the wiring is formed by removing the metal not protected by the resist pattern by a conventional etching method, and further, an insulating layer is formed around the wiring.
- Conventional etching methods use materials that can form high vapor pressure compounds with the metal to be removed, in which case the surface of the metal layer protected by the photo-resist pattern is removed. Without being attacked, only the unprotected parts can be selectively removed by converting them to high vapor pressure compounds.
- low resistivity metals such as copper and silver
- these metals cannot form high vapor pressure compounds and can only form low vapor pressure compounds. It cannot be removed by the method. Therefore, these low resistivity metals cannot be used in conventional processes.
- the so-called damascene method To solve the above problem, the so-called damascene method
- damascene process has been proposed.
- an insulating layer is first formed on a substrate, a groove for receiving a metal for wiring is formed in the insulating layer, and then the surface of the insulating layer is formed so that the groove is completely filled.
- the metal layer formed in other than the trench is removed by etch back using plasma or chemical mechanical polishing (CMP), and the insulating layer and the metal layer formed in the trench (metal that becomes wiring) (Refer to the Damascene method.
- CMP chemical mechanical polishing
- the wiring method is as follows.) p. 773-776 and Japanese Patent Publication No.
- a conventional etching method is used for forming wiring. This eliminates the need for plasma etching and chemical mechanical polishing (CMP), so that low resistivity metals such as copper and silver can be used for wiring formation. Power is very well known for manufacturing multi-level interconnect structures. The method has a great advantage in that the number of steps can be reduced and the manufacturing cost can be reduced as compared with the conventional process.
- CMP chemical mechanical polishing
- the formation of the hole and the formation of the groove for providing the upper layer wiring can be performed in a single step, and thereafter, the deposition of metal into the vertical conductive hole and the formation of the upper layer wiring are performed.
- metal can be deposited to fill the trenches, and then the excess metal can be removed by plasma etch back or chemical mechanical polishing (CMP) as described above.
- CMP chemical mechanical polishing
- the upper layer is completed just by doing.
- the damascene method can use a low-resistance metal such as copper or silver for forming a wiring, and is less likely to use a conventional process when manufacturing a multilayer wiring structure. This is very advantageous because it can be performed in the number of processes.
- the insulating layer made of silicon oxide manufactured by plasma CVD which is conventionally used in the damascene method, has a high relative dielectric constant, so that it is not possible to sufficiently reduce the wiring delay.
- I could't.
- an insulating layer composed of a composite of a silicon oxide and an organic polymer.
- organic polymers obtain an insulating layer with a sufficiently low dielectric constant Therefore, it is necessary to use a material having a low relative dielectric constant.
- examples of such polymers include paraquinoxaline (relative dielectric constant 2.70) [Polymer, Vol.34, p4717 (1993)] reported by Hedrick et al. Reported polyquinoline (dielectric constant 2.5)
- U.S. Pat. No. 5,472,913 discloses a wet silicon oxide gel film obtained by hydrolyzing and dehydrating tetraalkyloxysilane in alcohol. The surface is made water-repellent by immersion in a solution of trimethyl chlorosilane, which is a silylating agent, and then the porous silicon oxide film obtained by drying at normal pressure is used as an insulating layer. ing.
- U.S. Pat. No. 5,472,913 discloses a wet silicon oxide gel film obtained by hydrolyzing and dehydrating tetraalkyloxysilane in alcohol. The surface is made water-repellent by immersion in a solution of trimethyl chlorosilane, which is a silylating agent, and then the porous silicon oxide film obtained by drying at normal pressure is used as an insulating layer. ing.
- trimethyl chlorosilane which is a silylating agent
- a protective layer composed of a non-porous silicon oxide is formed on the porous silicon oxide film obtained by the above method. After etching only the protective layer using a lithographic method, the etching of the protective layer is performed. By etching the porous silicon oxide film under conditions different from those of the etching, the through-holes as described above are formed.
- the thickness of the protective layer composed of the non-porous silicon oxide is at least slightly non-uniform, the number of times the protective layer is etched down to the porous silicon oxide film thereunder is limited. Since the etching was performed non-uniformly at twice the speed, the thickness of the protective layer and the etching conditions had to be strictly controlled, and there was a problem that this was extremely difficult.
- a metal layer is formed on an insulating layer in which minute grooves for receiving metal for wiring are formed. Therefore, when a porous silicon oxide film is used as the insulating layer, there is a possibility that the metal may enter the pores of the insulating layer. This also makes it difficult to use porous silicon oxide films in the damascene method.
- the damascene method requires the use of etch-back or chemical-mechanical polishing (CMP) to remove the extra metal layer or part of the insulating layer formed on the surface of the insulating layer.
- CMP chemical-mechanical polishing
- a porous silicon oxide film is used as an insulating layer
- CMP chemical-mechanical polishing
- a porous insulator is required. If the layer is exposed and exposed to the plasma, the gas generated by the etching will remain in the holes and damage the insulating layer.
- an acidic or alkaline aqueous slurry containing abrasive particles is used in CMP, the use of this method on a substrate having an insulating layer composed of porous silicon oxide makes it difficult to achieve insulation. Layer may melt or be damaged.
- this method has a problem that the step of forming the wiring is complicated because the number of steps of forming the protective layer increases. Furthermore, in this method, a non-porous silicon oxide film having a high relative dielectric constant remains in a part of the surface of the insulating layer or in a groove, and thus, despite the use of a porous silicon oxide thin film. However, a sufficiently low specific dielectric constant cannot be achieved. Summary of the Invention
- the present inventors have developed a wiring structure having an insulator layer made of a porous silicon oxide thin film having a low relative dielectric constant by using an industrially advantageous damascene method. We worked diligently to develop a method of manufacturing easily without the above-mentioned problems associated with technology.
- a preliminary insulator layer formed of a silicon oxide-organic polymer composite thin film in which an organic polymer is dispersed in a silicon oxide is formed on a substrate, (2) forming a groove for defining a circuit pattern in the preliminary insulator layer; (3) forming a metal layer functioning as a wiring in the groove; Removing the organic polymer from the preliminary insulator layer to make the preliminary insulator layer porous, thereby converting the preliminary insulator layer into an insulator layer composed of a porous silicon oxide thin film.
- one object of the present invention is to provide a wiring structure having a small capacitance (inter-line capacitance) generated between adjacent wirings and a small transmission delay of an electric signal by using an industrially advantageous damascene method (wiring).
- Another object of the present invention includes the above-described wiring structure. It is to provide a multilayer wiring board and a semiconductor element.
- FIG. 1 is a cross-sectional view of a structure having a photoresist film on the surface and a silicon oxide-organic polymer composite thin film formed on a substrate;
- FIG. 2 is a cross-sectional view of a structure having a photo resist image corresponding to a desired wiring pattern obtained by exposing and developing the photo resist film on the structure of FIG. Re;
- FIG. 3 shows a groove for receiving metal for wiring obtained by etching the silicon oxide-organic polymer composite thin film of the structure of FIG. 2 according to the above photo resist image.
- FIG. 4 is a cross-sectional view of a structure obtained by removing the photoresist from the structure of FIG.
- FIG. 5 is a cross-sectional view of a structure obtained by forming a metal layer on the surface of the structure of FIG.
- FIG. 6 shows a wiring structure obtained by removing excess metal from the surface of the structure of FIG. 5 or a wiring structure obtained by forming a metal layer only in the groove portion of the structure of FIG. 4 by a selective plating method.
- FIG. 7 shows a wiring structure having an insulating layer composed of a porous silicon oxide thin film obtained by removing an organic polymer from the silicon oxide-organic polymer composite thin film of the structure of FIG. It is sectional drawing. Detailed description of the invention
- a method of manufacturing a wiring structure including:
- a preliminary insulator layer composed of a silicon oxide-organic polymer composite thin film in which an organic polymer is dispersed in a silicon oxide is formed on a substrate,
- the preliminary insulator layer is made porous by removing the organic polymer from the silicon oxide-organic polymer composite thin film of the preliminary insulator layer to form the preliminary insulator layer. Is it a porous silicon oxide thin film? To an insulator layer made of
- a preliminary insulator layer composed of a silicon oxide-organic polymer composite thin film in which an organic polymer is dispersed in a silicon oxide is formed on a substrate,
- the preliminary insulator layer is made porous by removing the organic polymer from the silicon oxide-organic polymer composite thin film of the preliminary insulator layer to form the preliminary insulator layer. Change to an insulator layer consisting of a porous silicon oxide thin film,
- a method characterized by including this 2.
- the organic polymer of the silicon oxide-organic polymer-composite thin film is at least selected from the group consisting of a polymer having a graphitic structure and a polymer having a three-dimensional network structure. 3.
- a multilayer wiring board including a plurality of stacked wiring structures, wherein at least one of the plurality of wiring structures is the wiring structure according to the above item 4.
- a semiconductor device including the wiring structure according to item 4 above.
- the wiring structure obtained by the method of the present invention comprises: (a) a substrate; and (b) an insulating film made of a porous silicon oxide thin film formed on the substrate and having a groove defining a circuit pattern. And (c) a circuit comprising a metal layer formed in the groove.
- a preliminary insulator layer made of a silicon oxide-organic polymer composite thin film having a structure in which an organic polymer is dispersed in a silicon oxide is formed. Formed on a substrate.
- a semiconductor substrate such as silicon / germanium, a compound semiconductor substrate such as gallium arsenide / di-antimony, and a prepreg for a printed wiring board are used.
- the thickness of the substrate is not particularly limited. In the case of a semiconductor substrate, it is preferable to use one having a thickness of 0.1 mm or more, and more preferably 0.3 to lmm. If the thickness is 0.1 mm thin, anti-strain may occur on the substrate due to the internal stress of the preliminary insulating layer formed on the substrate or the insulating layer composed of the porous silicon oxide thin film. There is.
- An arbitrary substance for example, a film of silicon dioxide, silicon nitride, titanium nitride, tungsten nitride, or the like may be formed on the substrate in advance. Further, even if an element or a wiring structure formed of a conductive substance, a semiconductor, an insulating substance, or the like formed by the method of the present invention and / or a known method is formed on the substrate. Good.
- a thin film having the same structure as a silicon oxide-organic polymer composite thin film formed on a substrate as a preliminary insulator layer in the method of the present invention is known, and is disclosed, for example, in J. Macromo. 1. It can be formed by the method described in Sc i. -Chem., A28 (9), pp. 817-829 (1991), but is preferably formed by the following method. That is, the method is
- a liquid or solution mixture of an organic polymer having at least one polymerizable functional group, an organic material selected from the group consisting of a polymerizable organic monomer and a mixture thereof is applied to a substrate. Forming a thin film of a mixture of a silicon compound and an organic material on the substrate;
- the organic material of the mixture in the thin film contains at least one organic polymer having a polymerizable functional group, a polymerizable organic monomer, or a mixture thereof.
- the organic material is subjected to a polymerization reaction, In this way, a method of forming a silicon oxide-organic polymer composite thin film in which particles of the organic polymer are dispersed in the silicon oxide is formed on the substrate.
- the silicon compound used in the method of the present invention is preferably an alkoxysilane or a mixture of an alkoxysilane and a hydrolyzate thereof.
- a thin film of a mixture of a silicon compound and an organic material applied on a substrate is treated with water or steam to hydrolyze the alkoxysilane in the thin film, the alkoxy groups contained in the alkoxysilane become hydroxyl groups.
- a gel is formed by a dehydration-condensation reaction, and a preliminary insulator layer comprising a silicon oxide-organic polymer composite thin film having a structure in which an organic polymer is dispersed in a silicon oxide is obtained.
- the amount of the solvent is preferably at least 0.05% by weight based on the total weight of the solution.
- the thickness of the silicon oxide-organic polymer composite thin film is preferably 0.1 to 10 / zm, more preferably 0.2 to 3 ⁇ . If the thickness of the silicon oxide-organic polymer composite thin film is larger than 10 ⁇ , cracks may occur, which is not preferable.
- the organic material used in the method of the present invention may be an organic polymer having no polymerizable functional group, an organic polymer having at least one polymerizable functional group, a polymerizable organic monomer, or any of these. mixture Is preferred.
- the organic polymer having no polymerizable functional group that can be used in the method of the present invention is not particularly limited, but examples of the organic polymer that can be preferably used include: Polyethers such as polyethylene daricol, propylene glycol cornole, polytetramethylendalicol; polyacrylamide derivatives, polymethacrylamide derivatives, poly (N-vinylpyrrolidone) Amides, such as poly (N-acetylethylene); Polyvinyl alcohol; Polyvinyl acetate; Polymethyl methacrylate, Polyethyl acrylate, etc.
- Esters of polyacrylic acid derivatives such as methyl methacrylate and ethyl methyl methacrylate, and esters of polyprolactone; Polyanhydrides such as polymalonyloxide, polyaziboyloxide, polypimeloynorreoxide, polysuberoyloxide, polyazelaoyloxide, and polysebacyloxide; polyimides; Urethanes; polyureas; and polycarbonates.
- the constituent components of the above polymer such as an ethylene glycol / propylene glycol copolymer, an atalinoleamide / acrylic acid copolymer, and a vinyl alcohol / vinyl acetate copolymer.
- any copolymer or any other monomer such as ethylene Z-acrylic acid copolymer, vinyl chloride / vinyl acetate copolymer, etc. Is also good.
- the degree of polymerization of these polymers is It is selected from among 8 to 350, 000. It is preferable that the basic skeleton of the polymer be an aliphatic one because it can be easily changed to an insulator layer composed of a porous silicon oxide thin film by heat treatment as described later.
- Particularly preferred are polyethers such as polyethylene glycol, polypropylene blends, and polymethylene blends.
- the organic polymer has an intramolecular structure. It preferably has at least one polymerizable functional group.
- the organic polymer contained in the obtained silicon oxide-organic polymer composite thin film has a graphitic structure and / or a three-dimensional network structure.
- the polymerizable functional group may be a vinyl group, a vinylidene group, a vinylene group, a glycidyl group, an aryl group, or an acrylate.
- polymerizable functional groups may be in the main chain, at the terminal or in the side chain of the polymer. It may be directly bonded to the polymer chain, or may be bonded through a spacer such as an alkylene group or an ether group.
- One polymer molecule may have one type of functional group, or may have two or more types of functional groups. Listed above Among the functional groups, vinyl group, vinylidene group, vinylene group, glycidyl group, aryl group, acrylate group, methacrylate group, acrylate group Groups and methacrylamide groups are preferred.
- organic polymer having a polymerizable functional group examples include polyethylene glycol acrylate, polyethylene glycol diacrylate, polyethylene, and the like. Leng cornole methacrylate, polyethylene glycol methacrylate, polyethylene glycol alkyl ether acrylate, polyethylene glycol alkyl ether methacrylate Relate, Polyethylene glycol vinyl ether, Polyethylene glycol vinyl ether, Polyethylene glycol glycidyl ether, Polyethylene glycol glycidyl ether, Polypropylene glycol ether, Polypropylene Leng Recall Cry Rate, Polypropylene Recognition Cle Re , Polypropylene Glyco Renate Crate, Polypropylene Glyco / Regimenta Cleate, Polypropylene Glycolate Cleacrylate, Polyether Cleate Propylene glycol alkyl ether methacrylate, polypropylene propylene glycol butyl ether, polypropylene propylene glycol vinyl ether, and the like. Leng corn
- Tacrylate poly (ethylene glycol) copolymer acrylate, poly (ethylene glycol) alkyl ether acrylate, poly (ethylene glycol) alcohol acrylate, poly (ethylene glycol) glycol Ether, Polyethylene glycol dibutyl ether, Polyethylene glycol diglycidyl ether, Polyethylene glycol diglycidyl ether, Polypropylene glycol alcoholate, Polypropylene glycol diacrylate, Polypropylene Polypropylene glycol methacrylate, polypropylene glycol dimethacrylate, polypropylene glycol alcohol acrylate, polypropylene glycol alkyl ether methacrylate Polypropylene glycol vinyl ether, Polypropylene glycol resin biether ether, Polypropylene glycol glycol resin ether, Polypropylene blend alcohol Noresiglycidyl ether and the like are particularly preferably used.
- the amount of the organic polymer added is 10 to 10 parts by weight of the silicon compound. It is 2 to 100 parts by weight, preferably 10 to 10 to 10 parts by weight, and more preferably 10 to 11 to 5 parts by weight. If the amount of the organic port re-mer 1 0 one 2 parts by Li small, can the resulting porous Kei-containing oxide empty porosity is small rather Li thin film, and this to obtain the desired specific dielectric constant Absent. On the other hand, if the amount is more than 100 parts by weight, the strength of the obtained porous silicon oxide thin film is small, and the practicality is poor.
- any organic monomer may be used.
- the obtained organic polymer in the silicon oxide-organic polymer composite thin film has a graph structure and a Z or three-dimensional network structure.
- Acrylic acid and methacrylic acid derivatives such as lenvis methacrylate, ⁇ -cyanoacrylinoleic acid, and ⁇ -cyanoacrylinoleate
- butyl acetate, vinyl propionate Vinyl acetate, vinyl benzoate, vinyl benzoate, etc .
- Amino compounds such as quinolemethine crystallinoleamide, N, N'-methylene bisacrineoleamide, N-vinylpyrrolidone, N-bulformamide, N_bulassamide, etc.
- Styrene hi-methyl styrene, p-methoxy styrene, dipheninoleethylene, bininolenaphthalene, bininolean tracene, vininolecyclopentane, vininolecyclohexane, and vinyl-12-nonolenone benzene.
- hydrocarbons Containing hydrocarbons; acrylonitrile derivatives such as ata- lonitrine linole and metal-trinole; N Buramines such as vinyl pyridine, N-vinyl carbazole, and N-butyl imidazole; vinyl alkynoleate; vinyl alkynoleketone; glycidyl acrylate; Glycidyl acrylate; and epoxy resin.
- the organic polymer having no polymerizable functional group, the organic polymer having a polymerizable functional group, and the polymerizable organic monomer may be used alone or in combination of two or more. .
- the above-mentioned organic polymer and organic monomer can be used in combination.
- the addition amount thereof is 1 0 one half to one 0 0 parts by weight to Kei-containing compound, 1 part by weight, the preferred and rather 1 0 one 1 to 0 parts by weight, More preferably, 10 to 1 to 5 parts by weight of the organic polymer is polymerizable with the above-mentioned organic polymer.
- the organic material contains an organic polymer having a polymerizable functional group, a polymerizable organic monomer, or a mixture thereof, the silicon compound is generally used.
- the organic material is subjected to a polymerization reaction before, simultaneously with or after the hydrolysis and dehydration condensation of.
- the polymerization is performed in order to promptly proceed the polymerization reaction.
- An initiator may be added.
- Polymerization initiators include thermal radical generators such as azo compounds and organic peroxides, diazo compounds and azide compounds; photoradical initiators such as acetate phenone derivatives; Known compounds such as a photobase generator can be used. These may be used alone or in combination of two or more. Thermal polymerization and photopolymerization using an initiator are performed by a known method.
- the addition amount of the polymerization initiator, polymerizable 1 0 one third to one part by weight relative to the organic Po Li mer and / or polymerizable organic mono- mer 1 part by weight having a functional group, is preferred properly 1 0 2 to 1 0 - 1 parts by weight.
- the alkoxysilane to be used may be tetramethoxysilane, tetraethoxysilane, tetra (n-propanol).
- Ropox) Silane, Tetra (I-Proboxy) Silane, Tetra Tetraalkoxysilanes such as la (n-butoxy) silane and tetra (t-butoxy) silane are preferably used.
- alkoxy silane oligomers called ethyl silicate, methyl silicate, etc., trimethoxy silane, triethoxy silane, methyl trimethoxy silane, methyl trisilane, etc.
- the present invention It is included in the alkoxysilane used in the above.
- a product obtained by converting the alkoxy group of the alkoxysilane to a hydroxy group or a product obtained by converting the alkoxysilane into an oligomer may be used. These may be used alone or as a mixture of two or more. Furthermore, in order to modify the preliminary insulator layer composed of the obtained silicon oxide-organic polymer-composite thin film and the preliminary insulator layer composed of the porous silicon oxide thin film, Alkoxysilanes having 2-3 hydrogens, alkyl groups or aryl groups on the elemental atoms can be mixed with the above alkoxysilanes. The amount to be mixed is the total number of moles of the alkoxysilane silane compound. 80% or less. If it exceeds 80%, it may not gel.
- a solvent is not essential for the mixture of the silicon compound and the organic material, which are raw materials for forming the silicon oxide-organic polymer composite thin film, but generally, the mixture of the alkoxysilane and the organic material is used. Since organic polymers are hardly compatible, it is necessary to use a solvent that dissolves both. Specific examples of the case where no solvent is used include the case where liquid polyethylene glycol (number average molecular weight: 400 to 1,000) and tetraethoxysilane are used, Examples include the use of liquid ⁇ , ⁇ -dimethylacrylamide (monomer) and tetraethoxysilane. In these cases, a solvent is not required because they are uniformly mixed.
- the solvent can be used without any particular limitation as long as it can finally dissolve both the silicon compound and the organic material.
- an alkoxysilane is used as a silicon compound, even if the alkoxysilane is insoluble, it can be partially hydrolyzed and dissolved by forming a mixture with the alkoxysilane. Can be used as well. For example, even if tetraethoxysilane is added to a mixed solvent of DMF ( ⁇ , ⁇ -dimethylformamide) and ethanol (mixing ratio: 6/4), the mixture is separated into two layers without mixing, but there is a trace amount.
- solvents may be mixed or mixed with any other solvents or additives, such as leveling agents (which increase coating uniformity), adhesion improvers, polymerization initiators, acid generators, alkali generators, oxidizers. Agents and the like may be mixed.
- formamide N—methylformamide, N—ethylformamide, N, N—dimethylformamide, N, N—getylformamide, N—methylacetamide, N— N-N-N-dimethyl-acetamide, N, N-Jetyl-acetamide, N-Methyl-pyrrolidone, N-Horminolemorpholin, N-Acetyl-morpholin, N-forminoreviperidine, Amides such as N—acetylbiperidine, N—forminolepyrrolidine, N—acetylpyrrolidine, N, N′—diforminolepiperazine, N, N′—diacetylbiperazine, tet Layers such as lamethyl urea and N, N'—dimethyl imidazolidinone are the only transparent and homogeneous silicon oxides. It is particularly preferable to obtain an organic polymer composite thin film or a porous silicon oxide thin
- a catalyst is not necessarily required for the hydrolysis and dehydration condensation reaction of the silicon compound, but a catalyst is used to promote the reaction. It may be added.
- the catalyst include acids such as hydrochloric acid, nitric acid, sulfuric acid, formic acid, acetic acid, oxalic acid, and maleic acid, ammonia water, potassium hydroxide, sodium hydroxide, and triethylamine. , Triethanolamine, pyridin, pyridin, colin and other alcohols. These may be used alone or in combination of two or more. It is also possible to use two or more types in stages.
- the term “stepwise” as used herein refers to, for example, treating with an acid catalyst before adding a base catalyst or vice versa.
- the amount of these catalysts is 1 mole or less relative to Kei-containing compound to 1 mole, the preferred properly 1 0 - 1 mole or less is suitable. If the amount is more than 1 mol, precipitation may occur, and a uniform porous silicon oxide thin film may not be obtained.
- the hydrolysis of alkoxysilane in a thin film of a mixture of a silicon compound and an organic material is caused by water as a solvent when the above-mentioned catalyst is an aqueous solution, and is also present in the surroundings without adding water. If enough water vapor is available, it can be used. If necessary, water may be added separately. Preferred .
- the addition amount of well water is, 0 for Kei MotoHara child 1 mole that is included in the Arco Kishishira down 3 to 1 0 4 Monore, Ri and rather than the preferred good:! 110 mol. 1 0 4 mol by Li often the homogeneity of Kei-containing oxide first organic poly mers composite thin film obtained may be lowered.
- the method of applying a mixture of a silicon compound and an organic material, which is a liquid or a solution prepared in this way, on a substrate to obtain a thin film is performed by a known method such as casting, rotation, and immersion.
- the surface of the substrate may be treated in advance with an adhesion improver.
- an adhesion improver in this case, those used as so-called silane coupling agents or aluminum chelate compounds can be used.
- adhesion improvers When applying these adhesion improvers, they may be diluted with a solvent containing other additives, for example, water, an acid, an alkali or the like, if necessary, and then used.
- a solvent containing other additives for example, water, an acid, an alkali or the like.
- the treatment with the adhesion improver can be performed by a known method, for example, by a method described in a product catalog of a commercially available silane coupling agent.
- the temperature of the gelation reaction of the silicon compound is not particularly limited, but is usually in the range of 0 to 180 ° C, preferably 30 to L: 50 ° C. If it is too low, the reaction rate is low, and it takes a long time to crosslink sufficiently.On the other hand, if it is too high, voids are easily formed in the silicon oxide-organic polymer composite thin film and the resulting porosity The homogeneity of the silicon oxide thin film also decreases.
- the time required for gelling the silicon compound varies depending on the gelling temperature, the amount of catalyst, and the like, but is usually in the range of several minutes to several days.
- the organic material When an organic polymer having a polymerizable functional group, a polymerizable organic monomer, or a mixture thereof is used as the organic material, these polymerization reactions can be accelerated by heating.
- the heating temperature is selected from the range of 20 to 200 ° C according to the type of the polymerizable functional group contained in the organic polymer or organic monomer. It is. If the polymerizable functional group or the polymerizable organic monomer contained in the organic polymer used is photopolymerizable, the reaction can be advanced by light irradiation.
- a polymerization initiator is added, polymerization is promoted using a known method such as heating or light irradiation according to the type of the initiator.
- the above-described hydrolysis and dehydration condensation of the silicon compound are performed by the above-described method.
- the polymerization reaction of the organic polymer and / or the organic monomer may proceed first or simultaneously, depending on the type and amount of the catalyst and polymerization initiator used, and It depends on the reaction conditions.
- a gelation reaction of a silicon compound and in some cases, a polymerization reaction of a polymerizable functional group of an organic polymer, a polymerizable organic monomer, or a mixture thereof are performed using a solvent.
- a solvent-containing silicon oxide-organic polymer composite thin film can be obtained. Remove.
- the drying temperature varies depending on the type of the solvent, but is usually in the range of 30 to 250 ° C.
- a gelation reaction of the silicon compound or, in some cases, polymerization occurs in parallel. Controlling the order of reaction and solvent removal depends on the type of silicon compound, the type of polymerizable functional group contained in the organic polymer, the type and amount of catalyst, the vapor pressure of the solvent, the closed system or the open system. It can be determined by selecting the atmosphere, etc. under normal conditions.However, under normal conditions, the gelation reaction of the silicon compound, and in some cases, at the time when the reaction of the above organic polymer or organic monomer is completed Solvent has been removed.
- the preliminary insulator layer composed of the silicon oxide-organic polymer composite thin film thus obtained is composed of a silicon oxide in which the organic polymer is dispersed, which will be described later.
- a groove defining a circuit pattern is formed in the preliminary insulator layer, and a metal layer functioning as a wiring is formed in the groove.
- the silica is heated by the heat treatment described later.
- the organic polymer is removed from the organic polymer composite thin film to make the preliminary insulating layer porous, and the preliminary insulating layer is changed to an insulating layer made of a porous silicon oxide thin film. Then, a wiring structure including an insulator layer on the substrate is obtained.
- a fine processing method using a known lithography method can be particularly preferably used.
- an example of a method for performing the method of the present invention using the lithography method will be described.
- a preliminary insulator layer formed of a silicon oxide-organic polymer composite thin film is formed on a substrate, and a photo resist is applied thereon.
- a structure as shown in FIG. 1 is obtained.
- the photo resist layer of this structure is exposed through a mask having a desired pattern through a pattern forming exposure to form a latent image, developed, and developed to form a photo resist having the pattern.
- Get the layers see Figure 2).
- the type of the photo resist, the exposure method, and the development method are not particularly limited, and known methods are used.
- An example of a commercially available photoresist is the TDUR series manufactured by Tokyo Ohka Kogyo Co., Ltd., Japan.
- Examples of the light source used for exposure include a KrF excimer laser, a mercury lamp g-line and an i-line, an ArF excimer laser, and the like.
- As an example of the developing solution used for the development an aqueous solution of tetramethylammonium hydroxide can be given.
- a portion of the preliminary insulator layer composed of the silicon oxide-organic polymer composite thin film, which is not protected by the photo resist, is removed by etching. This forms a groove in the preliminary insulator layer that defines the circuit pattern.
- Etching methods include plasma etching and reactive ion etching. Touching, downflow etching, snow, etc. Etching is used.
- the above-mentioned etching is carried out by washing with the developing solution. It can be carried out. Next, the photoresist is removed by washing with a solvent (photoresist stripper) or plasma irradiation (see Fig. 4). Subsequently, as shown in FIG. 5, a metal functioning as a wiring is deposited in the groove of the preliminary insulator layer.
- metal to be deposited It is preferable to use aluminum, copper, silver, tungsten, titanium, or the like as the metal to be deposited. These metals may be used alone or in combination of two or more.
- a barrier layer may be formed on the surface of the preliminary insulator layer before depositing the metal, and the barrier layer may be interposed between the preliminary insulator layer and the metal.
- a conductive material such as titanium nitride or an insulating material such as silicon nitride or silicon oxide is used for the NOR layer.
- Known methods for depositing metal and barrier layers include physical deposition methods such as sputtering, and electrical methods such as CVD (chemical vapor deposition), electrolytic plating, and electroless plating. This can be done in the following manner.
- a method for depositing a metal there is also a method of depositing a metal by applying a solution of a metal-organic substance (meta-mouth augment) obtained by a chemical bond between the metal and the organic substance, followed by firing.
- a metal organic substance solution there is “Metaguchi Orugix” manufactured by Tanaka Kikinzoku Kogyo Kogyo Co., Ltd. of Japan. You.
- the excess metal film (metal deposited on the portion other than the groove of the preliminary insulator layer) of the deposited metal is removed.
- suitable methods for removing excess metal include an etch-back method using plasma or the like and a chemical mechanical polishing (CMP) method, with the CMP method being particularly preferred.
- the selective deposition method or the like when used as a method for depositing a metal in the groove of the preliminary insulator layer, only the groove of the patterned preliminary insulator layer shown in FIG. 4 is used. Since the metal can be deposited on the substrate, the structure shown in FIG. 6 can be directly created from the structure shown in FIG. 4 without passing through the structure shown in FIG.
- the selective plating method reference can be made to WO98 / 40910.
- the preliminary insulator layer is made porous by removing the organic polymer from the silicon oxide-organic polymer composite thin film of the preliminary insulator layer, as shown in FIG.
- a wiring structure of the present invention including a substrate, an insulating layer formed of a porous silicon oxide thin film having a groove, and a circuit formed of a metal layer formed in the groove. It can be.
- Examples of the method for removing the organic polymer include heating, solvent extraction, and plasma treatment. The simplest method is to heat the polymer to a temperature higher than the polymer decomposition temperature for 1 minute to several days. This is a method in which the organic polymer is decomposed by holding.
- the temperature for decomposing the organic polymer is The temperature is set depending on the type of metal and organic material used, and is selected within a range of a temperature not lower than the temperature at which the organic polymer can be decomposed and a temperature lower than the temperature at which the metal layer is fluidized.
- the temperature for decomposing the organic polymer is preferably 100 to 450 ° C.
- the temperature is preferably in the range of 150 to 400 ° C.
- the method of the present invention can be carried out without using a photoresist. That is, a raw material mixture containing an organic polymer having a polymerizable functional group and a photopolymerization initiator is applied on a substrate to form a preliminary insulator layer, and the obtained preliminary insulator layer has When light irradiation (pattern forming exposure) is performed through a mask having a pattern to polymerize and then developed, a preliminary insulator layer having an arbitrary pattern of grooves as shown in FIG. 4 can be obtained. it can. Subsequent steps can be performed in the same manner as described above.
- silylating agents that can be used Trimethyl methoxysilane, Trimethyl ethoxy silane, Dimethyl dimethyl silane, Dimethyl ethoxy silane, Methyl methoxy silane, Methyl trimethoxy silane, Methyl triethoxy silane , Dimethyl ethoxy silane, methyl ethoxy silane, dimethyl vinole methoxy silane, dimethyl vinole ethoxy silane, diphenyl dimethyl ethoxy silane, diphenyl ethoxy silane, diphenyl ethoxy silane, phenyl ethoxy silane, phenyl Alkoxy silanes such as trimethoxy silane, phenyl triethoxy silane, trimethyl chloro silane, dimethyl chloro silane, methinole trichloro silane, Chinoresicuro mouth silane
- the damascene method has an advantage that a metal having a low resistivity such as copper or silver can be used as a wiring material and that it is suitable for manufacturing a multilayer wiring structure.
- a wiring structure having a porous silicon oxide insulating layer having a low relative dielectric constant is extremely formed. It can be easily manufactured, and the formed porous silicon oxide insulating layer is not damaged, and problems such as entry of an etching gas and metal fine particles into the holes do not occur.
- the wiring capacity can be reduced and a wiring made of a metal having a low resistivity such as copper or silver can be used. Wiring delay can be significantly reduced as compared with the structure.
- the wiring structure obtained by the method of the present invention can be very advantageously used, for example, for manufacturing a multilayer wiring board or a semiconductor device.
- Example 1 Example 1
- the obtained solution was placed on a silicon wafer on which a thermal oxide film (SiO 2 film) having a thickness of 1.2 ⁇ was formed in advance, and a spin coater (Mikasa, Japan, 1H- Using a 360 SS pin coater), spin-coat for 10 seconds at a speed of 1,500 revolutions per minute, in air at 120 ° C for 1 hour, and then at 180 ° C. And heated for 1 hour to obtain a preliminary insulator layer composed of a silicon oxide-organic polymer composite thin film having a thickness of 0.8 ⁇ m.
- a spin coater Moikasa, Japan, 1H- Using a 360 SS pin coater
- a photo resist (THMR-iP3650, manufactured by Tokyo Ohka Kogyo Co., Ltd., Japan) is applied on the obtained thin film at a thickness of 1 ⁇ 0.5 ⁇ . Then, test using an exposure apparatus (I-line stepper FPA 30000 i4, manufactured by Canon Inc., Japan). The turn is transferred to a photo resist, which is then developed with a 2.38% by weight aqueous solution of tetramethylammonium hydroxide at 23 ° C. for 60 seconds to obtain a photo resist. The part exposed to the light was removed, and a photo resist image of a test turn and a ° turn was obtained. The test turn and the ° turn will be described later.
- the photo resist image of the obtained test pattern was used as a mask (protective layer), and was etched using a reactive ion etcher (DEA506, manufactured by Anelva Japan).
- the test pattern was transferred by removing the silicon oxide-organic polymer composite thin film other than the part masked by the resist. At this time, carbon tetrafluoride was used as an etching gas.
- LS tandard cu ic centimeter per minute the flow rate of gas flowing per minute, expressed by volume at its standard state (0 ° C, 1 atm)] and oxygen 1 OSCCM
- the total pressure was adjusted to 30 Pa.
- the power is 300 W and the etching time is 20 minutes.
- it was treated with an asher (MPC600, manufactured by Mori Engineering Co., Ltd., Japan) at 50 Pa, 50 ° C, and 150 W power for 15 minutes.
- the photoresist was completely removed by oxidizing the photo resist.
- a 30-nm-thick titanium nitride film was formed using 313H), and a 50-nm-thick copper film was formed thereon.
- the titanium nitride film uses a titanium target and is a mixed gas of argon and nitrogen.
- Total pressure of 0.27 Pa, partial pressure ratio of 50:50 and a copper film was formed using a copper target.
- the film was formed by a sputtering method of 0.27 Pa).
- the power is all 400 W.
- the titanium target or the copper target is formed by shaping a titanium or copper rolled plate and bonding it to a copper plate with solder, and impinging a gas such as argon on the plate in the vacuum. As a result, titanium or copper atoms or clusters can be scattered and attached to the substrate.
- polishing pressure is 4 psi
- the har rotation speed was 30 rpm
- the polishing time was 60 seconds.
- the test pattern used had a pattern in which two grooves having a width of 0.5 ⁇ were arranged in parallel with a spacing of 0.3 ⁇ . Finally, the groove part becomes the metal wiring, and the part between the grooves becomes the insulating layer separating the metal wiring. Also, pads (grooves) which are ultimately electrodes are formed at the respective ends on the same side of the two groove portions.
- the line capacitance of the obtained wiring structure was measured using an RF impedance Z material 'analyzer (HP 4291A, manufactured by Hurette Packard, USA). It was 101 pF / mm. Comparative Example 1
- a wiring structure was manufactured in the same manner as in Example 1 except that the heat treatment was not performed for 1 hour in a nitrogen atmosphere at 400 ° C. Profit In the wiring structure thus obtained, the preliminary insulator layer composed of the silicon oxide-organic polymer composite thin film functions as it is as the insulating layer of the metal wiring.
- a silicon oxide-organic polymer composite thin film was formed in the same procedure as in Example 1 except that the spin-coating conditions were changed so that the film thickness became 0.7 ⁇ instead of 0.8 ⁇ .
- a preliminary insulator layer consisting of was formed. This was heat-treated in a nitrogen atmosphere at 400 ° C for 1 hour in the same manner as in Example 1, and only the organic polymer of the silicon oxide-organic polymer composite thin film was burned off to remove the porous polymer.
- An insulator layer composed of a silicon oxide thin film was obtained. On this, a silicon dioxide film having a thickness of 0.1 ⁇ was formed by a chemical vapor deposition method. Then, a test pattern was formed, a copper layer was formed, and an excess copper layer was removed in the same manner as in Example 1 to obtain a wiring structure.
- the structure of the finally obtained test pattern is substantially the same as that of Example 1. Considered to be identical.
- Example 2 When the line capacitance of the obtained wiring structure was measured, it was 0.109 pF / mm. From this result, it can be seen that the line capacitance is larger than that of Example 1 even though the porous silicon oxide thin film formed under the same conditions as in Example 1 is used as the insulating layer. I understand. This is considered to be because a dense silicon dioxide film having a high relative dielectric constant and used for coating for protecting the porous silicon oxide thin film as an insulating layer exists between the metal wirings.
- Example 2
- the obtained solution was applied onto a silicon wafer on which a titanium nitride thin film had been formed in advance, using a spin coater (1H-360 SS pin Coater, manufactured by Mikasa Japan) at 1,5 / min. Spin coating at a speed of 100 rpm, and heat in air at 120 ° C for 1 hour and then at 180 ° C for 1 hour to obtain silicon oxide-organic polymer composite thin film Was.
- the titanium nitride thin film on the silicon wafer was reacted with a mixed gas of argon and nitrogen (total pressure of 0.227 Pa, partial pressure ratio of 50:50) using a titanium target.
- the film was formed by reactive sputtering.
- the resulting thin film was heated in a nitrogen atmosphere at 400 ° C for 1 hour, and only the organic polymer of the silicon oxide-organic polymer composite thin film was removed by burning. It was changed to a porous silicon oxide thin film.
- the thickness of the obtained thin film was 0.50 ⁇ .
- Aluminum is passed through a mask on the surface of this thin film.
- An electrode having a diameter of 1.7 mm was produced by vacuum evaporation of a drum. Using this sample, the relative dielectric constant of the porous silicon oxide thin film at 1 MHz was measured and found to be 2.01.
- a sample containing a silicon oxide-organic polymer composite thin film with a thickness of 0.41 m was applied and heated at 120 ° C for 1 hour and then at 180 ° C for 1 hour. I got The obtained sample was heated for 1 hour in a nitrogen atmosphere at 450 ° C, and only the organic polymer of the silicon oxide-organic polymer composite thin film was burned off to remove the sample. It was changed to a crystalline silicon oxide thin film.
- the film thickness of the obtained thin film was 0.32 m, and the decrease in the film thickness compared to before the removal of the organic polymer was 22%.
- Reference example 3 Instead of using polyethylene glycol monomethacrylate, other than using polyethylene glycol (number average molecular weight: 200,000) having no polymerizable functional group in the molecule Performed the same operation as in Reference Example 2 to obtain a sample containing a silicon oxide-organic polymer composite thin film having a thickness of 1.451 / im on a silicon wafer. The obtained sample was heated in a nitrogen atmosphere at 450 ° C for 1 hour, and only the organic polymer of the silicon oxide-organic polymer composite thin film was burned off to remove the porous silicon. Changed to an oxide thin film. The film thickness of the obtained thin film was 1.07 ⁇ m, and the decrease in film thickness as compared to before the removal of the organic polymer was 26%.
- the capacitance [line capacitance (1ine-10-line capacitance)] between adjacent wirings can be reduced, and copper or silver can be used. Since a wiring made of a metal having a low resistivity can be used, the transmission delay of electric signals (wiring delay) can be greatly reduced as compared with a conventional wiring structure.
- the above-mentioned excellent wiring structure is formed by an industrially advantageous damascene method (an advantage that a low-resistance metal such as copper or silver can be used as a wiring material). It has the advantage of being suitable for the production of multilayer wiring boards), which makes it possible to produce easily and efficiently.
- the multilayer wiring board and the semiconductor element including the above-described excellent wiring structure obtained by the method of the present invention exhibit little wiring delay and exhibit excellent performance.
Description
Claims
Priority Applications (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
JP2000542792A JP4521992B2 (ja) | 1998-04-01 | 1999-04-01 | 配線構造体の製造方法 |
AU30555/99A AU3055599A (en) | 1998-04-01 | 1999-04-01 | Method of manufacturing interconnection structural body |
US09/647,310 US6479374B1 (en) | 1998-04-01 | 1999-04-01 | Method of manufacturing interconnection structural body |
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
JP10/88699 | 1998-04-01 | ||
JP8869998 | 1998-04-01 |
Publications (1)
Publication Number | Publication Date |
---|---|
WO1999052136A1 true WO1999052136A1 (fr) | 1999-10-14 |
Family
ID=13950125
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
PCT/JP1999/001732 WO1999052136A1 (fr) | 1998-04-01 | 1999-04-01 | Procede de fabrication d'un corps structurel d'interconnexion |
Country Status (5)
Country | Link |
---|---|
US (1) | US6479374B1 (ja) |
JP (1) | JP4521992B2 (ja) |
AU (1) | AU3055599A (ja) |
TW (1) | TWI222426B (ja) |
WO (1) | WO1999052136A1 (ja) |
Cited By (14)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
WO2002052629A2 (en) * | 2000-12-21 | 2002-07-04 | Intel Corporation | Mechanically reinforced highly porous low dielectric constant films |
JP2002319582A (ja) * | 2002-02-07 | 2002-10-31 | Tokyo Ohka Kogyo Co Ltd | シリカ系被膜形成用の塗布液 |
CN1305118C (zh) * | 2000-12-21 | 2007-03-14 | 英特尔公司 | 通过ild柱结构性加强多孔隙、低k介电薄膜 |
JP2008109149A (ja) * | 2007-11-21 | 2008-05-08 | Seiko Epson Corp | 半導体装置の製造方法 |
US7500397B2 (en) | 2007-02-15 | 2009-03-10 | Air Products And Chemicals, Inc. | Activated chemical process for enhancing material properties of dielectric films |
JP2009177198A (ja) * | 2009-03-30 | 2009-08-06 | Hitachi Chem Co Ltd | シリカ系被膜形成用塗布液、シリカ系被膜及びこれを用いた半導体装置 |
US7678712B2 (en) | 2005-03-22 | 2010-03-16 | Honeywell International, Inc. | Vapor phase treatment of dielectric materials |
US7709371B2 (en) | 2003-01-25 | 2010-05-04 | Honeywell International Inc. | Repairing damage to low-k dielectric materials using silylating agents |
US7858294B2 (en) | 2000-06-23 | 2010-12-28 | Honeywell International Inc. | Method to restore hydrophobicity in dielectric films and materials |
JP2011035426A (ja) * | 2004-11-30 | 2011-02-17 | Semiconductor Energy Lab Co Ltd | 半導体装置の作製方法 |
US7915181B2 (en) | 2003-01-25 | 2011-03-29 | Honeywell International Inc. | Repair and restoration of damaged dielectric materials and films |
US7915159B2 (en) | 2004-09-15 | 2011-03-29 | Honeywell International Inc. | Treating agent materials |
KR101026211B1 (ko) | 2003-10-10 | 2011-03-31 | 도쿄엘렉트론가부시키가이샤 | 유전체 막을 처리하기 위한 방법 및 시스템 |
JP2015130469A (ja) * | 2014-01-07 | 2015-07-16 | サムソン エレクトロ−メカニックス カンパニーリミテッド. | チップ電子部品及びその製造方法 |
Families Citing this family (56)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
WO2002001627A1 (fr) * | 2000-06-26 | 2002-01-03 | Hitachi, Ltd. | Dispositif a semi-conducteur et procede de fabrication associe |
AU2001288954A1 (en) * | 2000-09-13 | 2002-03-26 | Shipley Company, L.L.C. | Electronic device manufacture |
FR2835844B1 (fr) * | 2002-02-13 | 2006-12-15 | Clariant | Procede de polissage mecano-chimique de substrats metalliques |
US20030161949A1 (en) * | 2002-02-28 | 2003-08-28 | The Regents Of The University Of California | Vapor deposition of dihalodialklysilanes |
US7288111B1 (en) * | 2002-03-26 | 2007-10-30 | Thoratec Corporation | Flexible stent and method of making the same |
US6865939B2 (en) * | 2002-09-16 | 2005-03-15 | Sandia Naitonal Laboratories | Fluorinated silica microchannel surfaces |
JP2004274020A (ja) * | 2002-09-24 | 2004-09-30 | Rohm & Haas Electronic Materials Llc | 電子デバイス製造 |
US7241704B1 (en) | 2003-03-31 | 2007-07-10 | Novellus Systems, Inc. | Methods for producing low stress porous low-k dielectric materials using precursors with organic functional groups |
US7208389B1 (en) | 2003-03-31 | 2007-04-24 | Novellus Systems, Inc. | Method of porogen removal from porous low-k films using UV radiation |
US7176144B1 (en) | 2003-03-31 | 2007-02-13 | Novellus Systems, Inc. | Plasma detemplating and silanol capping of porous dielectric films |
US7265061B1 (en) | 2003-05-09 | 2007-09-04 | Novellus Systems, Inc. | Method and apparatus for UV exposure of low dielectric constant materials for porogen removal and improved mechanical properties |
DE20321548U1 (de) * | 2003-09-19 | 2007-11-29 | Gallus Druckmaschinen Gmbh | Rotationsdruckmaschine |
JP2007508691A (ja) * | 2003-10-08 | 2007-04-05 | ハネウェル・インターナショナル・インコーポレーテッド | シリル化剤を用いる低誘電率誘電材料の損傷の修復 |
EP1676303A2 (en) * | 2003-10-08 | 2006-07-05 | Honeywell International Inc. | Repairing damage to low-k dielectric materials using silylating agents |
US7390537B1 (en) | 2003-11-20 | 2008-06-24 | Novellus Systems, Inc. | Methods for producing low-k CDO films with low residual stress |
KR100568864B1 (ko) * | 2004-01-12 | 2006-04-10 | 삼성전자주식회사 | 반도체 소자 연결배선의 형성방법 |
US7341761B1 (en) | 2004-03-11 | 2008-03-11 | Novellus Systems, Inc. | Methods for producing low-k CDO films |
US7381662B1 (en) | 2004-03-11 | 2008-06-03 | Novellus Systems, Inc. | Methods for improving the cracking resistance of low-k dielectric materials |
US7094713B1 (en) | 2004-03-11 | 2006-08-22 | Novellus Systems, Inc. | Methods for improving the cracking resistance of low-k dielectric materials |
US7781351B1 (en) | 2004-04-07 | 2010-08-24 | Novellus Systems, Inc. | Methods for producing low-k carbon doped oxide films with low residual stress |
US7253125B1 (en) | 2004-04-16 | 2007-08-07 | Novellus Systems, Inc. | Method to improve mechanical strength of low-k dielectric film using modulated UV exposure |
US7622400B1 (en) | 2004-05-18 | 2009-11-24 | Novellus Systems, Inc. | Method for improving mechanical properties of low dielectric constant materials |
US7078814B2 (en) * | 2004-05-25 | 2006-07-18 | International Business Machines Corporation | Method of forming a semiconductor device having air gaps and the structure so formed |
JP5057647B2 (ja) * | 2004-07-02 | 2012-10-24 | 東京エレクトロン株式会社 | 半導体装置の製造方法および半導体装置の製造装置 |
US7326444B1 (en) | 2004-09-14 | 2008-02-05 | Novellus Systems, Inc. | Methods for improving integration performance of low stress CDO films |
US9659769B1 (en) | 2004-10-22 | 2017-05-23 | Novellus Systems, Inc. | Tensile dielectric films using UV curing |
US7790633B1 (en) | 2004-10-26 | 2010-09-07 | Novellus Systems, Inc. | Sequential deposition/anneal film densification method |
US7695765B1 (en) | 2004-11-12 | 2010-04-13 | Novellus Systems, Inc. | Methods for producing low-stress carbon-doped oxide films with improved integration properties |
US7166531B1 (en) | 2005-01-31 | 2007-01-23 | Novellus Systems, Inc. | VLSI fabrication processes for introducing pores into dielectric materials |
US7510982B1 (en) | 2005-01-31 | 2009-03-31 | Novellus Systems, Inc. | Creation of porosity in low-k films by photo-disassociation of imbedded nanoparticles |
US8980769B1 (en) | 2005-04-26 | 2015-03-17 | Novellus Systems, Inc. | Multi-station sequential curing of dielectric films |
US8137465B1 (en) | 2005-04-26 | 2012-03-20 | Novellus Systems, Inc. | Single-chamber sequential curing of semiconductor wafers |
US8454750B1 (en) | 2005-04-26 | 2013-06-04 | Novellus Systems, Inc. | Multi-station sequential curing of dielectric films |
US8889233B1 (en) | 2005-04-26 | 2014-11-18 | Novellus Systems, Inc. | Method for reducing stress in porous dielectric films |
US8282768B1 (en) | 2005-04-26 | 2012-10-09 | Novellus Systems, Inc. | Purging of porogen from UV cure chamber |
US7491636B2 (en) * | 2005-07-19 | 2009-02-17 | Micron Technology, Inc. | Methods for forming flexible column die interconnects and resulting structures |
US7892985B1 (en) | 2005-11-15 | 2011-02-22 | Novellus Systems, Inc. | Method for porogen removal and mechanical strength enhancement of low-k carbon doped silicon oxide using low thermal budget microwave curing |
US7381644B1 (en) | 2005-12-23 | 2008-06-03 | Novellus Systems, Inc. | Pulsed PECVD method for modulating hydrogen content in hard mask |
US8110493B1 (en) | 2005-12-23 | 2012-02-07 | Novellus Systems, Inc. | Pulsed PECVD method for modulating hydrogen content in hard mask |
US7923376B1 (en) | 2006-03-30 | 2011-04-12 | Novellus Systems, Inc. | Method of reducing defects in PECVD TEOS films |
US10037905B2 (en) | 2009-11-12 | 2018-07-31 | Novellus Systems, Inc. | UV and reducing treatment for K recovery and surface clean in semiconductor processing |
US7851232B2 (en) | 2006-10-30 | 2010-12-14 | Novellus Systems, Inc. | UV treatment for carbon-containing low-k dielectric repair in semiconductor processing |
US8465991B2 (en) * | 2006-10-30 | 2013-06-18 | Novellus Systems, Inc. | Carbon containing low-k dielectric constant recovery using UV treatment |
US7906174B1 (en) | 2006-12-07 | 2011-03-15 | Novellus Systems, Inc. | PECVD methods for producing ultra low-k dielectric films using UV treatment |
US8242028B1 (en) | 2007-04-03 | 2012-08-14 | Novellus Systems, Inc. | UV treatment of etch stop and hard mask films for selectivity and hermeticity enhancement |
US7622162B1 (en) | 2007-06-07 | 2009-11-24 | Novellus Systems, Inc. | UV treatment of STI films for increasing tensile stress |
US8211510B1 (en) | 2007-08-31 | 2012-07-03 | Novellus Systems, Inc. | Cascaded cure approach to fabricate highly tensile silicon nitride films |
JP5241304B2 (ja) * | 2008-04-23 | 2013-07-17 | 富士フイルム株式会社 | 表面金属膜材料の作製方法、表面金属膜材料、金属パターン材料の作製方法、及び金属パターン材料 |
US9050623B1 (en) | 2008-09-12 | 2015-06-09 | Novellus Systems, Inc. | Progressive UV cure |
JP5705751B2 (ja) | 2009-03-10 | 2015-04-22 | レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード | low−kシリル化用の環式アミノ化合物 |
JP5324361B2 (ja) * | 2009-08-28 | 2013-10-23 | 東京応化工業株式会社 | 表面処理剤及び表面処理方法 |
TW201403711A (zh) | 2012-07-02 | 2014-01-16 | Applied Materials Inc | 利用氣相化學暴露之低k介電質損傷修復 |
US10070536B2 (en) * | 2016-07-05 | 2018-09-04 | Unimicron Technology Corp. | Manufacturing method of circuit board structure |
US9847221B1 (en) | 2016-09-29 | 2017-12-19 | Lam Research Corporation | Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing |
JP7045929B2 (ja) * | 2018-05-28 | 2022-04-01 | 東京エレクトロン株式会社 | 半導体装置の製造方法および基板処理装置 |
CN111483975A (zh) * | 2020-04-20 | 2020-08-04 | 北京理工大学 | 一种热导率可控的具有微纳结构的薄膜制作方法 |
Citations (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH0864680A (ja) * | 1994-08-05 | 1996-03-08 | Texas Instr Inc <Ti> | 半導体デバイス内に多孔質誘電体層を集積する方法及び半導体デバイス |
JPH08330300A (ja) * | 1995-05-29 | 1996-12-13 | Sony Corp | 絶縁材料、層間絶縁膜および層間絶縁膜の形成方法 |
JPH09298241A (ja) * | 1996-03-06 | 1997-11-18 | Matsushita Electric Ind Co Ltd | 半導体装置及びその製造方法 |
JPH10233446A (ja) * | 1997-02-19 | 1998-09-02 | Sony Corp | 配線形成方法 |
Family Cites Families (12)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4944836A (en) | 1985-10-28 | 1990-07-31 | International Business Machines Corporation | Chem-mech polishing method for producing coplanar metal/insulator films on a substrate |
US5470801A (en) * | 1993-06-28 | 1995-11-28 | Lsi Logic Corporation | Low dielectric constant insulation layer for integrated circuit structure and method of making same |
JP2799425B2 (ja) | 1993-12-09 | 1998-09-17 | 工業技術院長 | セラミックス多孔質膜の製造方法 |
US5470802A (en) | 1994-05-20 | 1995-11-28 | Texas Instruments Incorporated | Method of making a semiconductor device using a low dielectric constant material |
US5494858A (en) * | 1994-06-07 | 1996-02-27 | Texas Instruments Incorporated | Method for forming porous composites as a low dielectric constant layer with varying porosity distribution electronics applications |
US5504042A (en) * | 1994-06-23 | 1996-04-02 | Texas Instruments Incorporated | Porous dielectric material with improved pore surface properties for electronics applications |
JPH0936226A (ja) * | 1995-07-18 | 1997-02-07 | Nec Corp | 半導体装置およびその製造方法 |
JP3851393B2 (ja) * | 1996-11-25 | 2006-11-29 | 旭化成株式会社 | 多孔質ケイ素酸化物膜の製造法 |
JPH10158011A (ja) * | 1996-11-25 | 1998-06-16 | Asahi Chem Ind Co Ltd | 多孔質ケイ素酸化物膜の製造方法 |
JPH10256363A (ja) * | 1997-03-13 | 1998-09-25 | Sony Corp | 半導体装置およびその製造方法 |
JP3210601B2 (ja) * | 1997-05-28 | 2001-09-17 | 東レ・ダウコーニング・シリコーン株式会社 | 半導体装置及びその製造方法 |
JP3173426B2 (ja) * | 1997-06-09 | 2001-06-04 | 日本電気株式会社 | シリカ絶縁膜の製造方法及び半導体装置の製造方法 |
-
1999
- 1999-04-01 US US09/647,310 patent/US6479374B1/en not_active Expired - Fee Related
- 1999-04-01 TW TW088105345A patent/TWI222426B/zh not_active IP Right Cessation
- 1999-04-01 WO PCT/JP1999/001732 patent/WO1999052136A1/ja active Application Filing
- 1999-04-01 JP JP2000542792A patent/JP4521992B2/ja not_active Expired - Fee Related
- 1999-04-01 AU AU30555/99A patent/AU3055599A/en not_active Abandoned
Patent Citations (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH0864680A (ja) * | 1994-08-05 | 1996-03-08 | Texas Instr Inc <Ti> | 半導体デバイス内に多孔質誘電体層を集積する方法及び半導体デバイス |
JPH08330300A (ja) * | 1995-05-29 | 1996-12-13 | Sony Corp | 絶縁材料、層間絶縁膜および層間絶縁膜の形成方法 |
JPH09298241A (ja) * | 1996-03-06 | 1997-11-18 | Matsushita Electric Ind Co Ltd | 半導体装置及びその製造方法 |
JPH10233446A (ja) * | 1997-02-19 | 1998-09-02 | Sony Corp | 配線形成方法 |
Non-Patent Citations (1)
Title |
---|
J. MACROMOL. SCI.-CHEM., Vol. A28, No. 9, (1991), T. SAEGUSA, "Organic Polymer-Silica Gel Hybrid; A Precursor of Highly Porous Silica Gel", pp. 817-829. * |
Cited By (16)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7858294B2 (en) | 2000-06-23 | 2010-12-28 | Honeywell International Inc. | Method to restore hydrophobicity in dielectric films and materials |
WO2002052629A3 (en) * | 2000-12-21 | 2003-03-20 | Intel Corp | Mechanically reinforced highly porous low dielectric constant films |
US6703324B2 (en) | 2000-12-21 | 2004-03-09 | Intel Corporation | Mechanically reinforced highly porous low dielectric constant films |
CN1305118C (zh) * | 2000-12-21 | 2007-03-14 | 英特尔公司 | 通过ild柱结构性加强多孔隙、低k介电薄膜 |
WO2002052629A2 (en) * | 2000-12-21 | 2002-07-04 | Intel Corporation | Mechanically reinforced highly porous low dielectric constant films |
JP2002319582A (ja) * | 2002-02-07 | 2002-10-31 | Tokyo Ohka Kogyo Co Ltd | シリカ系被膜形成用の塗布液 |
US7915181B2 (en) | 2003-01-25 | 2011-03-29 | Honeywell International Inc. | Repair and restoration of damaged dielectric materials and films |
US7709371B2 (en) | 2003-01-25 | 2010-05-04 | Honeywell International Inc. | Repairing damage to low-k dielectric materials using silylating agents |
KR101026211B1 (ko) | 2003-10-10 | 2011-03-31 | 도쿄엘렉트론가부시키가이샤 | 유전체 막을 처리하기 위한 방법 및 시스템 |
US7915159B2 (en) | 2004-09-15 | 2011-03-29 | Honeywell International Inc. | Treating agent materials |
JP2011035426A (ja) * | 2004-11-30 | 2011-02-17 | Semiconductor Energy Lab Co Ltd | 半導体装置の作製方法 |
US7678712B2 (en) | 2005-03-22 | 2010-03-16 | Honeywell International, Inc. | Vapor phase treatment of dielectric materials |
US7500397B2 (en) | 2007-02-15 | 2009-03-10 | Air Products And Chemicals, Inc. | Activated chemical process for enhancing material properties of dielectric films |
JP2008109149A (ja) * | 2007-11-21 | 2008-05-08 | Seiko Epson Corp | 半導体装置の製造方法 |
JP2009177198A (ja) * | 2009-03-30 | 2009-08-06 | Hitachi Chem Co Ltd | シリカ系被膜形成用塗布液、シリカ系被膜及びこれを用いた半導体装置 |
JP2015130469A (ja) * | 2014-01-07 | 2015-07-16 | サムソン エレクトロ−メカニックス カンパニーリミテッド. | チップ電子部品及びその製造方法 |
Also Published As
Publication number | Publication date |
---|---|
US6479374B1 (en) | 2002-11-12 |
JP4521992B2 (ja) | 2010-08-11 |
AU3055599A (en) | 1999-10-25 |
TWI222426B (en) | 2004-10-21 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
WO1999052136A1 (fr) | Procede de fabrication d'un corps structurel d'interconnexion | |
US7179758B2 (en) | Recovery of hydrophobicity of low-k and ultra low-k organosilicate films used as inter metal dielectrics | |
KR102205853B1 (ko) | 논-리소그래피식으로 패터닝된 지향성 자가 조립 정렬 촉진 층들 | |
JP4594988B2 (ja) | 金属間誘電体として用いられる低k及び超低kの有機シリケート膜の疎水性の回復 | |
JP2006504855A (ja) | ガス層形成材料 | |
TW200306616A (en) | Tri-layer masking architecture for patterning dual damascene interconnects | |
KR20060051080A (ko) | 조성물 및 방법 | |
KR20040024524A (ko) | 에어 갭 형성 | |
WO2003081665A1 (fr) | Procede de production de dispositif semi-conducteur et dispositif semi-conducteur | |
JP2002324838A (ja) | デュアルダマシン相互接続における有機物誘電体の密着性を改良する方法 | |
WO2002019410A1 (en) | Porous siliceous film having low permittivity, semiconductor devices and coating composition | |
JP2008511711A5 (ja) | ||
JP2003501832A (ja) | シロキサン誘電性フィルムを電子装置の有機物誘電性フィルムの集積化に使用する方法 | |
JP4588304B2 (ja) | コーティング組成物、およびそれを用いて製造した低誘電シリカ質材料 | |
TWI244136B (en) | Low oxygen content photoresist stripping process for low dielectric constant materials | |
KR20060008949A (ko) | 피복 조성물, 다공성 실리카질 막, 다공성 실리카질 막의제조방법 및 반도체 장치 | |
CN100552542C (zh) | 由旋涂上的陶瓷薄膜组成的构图层 | |
JP2003528442A (ja) | デュアル・ダマシン処理中に下層の配線層を保護する方法 | |
JP3982073B2 (ja) | 低誘電率絶縁膜形成方法 | |
JP5661562B2 (ja) | 微細パターンマスクおよびその製造方法、ならびにそれを用いた微細パターンの形成方法 | |
JP2006503165A (ja) | オルガノシロキサン | |
JP2000106364A (ja) | 絶縁膜の製造方法 | |
US20070100109A1 (en) | Nanoporous materials and methods of formation thereof | |
JP2000228399A (ja) | シリカ系被膜形成用塗布液、その製造法、シリカ系被膜及び半導体装置 | |
JP2000021872A (ja) | 低誘電率樹脂組成物、低誘電率絶縁膜形成方法および半導体装置の製造方法 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
AK | Designated states |
Kind code of ref document: A1 Designated state(s): AE AL AM AT AU AZ BA BB BG BR BY CA CH CN CU CZ DE DK EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MD MG MK MN MW MX NO NZ PL PT RO RU SD SE SG SI SK SL TJ TM TR TT UA UG US UZ VN YU ZA ZW |
|
AL | Designated countries for regional patents |
Kind code of ref document: A1 Designated state(s): GH GM KE LS MW SD SL SZ UG ZW AM AZ BY KG KZ MD RU TJ TM AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE BF BJ CF CG CI CM GA GN GW ML MR NE SN TD TG |
|
DFPE | Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101) |
Free format text: (EXCEPT GD) |
|
121 | Ep: the epo has been informed by wipo that ep was designated in this application | ||
WWE | Wipo information: entry into national phase |
Ref document number: 09647310 Country of ref document: US |
|
NENP | Non-entry into the national phase |
Ref country code: KR |
|
REG | Reference to national code |
Ref country code: DE Ref legal event code: 8642 |
|
122 | Ep: pct application non-entry in european phase |