WO1999052136A1 - Procede de fabrication d'un corps structurel d'interconnexion - Google Patents

Procede de fabrication d'un corps structurel d'interconnexion Download PDF

Info

Publication number
WO1999052136A1
WO1999052136A1 PCT/JP1999/001732 JP9901732W WO9952136A1 WO 1999052136 A1 WO1999052136 A1 WO 1999052136A1 JP 9901732 W JP9901732 W JP 9901732W WO 9952136 A1 WO9952136 A1 WO 9952136A1
Authority
WO
WIPO (PCT)
Prior art keywords
silicon oxide
organic polymer
thin film
insulator layer
wiring
Prior art date
Application number
PCT/JP1999/001732
Other languages
English (en)
French (fr)
Inventor
Takaaki Ioka
Tsuneaki Tanabe
Ichiro Doi
Original Assignee
Asahi Kasei Kogyo Kabushiki Kaisha
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asahi Kasei Kogyo Kabushiki Kaisha filed Critical Asahi Kasei Kogyo Kabushiki Kaisha
Priority to US09/647,310 priority Critical patent/US6479374B1/en
Priority to JP2000542792A priority patent/JP4521992B2/ja
Priority to AU30555/99A priority patent/AU3055599A/en
Publication of WO1999052136A1 publication Critical patent/WO1999052136A1/ja

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31695Deposition of porous oxides or porous glassy oxides or oxide based porous glass

Definitions

  • the present invention relates to a method for manufacturing a wiring structure. More specifically, the present invention relates to a method for manufacturing a wiring structure having an insulator layer composed of a porous silicon oxide thin film, and (1) a method for manufacturing a wiring structure on a substrate, the method comprising: Forming a preliminary insulator layer consisting of a silicon oxide-organic polymer-composite thin film in which a polymer is dispersed, and (2) forming a groove in the preliminary insulator layer to define a circuit pattern. ,
  • the capacitance [Senkan'yo amount (l ine- t 0 - l ine capaci tance)] between adjacent wirings force ⁇ can be reduced, and any copper or silver
  • the use of wiring made of metal with low resistivity allows the transmission delay (wiring delay) of electric signals to be significantly reduced as compared to conventional wiring structures. Further, according to the method of the present invention, the above excellent wiring structure can be efficiently manufactured. Further, the present invention provides a multilayer wiring board including the above excellent wiring structure, And a semiconductor device including the above excellent wiring structure. Conventional technology
  • non-porous silicon oxide or silicon oxide with fluorine or organic groups introduced has been used as the material of the insulating layer used for multilayer wiring of semiconductor devices such as LSIs. Have been.
  • the relative permittivity of these materials is relatively large.
  • wiring of semiconductor elements such as LSI has been steadily increasing in density, and accordingly, the distance between adjacent wirings on a substrate has been reduced. As a result, the adjacent wiring can function as a capacitor.
  • the dielectric constant of the insulating layer is high, the capacitance of the capacitor increases, and as a result, transmission delay of an electric signal transmitted through the wiring (so-called wiring delay) becomes a problem.
  • silicon oxide and organic polymer are compounded to lower the relative dielectric constant, and silicon oxide is made porous to form a composite with air having a relative dielectric constant of about 1. Attempts have been made to lower the relative permittivity.
  • the wiring is formed by removing the metal not protected by the resist pattern by a conventional etching method, and further, an insulating layer is formed around the wiring.
  • Conventional etching methods use materials that can form high vapor pressure compounds with the metal to be removed, in which case the surface of the metal layer protected by the photo-resist pattern is removed. Without being attacked, only the unprotected parts can be selectively removed by converting them to high vapor pressure compounds.
  • low resistivity metals such as copper and silver
  • these metals cannot form high vapor pressure compounds and can only form low vapor pressure compounds. It cannot be removed by the method. Therefore, these low resistivity metals cannot be used in conventional processes.
  • the so-called damascene method To solve the above problem, the so-called damascene method
  • damascene process has been proposed.
  • an insulating layer is first formed on a substrate, a groove for receiving a metal for wiring is formed in the insulating layer, and then the surface of the insulating layer is formed so that the groove is completely filled.
  • the metal layer formed in other than the trench is removed by etch back using plasma or chemical mechanical polishing (CMP), and the insulating layer and the metal layer formed in the trench (metal that becomes wiring) (Refer to the Damascene method.
  • CMP chemical mechanical polishing
  • the wiring method is as follows.) p. 773-776 and Japanese Patent Publication No.
  • a conventional etching method is used for forming wiring. This eliminates the need for plasma etching and chemical mechanical polishing (CMP), so that low resistivity metals such as copper and silver can be used for wiring formation. Power is very well known for manufacturing multi-level interconnect structures. The method has a great advantage in that the number of steps can be reduced and the manufacturing cost can be reduced as compared with the conventional process.
  • CMP chemical mechanical polishing
  • the formation of the hole and the formation of the groove for providing the upper layer wiring can be performed in a single step, and thereafter, the deposition of metal into the vertical conductive hole and the formation of the upper layer wiring are performed.
  • metal can be deposited to fill the trenches, and then the excess metal can be removed by plasma etch back or chemical mechanical polishing (CMP) as described above.
  • CMP chemical mechanical polishing
  • the upper layer is completed just by doing.
  • the damascene method can use a low-resistance metal such as copper or silver for forming a wiring, and is less likely to use a conventional process when manufacturing a multilayer wiring structure. This is very advantageous because it can be performed in the number of processes.
  • the insulating layer made of silicon oxide manufactured by plasma CVD which is conventionally used in the damascene method, has a high relative dielectric constant, so that it is not possible to sufficiently reduce the wiring delay.
  • I could't.
  • an insulating layer composed of a composite of a silicon oxide and an organic polymer.
  • organic polymers obtain an insulating layer with a sufficiently low dielectric constant Therefore, it is necessary to use a material having a low relative dielectric constant.
  • examples of such polymers include paraquinoxaline (relative dielectric constant 2.70) [Polymer, Vol.34, p4717 (1993)] reported by Hedrick et al. Reported polyquinoline (dielectric constant 2.5)
  • U.S. Pat. No. 5,472,913 discloses a wet silicon oxide gel film obtained by hydrolyzing and dehydrating tetraalkyloxysilane in alcohol. The surface is made water-repellent by immersion in a solution of trimethyl chlorosilane, which is a silylating agent, and then the porous silicon oxide film obtained by drying at normal pressure is used as an insulating layer. ing.
  • U.S. Pat. No. 5,472,913 discloses a wet silicon oxide gel film obtained by hydrolyzing and dehydrating tetraalkyloxysilane in alcohol. The surface is made water-repellent by immersion in a solution of trimethyl chlorosilane, which is a silylating agent, and then the porous silicon oxide film obtained by drying at normal pressure is used as an insulating layer. ing.
  • trimethyl chlorosilane which is a silylating agent
  • a protective layer composed of a non-porous silicon oxide is formed on the porous silicon oxide film obtained by the above method. After etching only the protective layer using a lithographic method, the etching of the protective layer is performed. By etching the porous silicon oxide film under conditions different from those of the etching, the through-holes as described above are formed.
  • the thickness of the protective layer composed of the non-porous silicon oxide is at least slightly non-uniform, the number of times the protective layer is etched down to the porous silicon oxide film thereunder is limited. Since the etching was performed non-uniformly at twice the speed, the thickness of the protective layer and the etching conditions had to be strictly controlled, and there was a problem that this was extremely difficult.
  • a metal layer is formed on an insulating layer in which minute grooves for receiving metal for wiring are formed. Therefore, when a porous silicon oxide film is used as the insulating layer, there is a possibility that the metal may enter the pores of the insulating layer. This also makes it difficult to use porous silicon oxide films in the damascene method.
  • the damascene method requires the use of etch-back or chemical-mechanical polishing (CMP) to remove the extra metal layer or part of the insulating layer formed on the surface of the insulating layer.
  • CMP chemical-mechanical polishing
  • a porous silicon oxide film is used as an insulating layer
  • CMP chemical-mechanical polishing
  • a porous insulator is required. If the layer is exposed and exposed to the plasma, the gas generated by the etching will remain in the holes and damage the insulating layer.
  • an acidic or alkaline aqueous slurry containing abrasive particles is used in CMP, the use of this method on a substrate having an insulating layer composed of porous silicon oxide makes it difficult to achieve insulation. Layer may melt or be damaged.
  • this method has a problem that the step of forming the wiring is complicated because the number of steps of forming the protective layer increases. Furthermore, in this method, a non-porous silicon oxide film having a high relative dielectric constant remains in a part of the surface of the insulating layer or in a groove, and thus, despite the use of a porous silicon oxide thin film. However, a sufficiently low specific dielectric constant cannot be achieved. Summary of the Invention
  • the present inventors have developed a wiring structure having an insulator layer made of a porous silicon oxide thin film having a low relative dielectric constant by using an industrially advantageous damascene method. We worked diligently to develop a method of manufacturing easily without the above-mentioned problems associated with technology.
  • a preliminary insulator layer formed of a silicon oxide-organic polymer composite thin film in which an organic polymer is dispersed in a silicon oxide is formed on a substrate, (2) forming a groove for defining a circuit pattern in the preliminary insulator layer; (3) forming a metal layer functioning as a wiring in the groove; Removing the organic polymer from the preliminary insulator layer to make the preliminary insulator layer porous, thereby converting the preliminary insulator layer into an insulator layer composed of a porous silicon oxide thin film.
  • one object of the present invention is to provide a wiring structure having a small capacitance (inter-line capacitance) generated between adjacent wirings and a small transmission delay of an electric signal by using an industrially advantageous damascene method (wiring).
  • Another object of the present invention includes the above-described wiring structure. It is to provide a multilayer wiring board and a semiconductor element.
  • FIG. 1 is a cross-sectional view of a structure having a photoresist film on the surface and a silicon oxide-organic polymer composite thin film formed on a substrate;
  • FIG. 2 is a cross-sectional view of a structure having a photo resist image corresponding to a desired wiring pattern obtained by exposing and developing the photo resist film on the structure of FIG. Re;
  • FIG. 3 shows a groove for receiving metal for wiring obtained by etching the silicon oxide-organic polymer composite thin film of the structure of FIG. 2 according to the above photo resist image.
  • FIG. 4 is a cross-sectional view of a structure obtained by removing the photoresist from the structure of FIG.
  • FIG. 5 is a cross-sectional view of a structure obtained by forming a metal layer on the surface of the structure of FIG.
  • FIG. 6 shows a wiring structure obtained by removing excess metal from the surface of the structure of FIG. 5 or a wiring structure obtained by forming a metal layer only in the groove portion of the structure of FIG. 4 by a selective plating method.
  • FIG. 7 shows a wiring structure having an insulating layer composed of a porous silicon oxide thin film obtained by removing an organic polymer from the silicon oxide-organic polymer composite thin film of the structure of FIG. It is sectional drawing. Detailed description of the invention
  • a method of manufacturing a wiring structure including:
  • a preliminary insulator layer composed of a silicon oxide-organic polymer composite thin film in which an organic polymer is dispersed in a silicon oxide is formed on a substrate,
  • the preliminary insulator layer is made porous by removing the organic polymer from the silicon oxide-organic polymer composite thin film of the preliminary insulator layer to form the preliminary insulator layer. Is it a porous silicon oxide thin film? To an insulator layer made of
  • a preliminary insulator layer composed of a silicon oxide-organic polymer composite thin film in which an organic polymer is dispersed in a silicon oxide is formed on a substrate,
  • the preliminary insulator layer is made porous by removing the organic polymer from the silicon oxide-organic polymer composite thin film of the preliminary insulator layer to form the preliminary insulator layer. Change to an insulator layer consisting of a porous silicon oxide thin film,
  • a method characterized by including this 2.
  • the organic polymer of the silicon oxide-organic polymer-composite thin film is at least selected from the group consisting of a polymer having a graphitic structure and a polymer having a three-dimensional network structure. 3.
  • a multilayer wiring board including a plurality of stacked wiring structures, wherein at least one of the plurality of wiring structures is the wiring structure according to the above item 4.
  • a semiconductor device including the wiring structure according to item 4 above.
  • the wiring structure obtained by the method of the present invention comprises: (a) a substrate; and (b) an insulating film made of a porous silicon oxide thin film formed on the substrate and having a groove defining a circuit pattern. And (c) a circuit comprising a metal layer formed in the groove.
  • a preliminary insulator layer made of a silicon oxide-organic polymer composite thin film having a structure in which an organic polymer is dispersed in a silicon oxide is formed. Formed on a substrate.
  • a semiconductor substrate such as silicon / germanium, a compound semiconductor substrate such as gallium arsenide / di-antimony, and a prepreg for a printed wiring board are used.
  • the thickness of the substrate is not particularly limited. In the case of a semiconductor substrate, it is preferable to use one having a thickness of 0.1 mm or more, and more preferably 0.3 to lmm. If the thickness is 0.1 mm thin, anti-strain may occur on the substrate due to the internal stress of the preliminary insulating layer formed on the substrate or the insulating layer composed of the porous silicon oxide thin film. There is.
  • An arbitrary substance for example, a film of silicon dioxide, silicon nitride, titanium nitride, tungsten nitride, or the like may be formed on the substrate in advance. Further, even if an element or a wiring structure formed of a conductive substance, a semiconductor, an insulating substance, or the like formed by the method of the present invention and / or a known method is formed on the substrate. Good.
  • a thin film having the same structure as a silicon oxide-organic polymer composite thin film formed on a substrate as a preliminary insulator layer in the method of the present invention is known, and is disclosed, for example, in J. Macromo. 1. It can be formed by the method described in Sc i. -Chem., A28 (9), pp. 817-829 (1991), but is preferably formed by the following method. That is, the method is
  • a liquid or solution mixture of an organic polymer having at least one polymerizable functional group, an organic material selected from the group consisting of a polymerizable organic monomer and a mixture thereof is applied to a substrate. Forming a thin film of a mixture of a silicon compound and an organic material on the substrate;
  • the organic material of the mixture in the thin film contains at least one organic polymer having a polymerizable functional group, a polymerizable organic monomer, or a mixture thereof.
  • the organic material is subjected to a polymerization reaction, In this way, a method of forming a silicon oxide-organic polymer composite thin film in which particles of the organic polymer are dispersed in the silicon oxide is formed on the substrate.
  • the silicon compound used in the method of the present invention is preferably an alkoxysilane or a mixture of an alkoxysilane and a hydrolyzate thereof.
  • a thin film of a mixture of a silicon compound and an organic material applied on a substrate is treated with water or steam to hydrolyze the alkoxysilane in the thin film, the alkoxy groups contained in the alkoxysilane become hydroxyl groups.
  • a gel is formed by a dehydration-condensation reaction, and a preliminary insulator layer comprising a silicon oxide-organic polymer composite thin film having a structure in which an organic polymer is dispersed in a silicon oxide is obtained.
  • the amount of the solvent is preferably at least 0.05% by weight based on the total weight of the solution.
  • the thickness of the silicon oxide-organic polymer composite thin film is preferably 0.1 to 10 / zm, more preferably 0.2 to 3 ⁇ . If the thickness of the silicon oxide-organic polymer composite thin film is larger than 10 ⁇ , cracks may occur, which is not preferable.
  • the organic material used in the method of the present invention may be an organic polymer having no polymerizable functional group, an organic polymer having at least one polymerizable functional group, a polymerizable organic monomer, or any of these. mixture Is preferred.
  • the organic polymer having no polymerizable functional group that can be used in the method of the present invention is not particularly limited, but examples of the organic polymer that can be preferably used include: Polyethers such as polyethylene daricol, propylene glycol cornole, polytetramethylendalicol; polyacrylamide derivatives, polymethacrylamide derivatives, poly (N-vinylpyrrolidone) Amides, such as poly (N-acetylethylene); Polyvinyl alcohol; Polyvinyl acetate; Polymethyl methacrylate, Polyethyl acrylate, etc.
  • Esters of polyacrylic acid derivatives such as methyl methacrylate and ethyl methyl methacrylate, and esters of polyprolactone; Polyanhydrides such as polymalonyloxide, polyaziboyloxide, polypimeloynorreoxide, polysuberoyloxide, polyazelaoyloxide, and polysebacyloxide; polyimides; Urethanes; polyureas; and polycarbonates.
  • the constituent components of the above polymer such as an ethylene glycol / propylene glycol copolymer, an atalinoleamide / acrylic acid copolymer, and a vinyl alcohol / vinyl acetate copolymer.
  • any copolymer or any other monomer such as ethylene Z-acrylic acid copolymer, vinyl chloride / vinyl acetate copolymer, etc. Is also good.
  • the degree of polymerization of these polymers is It is selected from among 8 to 350, 000. It is preferable that the basic skeleton of the polymer be an aliphatic one because it can be easily changed to an insulator layer composed of a porous silicon oxide thin film by heat treatment as described later.
  • Particularly preferred are polyethers such as polyethylene glycol, polypropylene blends, and polymethylene blends.
  • the organic polymer has an intramolecular structure. It preferably has at least one polymerizable functional group.
  • the organic polymer contained in the obtained silicon oxide-organic polymer composite thin film has a graphitic structure and / or a three-dimensional network structure.
  • the polymerizable functional group may be a vinyl group, a vinylidene group, a vinylene group, a glycidyl group, an aryl group, or an acrylate.
  • polymerizable functional groups may be in the main chain, at the terminal or in the side chain of the polymer. It may be directly bonded to the polymer chain, or may be bonded through a spacer such as an alkylene group or an ether group.
  • One polymer molecule may have one type of functional group, or may have two or more types of functional groups. Listed above Among the functional groups, vinyl group, vinylidene group, vinylene group, glycidyl group, aryl group, acrylate group, methacrylate group, acrylate group Groups and methacrylamide groups are preferred.
  • organic polymer having a polymerizable functional group examples include polyethylene glycol acrylate, polyethylene glycol diacrylate, polyethylene, and the like. Leng cornole methacrylate, polyethylene glycol methacrylate, polyethylene glycol alkyl ether acrylate, polyethylene glycol alkyl ether methacrylate Relate, Polyethylene glycol vinyl ether, Polyethylene glycol vinyl ether, Polyethylene glycol glycidyl ether, Polyethylene glycol glycidyl ether, Polypropylene glycol ether, Polypropylene Leng Recall Cry Rate, Polypropylene Recognition Cle Re , Polypropylene Glyco Renate Crate, Polypropylene Glyco / Regimenta Cleate, Polypropylene Glycolate Cleacrylate, Polyether Cleate Propylene glycol alkyl ether methacrylate, polypropylene propylene glycol butyl ether, polypropylene propylene glycol vinyl ether, and the like. Leng corn
  • Tacrylate poly (ethylene glycol) copolymer acrylate, poly (ethylene glycol) alkyl ether acrylate, poly (ethylene glycol) alcohol acrylate, poly (ethylene glycol) glycol Ether, Polyethylene glycol dibutyl ether, Polyethylene glycol diglycidyl ether, Polyethylene glycol diglycidyl ether, Polypropylene glycol alcoholate, Polypropylene glycol diacrylate, Polypropylene Polypropylene glycol methacrylate, polypropylene glycol dimethacrylate, polypropylene glycol alcohol acrylate, polypropylene glycol alkyl ether methacrylate Polypropylene glycol vinyl ether, Polypropylene glycol resin biether ether, Polypropylene glycol glycol resin ether, Polypropylene blend alcohol Noresiglycidyl ether and the like are particularly preferably used.
  • the amount of the organic polymer added is 10 to 10 parts by weight of the silicon compound. It is 2 to 100 parts by weight, preferably 10 to 10 to 10 parts by weight, and more preferably 10 to 11 to 5 parts by weight. If the amount of the organic port re-mer 1 0 one 2 parts by Li small, can the resulting porous Kei-containing oxide empty porosity is small rather Li thin film, and this to obtain the desired specific dielectric constant Absent. On the other hand, if the amount is more than 100 parts by weight, the strength of the obtained porous silicon oxide thin film is small, and the practicality is poor.
  • any organic monomer may be used.
  • the obtained organic polymer in the silicon oxide-organic polymer composite thin film has a graph structure and a Z or three-dimensional network structure.
  • Acrylic acid and methacrylic acid derivatives such as lenvis methacrylate, ⁇ -cyanoacrylinoleic acid, and ⁇ -cyanoacrylinoleate
  • butyl acetate, vinyl propionate Vinyl acetate, vinyl benzoate, vinyl benzoate, etc .
  • Amino compounds such as quinolemethine crystallinoleamide, N, N'-methylene bisacrineoleamide, N-vinylpyrrolidone, N-bulformamide, N_bulassamide, etc.
  • Styrene hi-methyl styrene, p-methoxy styrene, dipheninoleethylene, bininolenaphthalene, bininolean tracene, vininolecyclopentane, vininolecyclohexane, and vinyl-12-nonolenone benzene.
  • hydrocarbons Containing hydrocarbons; acrylonitrile derivatives such as ata- lonitrine linole and metal-trinole; N Buramines such as vinyl pyridine, N-vinyl carbazole, and N-butyl imidazole; vinyl alkynoleate; vinyl alkynoleketone; glycidyl acrylate; Glycidyl acrylate; and epoxy resin.
  • the organic polymer having no polymerizable functional group, the organic polymer having a polymerizable functional group, and the polymerizable organic monomer may be used alone or in combination of two or more. .
  • the above-mentioned organic polymer and organic monomer can be used in combination.
  • the addition amount thereof is 1 0 one half to one 0 0 parts by weight to Kei-containing compound, 1 part by weight, the preferred and rather 1 0 one 1 to 0 parts by weight, More preferably, 10 to 1 to 5 parts by weight of the organic polymer is polymerizable with the above-mentioned organic polymer.
  • the organic material contains an organic polymer having a polymerizable functional group, a polymerizable organic monomer, or a mixture thereof, the silicon compound is generally used.
  • the organic material is subjected to a polymerization reaction before, simultaneously with or after the hydrolysis and dehydration condensation of.
  • the polymerization is performed in order to promptly proceed the polymerization reaction.
  • An initiator may be added.
  • Polymerization initiators include thermal radical generators such as azo compounds and organic peroxides, diazo compounds and azide compounds; photoradical initiators such as acetate phenone derivatives; Known compounds such as a photobase generator can be used. These may be used alone or in combination of two or more. Thermal polymerization and photopolymerization using an initiator are performed by a known method.
  • the addition amount of the polymerization initiator, polymerizable 1 0 one third to one part by weight relative to the organic Po Li mer and / or polymerizable organic mono- mer 1 part by weight having a functional group, is preferred properly 1 0 2 to 1 0 - 1 parts by weight.
  • the alkoxysilane to be used may be tetramethoxysilane, tetraethoxysilane, tetra (n-propanol).
  • Ropox) Silane, Tetra (I-Proboxy) Silane, Tetra Tetraalkoxysilanes such as la (n-butoxy) silane and tetra (t-butoxy) silane are preferably used.
  • alkoxy silane oligomers called ethyl silicate, methyl silicate, etc., trimethoxy silane, triethoxy silane, methyl trimethoxy silane, methyl trisilane, etc.
  • the present invention It is included in the alkoxysilane used in the above.
  • a product obtained by converting the alkoxy group of the alkoxysilane to a hydroxy group or a product obtained by converting the alkoxysilane into an oligomer may be used. These may be used alone or as a mixture of two or more. Furthermore, in order to modify the preliminary insulator layer composed of the obtained silicon oxide-organic polymer-composite thin film and the preliminary insulator layer composed of the porous silicon oxide thin film, Alkoxysilanes having 2-3 hydrogens, alkyl groups or aryl groups on the elemental atoms can be mixed with the above alkoxysilanes. The amount to be mixed is the total number of moles of the alkoxysilane silane compound. 80% or less. If it exceeds 80%, it may not gel.
  • a solvent is not essential for the mixture of the silicon compound and the organic material, which are raw materials for forming the silicon oxide-organic polymer composite thin film, but generally, the mixture of the alkoxysilane and the organic material is used. Since organic polymers are hardly compatible, it is necessary to use a solvent that dissolves both. Specific examples of the case where no solvent is used include the case where liquid polyethylene glycol (number average molecular weight: 400 to 1,000) and tetraethoxysilane are used, Examples include the use of liquid ⁇ , ⁇ -dimethylacrylamide (monomer) and tetraethoxysilane. In these cases, a solvent is not required because they are uniformly mixed.
  • the solvent can be used without any particular limitation as long as it can finally dissolve both the silicon compound and the organic material.
  • an alkoxysilane is used as a silicon compound, even if the alkoxysilane is insoluble, it can be partially hydrolyzed and dissolved by forming a mixture with the alkoxysilane. Can be used as well. For example, even if tetraethoxysilane is added to a mixed solvent of DMF ( ⁇ , ⁇ -dimethylformamide) and ethanol (mixing ratio: 6/4), the mixture is separated into two layers without mixing, but there is a trace amount.
  • solvents may be mixed or mixed with any other solvents or additives, such as leveling agents (which increase coating uniformity), adhesion improvers, polymerization initiators, acid generators, alkali generators, oxidizers. Agents and the like may be mixed.
  • formamide N—methylformamide, N—ethylformamide, N, N—dimethylformamide, N, N—getylformamide, N—methylacetamide, N— N-N-N-dimethyl-acetamide, N, N-Jetyl-acetamide, N-Methyl-pyrrolidone, N-Horminolemorpholin, N-Acetyl-morpholin, N-forminoreviperidine, Amides such as N—acetylbiperidine, N—forminolepyrrolidine, N—acetylpyrrolidine, N, N′—diforminolepiperazine, N, N′—diacetylbiperazine, tet Layers such as lamethyl urea and N, N'—dimethyl imidazolidinone are the only transparent and homogeneous silicon oxides. It is particularly preferable to obtain an organic polymer composite thin film or a porous silicon oxide thin
  • a catalyst is not necessarily required for the hydrolysis and dehydration condensation reaction of the silicon compound, but a catalyst is used to promote the reaction. It may be added.
  • the catalyst include acids such as hydrochloric acid, nitric acid, sulfuric acid, formic acid, acetic acid, oxalic acid, and maleic acid, ammonia water, potassium hydroxide, sodium hydroxide, and triethylamine. , Triethanolamine, pyridin, pyridin, colin and other alcohols. These may be used alone or in combination of two or more. It is also possible to use two or more types in stages.
  • the term “stepwise” as used herein refers to, for example, treating with an acid catalyst before adding a base catalyst or vice versa.
  • the amount of these catalysts is 1 mole or less relative to Kei-containing compound to 1 mole, the preferred properly 1 0 - 1 mole or less is suitable. If the amount is more than 1 mol, precipitation may occur, and a uniform porous silicon oxide thin film may not be obtained.
  • the hydrolysis of alkoxysilane in a thin film of a mixture of a silicon compound and an organic material is caused by water as a solvent when the above-mentioned catalyst is an aqueous solution, and is also present in the surroundings without adding water. If enough water vapor is available, it can be used. If necessary, water may be added separately. Preferred .
  • the addition amount of well water is, 0 for Kei MotoHara child 1 mole that is included in the Arco Kishishira down 3 to 1 0 4 Monore, Ri and rather than the preferred good:! 110 mol. 1 0 4 mol by Li often the homogeneity of Kei-containing oxide first organic poly mers composite thin film obtained may be lowered.
  • the method of applying a mixture of a silicon compound and an organic material, which is a liquid or a solution prepared in this way, on a substrate to obtain a thin film is performed by a known method such as casting, rotation, and immersion.
  • the surface of the substrate may be treated in advance with an adhesion improver.
  • an adhesion improver in this case, those used as so-called silane coupling agents or aluminum chelate compounds can be used.
  • adhesion improvers When applying these adhesion improvers, they may be diluted with a solvent containing other additives, for example, water, an acid, an alkali or the like, if necessary, and then used.
  • a solvent containing other additives for example, water, an acid, an alkali or the like.
  • the treatment with the adhesion improver can be performed by a known method, for example, by a method described in a product catalog of a commercially available silane coupling agent.
  • the temperature of the gelation reaction of the silicon compound is not particularly limited, but is usually in the range of 0 to 180 ° C, preferably 30 to L: 50 ° C. If it is too low, the reaction rate is low, and it takes a long time to crosslink sufficiently.On the other hand, if it is too high, voids are easily formed in the silicon oxide-organic polymer composite thin film and the resulting porosity The homogeneity of the silicon oxide thin film also decreases.
  • the time required for gelling the silicon compound varies depending on the gelling temperature, the amount of catalyst, and the like, but is usually in the range of several minutes to several days.
  • the organic material When an organic polymer having a polymerizable functional group, a polymerizable organic monomer, or a mixture thereof is used as the organic material, these polymerization reactions can be accelerated by heating.
  • the heating temperature is selected from the range of 20 to 200 ° C according to the type of the polymerizable functional group contained in the organic polymer or organic monomer. It is. If the polymerizable functional group or the polymerizable organic monomer contained in the organic polymer used is photopolymerizable, the reaction can be advanced by light irradiation.
  • a polymerization initiator is added, polymerization is promoted using a known method such as heating or light irradiation according to the type of the initiator.
  • the above-described hydrolysis and dehydration condensation of the silicon compound are performed by the above-described method.
  • the polymerization reaction of the organic polymer and / or the organic monomer may proceed first or simultaneously, depending on the type and amount of the catalyst and polymerization initiator used, and It depends on the reaction conditions.
  • a gelation reaction of a silicon compound and in some cases, a polymerization reaction of a polymerizable functional group of an organic polymer, a polymerizable organic monomer, or a mixture thereof are performed using a solvent.
  • a solvent-containing silicon oxide-organic polymer composite thin film can be obtained. Remove.
  • the drying temperature varies depending on the type of the solvent, but is usually in the range of 30 to 250 ° C.
  • a gelation reaction of the silicon compound or, in some cases, polymerization occurs in parallel. Controlling the order of reaction and solvent removal depends on the type of silicon compound, the type of polymerizable functional group contained in the organic polymer, the type and amount of catalyst, the vapor pressure of the solvent, the closed system or the open system. It can be determined by selecting the atmosphere, etc. under normal conditions.However, under normal conditions, the gelation reaction of the silicon compound, and in some cases, at the time when the reaction of the above organic polymer or organic monomer is completed Solvent has been removed.
  • the preliminary insulator layer composed of the silicon oxide-organic polymer composite thin film thus obtained is composed of a silicon oxide in which the organic polymer is dispersed, which will be described later.
  • a groove defining a circuit pattern is formed in the preliminary insulator layer, and a metal layer functioning as a wiring is formed in the groove.
  • the silica is heated by the heat treatment described later.
  • the organic polymer is removed from the organic polymer composite thin film to make the preliminary insulating layer porous, and the preliminary insulating layer is changed to an insulating layer made of a porous silicon oxide thin film. Then, a wiring structure including an insulator layer on the substrate is obtained.
  • a fine processing method using a known lithography method can be particularly preferably used.
  • an example of a method for performing the method of the present invention using the lithography method will be described.
  • a preliminary insulator layer formed of a silicon oxide-organic polymer composite thin film is formed on a substrate, and a photo resist is applied thereon.
  • a structure as shown in FIG. 1 is obtained.
  • the photo resist layer of this structure is exposed through a mask having a desired pattern through a pattern forming exposure to form a latent image, developed, and developed to form a photo resist having the pattern.
  • Get the layers see Figure 2).
  • the type of the photo resist, the exposure method, and the development method are not particularly limited, and known methods are used.
  • An example of a commercially available photoresist is the TDUR series manufactured by Tokyo Ohka Kogyo Co., Ltd., Japan.
  • Examples of the light source used for exposure include a KrF excimer laser, a mercury lamp g-line and an i-line, an ArF excimer laser, and the like.
  • As an example of the developing solution used for the development an aqueous solution of tetramethylammonium hydroxide can be given.
  • a portion of the preliminary insulator layer composed of the silicon oxide-organic polymer composite thin film, which is not protected by the photo resist, is removed by etching. This forms a groove in the preliminary insulator layer that defines the circuit pattern.
  • Etching methods include plasma etching and reactive ion etching. Touching, downflow etching, snow, etc. Etching is used.
  • the above-mentioned etching is carried out by washing with the developing solution. It can be carried out. Next, the photoresist is removed by washing with a solvent (photoresist stripper) or plasma irradiation (see Fig. 4). Subsequently, as shown in FIG. 5, a metal functioning as a wiring is deposited in the groove of the preliminary insulator layer.
  • metal to be deposited It is preferable to use aluminum, copper, silver, tungsten, titanium, or the like as the metal to be deposited. These metals may be used alone or in combination of two or more.
  • a barrier layer may be formed on the surface of the preliminary insulator layer before depositing the metal, and the barrier layer may be interposed between the preliminary insulator layer and the metal.
  • a conductive material such as titanium nitride or an insulating material such as silicon nitride or silicon oxide is used for the NOR layer.
  • Known methods for depositing metal and barrier layers include physical deposition methods such as sputtering, and electrical methods such as CVD (chemical vapor deposition), electrolytic plating, and electroless plating. This can be done in the following manner.
  • a method for depositing a metal there is also a method of depositing a metal by applying a solution of a metal-organic substance (meta-mouth augment) obtained by a chemical bond between the metal and the organic substance, followed by firing.
  • a metal organic substance solution there is “Metaguchi Orugix” manufactured by Tanaka Kikinzoku Kogyo Kogyo Co., Ltd. of Japan. You.
  • the excess metal film (metal deposited on the portion other than the groove of the preliminary insulator layer) of the deposited metal is removed.
  • suitable methods for removing excess metal include an etch-back method using plasma or the like and a chemical mechanical polishing (CMP) method, with the CMP method being particularly preferred.
  • the selective deposition method or the like when used as a method for depositing a metal in the groove of the preliminary insulator layer, only the groove of the patterned preliminary insulator layer shown in FIG. 4 is used. Since the metal can be deposited on the substrate, the structure shown in FIG. 6 can be directly created from the structure shown in FIG. 4 without passing through the structure shown in FIG.
  • the selective plating method reference can be made to WO98 / 40910.
  • the preliminary insulator layer is made porous by removing the organic polymer from the silicon oxide-organic polymer composite thin film of the preliminary insulator layer, as shown in FIG.
  • a wiring structure of the present invention including a substrate, an insulating layer formed of a porous silicon oxide thin film having a groove, and a circuit formed of a metal layer formed in the groove. It can be.
  • Examples of the method for removing the organic polymer include heating, solvent extraction, and plasma treatment. The simplest method is to heat the polymer to a temperature higher than the polymer decomposition temperature for 1 minute to several days. This is a method in which the organic polymer is decomposed by holding.
  • the temperature for decomposing the organic polymer is The temperature is set depending on the type of metal and organic material used, and is selected within a range of a temperature not lower than the temperature at which the organic polymer can be decomposed and a temperature lower than the temperature at which the metal layer is fluidized.
  • the temperature for decomposing the organic polymer is preferably 100 to 450 ° C.
  • the temperature is preferably in the range of 150 to 400 ° C.
  • the method of the present invention can be carried out without using a photoresist. That is, a raw material mixture containing an organic polymer having a polymerizable functional group and a photopolymerization initiator is applied on a substrate to form a preliminary insulator layer, and the obtained preliminary insulator layer has When light irradiation (pattern forming exposure) is performed through a mask having a pattern to polymerize and then developed, a preliminary insulator layer having an arbitrary pattern of grooves as shown in FIG. 4 can be obtained. it can. Subsequent steps can be performed in the same manner as described above.
  • silylating agents that can be used Trimethyl methoxysilane, Trimethyl ethoxy silane, Dimethyl dimethyl silane, Dimethyl ethoxy silane, Methyl methoxy silane, Methyl trimethoxy silane, Methyl triethoxy silane , Dimethyl ethoxy silane, methyl ethoxy silane, dimethyl vinole methoxy silane, dimethyl vinole ethoxy silane, diphenyl dimethyl ethoxy silane, diphenyl ethoxy silane, diphenyl ethoxy silane, phenyl ethoxy silane, phenyl Alkoxy silanes such as trimethoxy silane, phenyl triethoxy silane, trimethyl chloro silane, dimethyl chloro silane, methinole trichloro silane, Chinoresicuro mouth silane
  • the damascene method has an advantage that a metal having a low resistivity such as copper or silver can be used as a wiring material and that it is suitable for manufacturing a multilayer wiring structure.
  • a wiring structure having a porous silicon oxide insulating layer having a low relative dielectric constant is extremely formed. It can be easily manufactured, and the formed porous silicon oxide insulating layer is not damaged, and problems such as entry of an etching gas and metal fine particles into the holes do not occur.
  • the wiring capacity can be reduced and a wiring made of a metal having a low resistivity such as copper or silver can be used. Wiring delay can be significantly reduced as compared with the structure.
  • the wiring structure obtained by the method of the present invention can be very advantageously used, for example, for manufacturing a multilayer wiring board or a semiconductor device.
  • Example 1 Example 1
  • the obtained solution was placed on a silicon wafer on which a thermal oxide film (SiO 2 film) having a thickness of 1.2 ⁇ was formed in advance, and a spin coater (Mikasa, Japan, 1H- Using a 360 SS pin coater), spin-coat for 10 seconds at a speed of 1,500 revolutions per minute, in air at 120 ° C for 1 hour, and then at 180 ° C. And heated for 1 hour to obtain a preliminary insulator layer composed of a silicon oxide-organic polymer composite thin film having a thickness of 0.8 ⁇ m.
  • a spin coater Moikasa, Japan, 1H- Using a 360 SS pin coater
  • a photo resist (THMR-iP3650, manufactured by Tokyo Ohka Kogyo Co., Ltd., Japan) is applied on the obtained thin film at a thickness of 1 ⁇ 0.5 ⁇ . Then, test using an exposure apparatus (I-line stepper FPA 30000 i4, manufactured by Canon Inc., Japan). The turn is transferred to a photo resist, which is then developed with a 2.38% by weight aqueous solution of tetramethylammonium hydroxide at 23 ° C. for 60 seconds to obtain a photo resist. The part exposed to the light was removed, and a photo resist image of a test turn and a ° turn was obtained. The test turn and the ° turn will be described later.
  • the photo resist image of the obtained test pattern was used as a mask (protective layer), and was etched using a reactive ion etcher (DEA506, manufactured by Anelva Japan).
  • the test pattern was transferred by removing the silicon oxide-organic polymer composite thin film other than the part masked by the resist. At this time, carbon tetrafluoride was used as an etching gas.
  • LS tandard cu ic centimeter per minute the flow rate of gas flowing per minute, expressed by volume at its standard state (0 ° C, 1 atm)] and oxygen 1 OSCCM
  • the total pressure was adjusted to 30 Pa.
  • the power is 300 W and the etching time is 20 minutes.
  • it was treated with an asher (MPC600, manufactured by Mori Engineering Co., Ltd., Japan) at 50 Pa, 50 ° C, and 150 W power for 15 minutes.
  • the photoresist was completely removed by oxidizing the photo resist.
  • a 30-nm-thick titanium nitride film was formed using 313H), and a 50-nm-thick copper film was formed thereon.
  • the titanium nitride film uses a titanium target and is a mixed gas of argon and nitrogen.
  • Total pressure of 0.27 Pa, partial pressure ratio of 50:50 and a copper film was formed using a copper target.
  • the film was formed by a sputtering method of 0.27 Pa).
  • the power is all 400 W.
  • the titanium target or the copper target is formed by shaping a titanium or copper rolled plate and bonding it to a copper plate with solder, and impinging a gas such as argon on the plate in the vacuum. As a result, titanium or copper atoms or clusters can be scattered and attached to the substrate.
  • polishing pressure is 4 psi
  • the har rotation speed was 30 rpm
  • the polishing time was 60 seconds.
  • the test pattern used had a pattern in which two grooves having a width of 0.5 ⁇ were arranged in parallel with a spacing of 0.3 ⁇ . Finally, the groove part becomes the metal wiring, and the part between the grooves becomes the insulating layer separating the metal wiring. Also, pads (grooves) which are ultimately electrodes are formed at the respective ends on the same side of the two groove portions.
  • the line capacitance of the obtained wiring structure was measured using an RF impedance Z material 'analyzer (HP 4291A, manufactured by Hurette Packard, USA). It was 101 pF / mm. Comparative Example 1
  • a wiring structure was manufactured in the same manner as in Example 1 except that the heat treatment was not performed for 1 hour in a nitrogen atmosphere at 400 ° C. Profit In the wiring structure thus obtained, the preliminary insulator layer composed of the silicon oxide-organic polymer composite thin film functions as it is as the insulating layer of the metal wiring.
  • a silicon oxide-organic polymer composite thin film was formed in the same procedure as in Example 1 except that the spin-coating conditions were changed so that the film thickness became 0.7 ⁇ instead of 0.8 ⁇ .
  • a preliminary insulator layer consisting of was formed. This was heat-treated in a nitrogen atmosphere at 400 ° C for 1 hour in the same manner as in Example 1, and only the organic polymer of the silicon oxide-organic polymer composite thin film was burned off to remove the porous polymer.
  • An insulator layer composed of a silicon oxide thin film was obtained. On this, a silicon dioxide film having a thickness of 0.1 ⁇ was formed by a chemical vapor deposition method. Then, a test pattern was formed, a copper layer was formed, and an excess copper layer was removed in the same manner as in Example 1 to obtain a wiring structure.
  • the structure of the finally obtained test pattern is substantially the same as that of Example 1. Considered to be identical.
  • Example 2 When the line capacitance of the obtained wiring structure was measured, it was 0.109 pF / mm. From this result, it can be seen that the line capacitance is larger than that of Example 1 even though the porous silicon oxide thin film formed under the same conditions as in Example 1 is used as the insulating layer. I understand. This is considered to be because a dense silicon dioxide film having a high relative dielectric constant and used for coating for protecting the porous silicon oxide thin film as an insulating layer exists between the metal wirings.
  • Example 2
  • the obtained solution was applied onto a silicon wafer on which a titanium nitride thin film had been formed in advance, using a spin coater (1H-360 SS pin Coater, manufactured by Mikasa Japan) at 1,5 / min. Spin coating at a speed of 100 rpm, and heat in air at 120 ° C for 1 hour and then at 180 ° C for 1 hour to obtain silicon oxide-organic polymer composite thin film Was.
  • the titanium nitride thin film on the silicon wafer was reacted with a mixed gas of argon and nitrogen (total pressure of 0.227 Pa, partial pressure ratio of 50:50) using a titanium target.
  • the film was formed by reactive sputtering.
  • the resulting thin film was heated in a nitrogen atmosphere at 400 ° C for 1 hour, and only the organic polymer of the silicon oxide-organic polymer composite thin film was removed by burning. It was changed to a porous silicon oxide thin film.
  • the thickness of the obtained thin film was 0.50 ⁇ .
  • Aluminum is passed through a mask on the surface of this thin film.
  • An electrode having a diameter of 1.7 mm was produced by vacuum evaporation of a drum. Using this sample, the relative dielectric constant of the porous silicon oxide thin film at 1 MHz was measured and found to be 2.01.
  • a sample containing a silicon oxide-organic polymer composite thin film with a thickness of 0.41 m was applied and heated at 120 ° C for 1 hour and then at 180 ° C for 1 hour. I got The obtained sample was heated for 1 hour in a nitrogen atmosphere at 450 ° C, and only the organic polymer of the silicon oxide-organic polymer composite thin film was burned off to remove the sample. It was changed to a crystalline silicon oxide thin film.
  • the film thickness of the obtained thin film was 0.32 m, and the decrease in the film thickness compared to before the removal of the organic polymer was 22%.
  • Reference example 3 Instead of using polyethylene glycol monomethacrylate, other than using polyethylene glycol (number average molecular weight: 200,000) having no polymerizable functional group in the molecule Performed the same operation as in Reference Example 2 to obtain a sample containing a silicon oxide-organic polymer composite thin film having a thickness of 1.451 / im on a silicon wafer. The obtained sample was heated in a nitrogen atmosphere at 450 ° C for 1 hour, and only the organic polymer of the silicon oxide-organic polymer composite thin film was burned off to remove the porous silicon. Changed to an oxide thin film. The film thickness of the obtained thin film was 1.07 ⁇ m, and the decrease in film thickness as compared to before the removal of the organic polymer was 26%.
  • the capacitance [line capacitance (1ine-10-line capacitance)] between adjacent wirings can be reduced, and copper or silver can be used. Since a wiring made of a metal having a low resistivity can be used, the transmission delay of electric signals (wiring delay) can be greatly reduced as compared with a conventional wiring structure.
  • the above-mentioned excellent wiring structure is formed by an industrially advantageous damascene method (an advantage that a low-resistance metal such as copper or silver can be used as a wiring material). It has the advantage of being suitable for the production of multilayer wiring boards), which makes it possible to produce easily and efficiently.
  • the multilayer wiring board and the semiconductor element including the above-described excellent wiring structure obtained by the method of the present invention exhibit little wiring delay and exhibit excellent performance.

Description

明 細 書 配線構造体の製造方法 技術分野
本発明は、 配線構造体の製造方法に関する。 更に詳しく は、 本発明は、 多孔性ケィ素酸化物薄膜からなる絶縁体層を有す る配線構造体の製造方法であって、 ( 1 ) 基板上に、 ケィ素 酸化物中に有機ポ リ マーが分散してなるケィ素酸化物一有機 ポリ マ一複合体薄膜からなる予備的絶縁体層を形成し、 ( 2 ) 該予備的絶縁体層に回路用のパターンを規定する溝を形成し、
( 3 ) 該溝の中に配線と して機能する金属層を形成し、 そ し て ( 4 ) 該予備的絶縁体層から該有機ポ リ マーを除去して該 予備的絶縁体層を多孔化して、 該予備的絶縁体層を多孔性ケ ィ素酸化物薄膜からなる絶縁体層に変える、 こ と を包含する こ と を特徴とする方法に関する。 本発明の方法によって得ら れる配線構造体においては、 隣接する配線間の容量 [線間容 量 (l ine- t0 - l ine capaci tance) ] 力 ^低減でき、 且つ、 銅 や銀な どの低抵抗率の金属からなる配線を使用でき るので、 従来の配線構造体と比べて電気信号の伝達遅延 (配線遅延) を大幅に低減でき る。 更に、 本発明の方法によれば、 上記の 優れた配線構造体を効率的に製造する こ とができ る。 また、 本発明は、 上記の優れた配線構造体を包含する多層配線板、 及び上記の優れた配線構造体を包含してなる半導体素子に関 する。 従来技術
従来、 L S I な どの半導体素子の多層配線に用いる絶緣層 の素材と して、 非多孔性 ( n o n — p o r o u s ) ケィ素酸 化物、 またはフ ッ素や有機基を導入したケィ素酸化物が用い られてきた。 しかし、 これらの素材の比誘電率の値は比較的 大きい。 近年、 L S I などの半導体素子の配線は高密度化の 一途をたどってお り 、 これに伴って基板上の隣接する配線間 の距離が狭まっている。 その結果、 隣接する配線がキャパシ ターと して機能し得る よ う になる。 この時、 絶縁層の誘電率 が高いと キャパシターの静電容量が増大し、 その結果配線を 通じて伝達される電気信号の伝達の遅延 (いわゆる配線遅延) が問題となっている。 このため、 ケィ素酸化物と有機ポリ マ 一を複合化させて比誘電率を低下させた リ 、 ケィ素酸化物を 多孔化して、 比誘電率の値がおよそ 1 である空気と の複合体 に して比誘電率を低下させる と い う試みがな されている。
今後さ らに L S I などの半導体素子の配線の密度が増加す る と、 配線遅延の低減が更に重要になる。 そのため、 配線遅 延のも う一つの原因である配線に用いる金属の抵抗の問題を 解決するために、 配線の素材と して、 従来用いられているァ ノレ ミ ニゥムに代わ リ 銅ゃ銀に代表される よ う な低抵抗率の金 属を用いる こ と が必要になる。 しかし、 配線構造体の製造の ための従来のプロ セスではこれは非常に困難である。 即ち、 従来の製造プロセスにおいては、 まず基板上の全面に金属層 を形成 してから、 金属層の う ち配線と なる部分の上にフォ ト レジス ト パターン (保護層) を形成し、 フォ ト レジス トパタ ーンによって保護されていない金属を従来のエッチング方法 で除去する こ と によ って配線を形成し、 更にその配線の周囲 に絶縁層を形成する ものである。 従来のエッチング方法は、 除去すべき金属と高蒸気圧化合物を形成可能な物質を用いる もので、 この場合は、 金属層の表面の う ちフォ ト レジス ト ターンによ って保護された部分は侵される こ と なく 、 保護さ れていない部分のみを高蒸気圧化合物に変えて選択的に除去 でき る。 しかし、 銅や銀に代表される よ う な低抵抗率の金属 の場合は、 これら金属は高蒸気圧化合物を形成できず、 低蒸 気圧の化合物 しか形成でき ないので、 上記の従来のェ ッチン グ方法によって除去する こ とができない。 従って、 従来のプ ロセスではこれらの低抵抗率の金属を用いる こ とができない。 上記の問題を解決するために、 いわゆるダマシン法
(damascene process) が提案されている。 ダマシン法にお いては、 まず基板上に絶縁層を形成し、 これに、 配線用の金 属を受け入れるための溝を形成してから、 その溝を完全に埋 める よ う に絶縁層表面全体に配線用の金属層を形成した後、 その溝の中以外に形成された金属層を、 プラズマを用いるェ ツチバックや、 化学機械研磨 ( C M P ) によって取 リ 除き、 絶縁層 とその溝の中に形成された金属層 (配線となる金属) を露出させる こ と よ って配線を形成する (ダマシン法に関し 飞 (.ま、 例 は、 Int e rnat iona l el ec t ron Dev i ce Meet ing Techn i ca l Diges t ( 1 9 9 7 ) p . 773— 776及び日本国特 開昭第 6 2 — 1 0 2 5 4 3 号が参照でき る) 。 こ のよ う に、 ダマシン法においては、 配線の形成に従来のェ ッチング方法 を用いる必要がな く 、 プラズマを用いるエ ッチバッ クや、 化 学機械研磨 ( C M P ) を使用でき るので、 配線形成のために 銅や銀な どの低抵抗率の金属が使用でき る。 また、 当業者に と っては極めて周知である力 多層配線の構造体を製造する 場合に、 ダマシン法を用いる と従来のプロ セスによる場合よ リ も少ない工程数で行う こ とができ、 製造コ ス ト を低減でき る とい う大きな利点がある。 即ち、 従来のプロ セスによって 多層配線の構造体を製造する場合、 ある配線層を形成後、 そ の 1 つ上層の配線を形成する際には、 まず上下の層の仕切 リ となる絶縁体層を形成した後、 上下の配線層を接続するため の垂直方向の配線を通すための垂直方向の導通孔のみを形成 する工程を行い、 そ して該導通孔中に垂直方向の金属配線を 形成する工程を行った後、 下層 と 同様に上層の配線を形成す る こ と になる。 これに対して、 ダマシン法によって多層配線 の構造体を製造する場合、 ある配線層を形成後、 その 1 つ上 層の配線を形成する際には、 まず上下の層の仕切 リ と なる絶 縁体層を形成した後、 上下の配線層を接続するための垂直方 向の配線を通すための垂直方向の導通孔の形成と 、 上層の配 線を設けるための溝の形成と を 1 つの工程で行 う こ と ができ 、 また、 その後に該垂直方向の導通孔への金属の堆積と該上層 の配線を設けるための溝を埋めるための金属の堆積を 1 つの 工程で行う こ と ができ、 その後は、 上記のよ う なプラズマを 用いるエッチバッ クや化学機械研磨 ( C M P ) を行って余分 な金属を除去するだけで上層が完成する。 このよ う に、 ダマ シン法は、 配線形成のために銅や銀などの低抵抗率の金属が 使用でき、 また、 多層配線の構造体を製造する場合に従来の プロセスによる場合よ リ も少ない工程数で行う こ とができ る ので、 非常に有利である。
しかし、 従来ダマシン法に用いられているプラズマ CVD ( 化学的気相成長法) で製造したケィ素酸化物からなる絶縁層 は比誘電率が高いため、 配線遅延を十分に低減する こ と はで きないとい う 問題があった。 こ の問題を解決するために、 上 記のプラズマ CVD (化学的気相成長法) で製造したケィ素酸 化物からなる絶縁層よ リ も低い比誘電率を有する素材から構 成された絶縁層を用いる こ とが提案されている。
例えば、 ケィ素酸化物と有機ポ リ マーと の複合体から構成 される絶縁層を用いる こ と が知られている。 上記の有機ポリ マーに関しては、 十分に低い比誘電率を有する絶縁層を得る ために、 比誘電率が低いものを用いる必要がある。 このよ う なポ リ マーの例と しては、 Hedr i ckらが報告 しているパラ キ ノ キサ リ ン (比誘電率 2.70) [Polymer, Vol.34, p4717 (19 93) ] 及び Monkらが報告しているポリ キノ リ ン (比誘電率 2.5)
L P 01 ym e r s for Dielect r ic and Photonic Appl icat ions, p 119 , ( 1993) ] などが挙げられる。
しかし、 上記の複合体では、 十分に低い比誘電率を有する 絶縁層を得る こ と はできない。 そこで、 ケィ素酸化物を多孔 化して空気と の複合体に して比誘電率を低下させる方法が注 目 され、 その試みもいく つか知られている。 例えば、 米国特 許第 5, 4 7 2, 9 1 3号公報においては、 テ ト ラアルコキ シシラ ンをアルコール中で加水分解及び脱水縮合させる こ と によって得られた湿潤ケィ素酸化物ゲル膜を、 シリ ル化剤で ある ト リ メ チルク ロ ロ シラ ンの溶液に浸して表面を撥水化し た後に、 常圧で乾燥する方法によって得られる多孔性ケィ素 酸化物膜を絶緣層 と して用いている。 しかし、 従来、 以下の よ う な理由から、 ダマシン法で多孔性ケィ素酸化物から構成 される絶縁層を有する配線構造体を製造する こ とは非常に困 難であった。
上記米国特許第 5 , 4 7 2 , 9 1 3号公報においては、 上 記の方法で得られた多孔性ケィ素酸化物膜上に非多孔性ケィ 素酸化物で構成される保護層を形成し、 リ ソグラフ ィ 一法を 用いてこ の保護層だけをエ ッチングした後に、 保護層のエツ チングと は異なる条件下で多孔性ケィ素酸化物膜をエツチン グする こ と によ リ 上記したよ う な導通孔を形成 している。 し かし非多孔性ケィ素酸化物で構成される保護層の厚さが少し でも不均一である と 、 その下の多孔性ケィ素酸化物膜までが、 保護層がエッチングされる速度の数倍の速度で不均一にエツ チングされて しま う ため、 保護層の厚さやエッチング条件を 厳密に制御しなく てはな らず、 非常な困難を伴 う と い う 問題 があった。
こ の よ う に一般に多孔性ケィ素酸化物膜を微細加工する こ と にょ リ 、 多孔性ケィ素酸化物膜上に配線を形成しよ う とす る場合、 該多孔性ケィ素酸化物の耐 ドライエッチング性など が乏 しいために、 非常な困難が伴 う。
また、 ダマシン法では、 配線用の金属を受け入れるための 微小な溝を形成した絶縁層の上に金属層を形成する。 従って、 絶縁層 と して多孔性ケィ素酸化物膜を用いた場合、 金属が絶 縁層の孔の中に入 り 込んで しま う 恐れがある。 これも、 多孔 性ケィ素酸化物膜のダマシン法における使用を困難に してい る。
さ らに、 ダマシン法では、 絶縁層の表面に形成された余分 な金属層や絶縁層の一部を除去するためにエッチバッ クある いは化学機械研磨 ( C M P ) を用いる こ とが必須である。 し かし、 多孔性ケィ素酸化物膜を絶縁層 と して用いた場合、 プ ラズマなどを用いたエッチバック を行 う 際に、 多孔性の絶緣 層が露出 してプラズマに曝される とエッチングによ リ 生じた ガスが孔内に残存した リ 、 絶緣層が損傷して しま う。 また、 C M Pでは研磨粒子を含む酸性も しく はアル力 リ 性の水性ス ラ リ ーを用いるので、 多孔性ケィ素酸化物から構成される絶 縁層を有する基板にこの方法を用いる と 、 絶縁層が溶解また は損傷して しま う 恐れがある。
これらの問題を解決するため、 Z i e 1 i n s k i らは、 金属層の 形成及び CMPに先立って、 配線用の金属を受け入れるための 溝を形成した多孔性ケィ素酸化物膜を予め非多孔性ケィ素酸 化物膜からなる保護層で覆っておく 方法を提案している (In t e r n a t ι o n a 1 E l ec t ron Dev i ce Meet ing Technica l Diges t ( 1997 ) p. 936 - 938 )。 この方法によれば、 CMPで研磨される のは保護層であるため、 前述の損傷等の問題は発生しない。 しかも、 上記の溝に関しても、 その側壁が非多孔性ケィ素酸 化物膜で保護されるため、 金属が膜中に入 リ 込む恐れも軽減 される。
しかしなが ら この方法では保護層を形成する工程が増える ため、 配線を形成する工程が複雑になる と い う 問題がある。 さ らにこの方法では、 比誘電率の高い非多孔性ケィ素酸化物 膜が絶縁層の表面の一部や溝部に残存するため、 多孔性ケィ 素酸化物薄膜を用いているにもかかわらず、 十分に低い比誘 電率を達成できない。 発明の概要
このよ う な状況下において、 本発明者らは、 工業的に有利 なダマシン法を用い、 比誘電率が低い多孔性ケィ素酸化物薄 膜からなる絶縁体層を有する配線構造体を、 先行技術に付随 する上記の問題を生ずる こ と なく 容易に製造する方法を開発 すべく 鋭意研究を行った。 その結果、 意外にも、 ( 1 ) 基板 上に、 ケィ素酸化物中に有機ポリ マーが分散してなるケィ素 酸化物一有機ポリ マー複合体薄膜からなる予備的絶縁体層を 形成し、 ( 2 ) 該予備的絶縁体層に回路用のパターンを規定 する溝を形成し、 ( 3 ) 該溝の中に配線と して機能する金属 層を形成し、 そ して ( 4 ) 該予備的絶縁体層から該有機ポリ マーを除去して該予備的絶縁体層を多孔化して、 該予備的絶 縁体層を多孔性ケィ素酸化物薄膜からなる絶縁体層に変える、 こ と を包含する方法によって上記の 目的が達成でき る こ と を 見いだした。 こ の知見に基づき、 本発明を完成した。
従って、 本発明の一つの 目的は、 隣接配線間に生じる容量 (線間容量) が少な く 、 それによ リ電気信号の伝達遅延が少 ない配線構造体を、 工業的に有利なダマシン法 (配線の素材 と して銅や銀などの低抵抗率の金属を使用でき る利点や多層 配線板の製造に好適である とい う利点がある) によって容易 かつ効率的に製造する こ と が可能な方法を提供する こ と にあ る。
また、 本発明の他の 目 的は、 上記の配線構造体を包含する 多層配線板や半導体素子を提供する こ と にある。
本発明の上記及びその他の諸目 的、 諸特徼な らびに諸利益 は、 添付の図面を参照 しなが ら行 う 以下の詳細な説明及び請 求の範囲の記載から明 らかになる。 図面の簡単な説明
図 1 は、 表面にフ ォ ト レジス ト の膜を有する、 基板上にケ ィ素酸化物一有機ポ リ マー複合体薄膜を形成させてなる構造 体の断面図でぁ リ ;
図 2 は、 図 1 の構造体上フォ ト レジス ト膜を露光、 現像す る こ と によ り得られる、 所望の配線パターンに対応する フォ ト レジス ト像を有する構造体の断面図でぁ リ ;
図 3 は、 上記のフォ ト レジス ト像に従って図 2 の構造体の ケィ素酸化物一有機ポ リ マー複合体薄膜をエッチングする こ とによ リ得られる配線用の金属を受け入れるための溝を有す る構造体の断面図でぁ リ ;
図 4 は、 図 3 の構造体からフォ ト レジス ト を除去して得ら れる構造体の断面図でぁ リ ;
図 5 は、 図 3 の構造体の表面に金属層を形成して得られる 構造体の断面図であ リ ;
図 6 は、 図 5 の構造体の表面から余剰の金属を除去して得 られる配線構造体、 あるいは選択メ ツキ法で図 4 の構造体の 溝部分のみに金属層を形成して得られる配線構造体の断面図 であ リ ;
図 7 は、 図 6 の構造体のケィ素酸化物一有機ポ リ マー複合 体薄膜から有機ポ リ マーを除去して得られる多孔性ケィ素酸 化物薄膜からなる絶縁層を有する配線構造体の断面図である。 発明の詳細な説明
本発明によれば、
( a ) 基板、
( ) 上記基板上に形成され、 回路用のパターンを規定す る溝を有する多孔性ケィ素酸化物薄膜からなる絶縁体層、 及 び
( c ) 該溝の中に形成された金属層からなる回路、
を包含する配線構造体の製造方法であって、
( 1 ) 基板上に、 ケィ素酸化物中に有機ポ リ マーが分散し てなるケィ素酸化物一有機ポリ マー複合体薄膜からなる予備 的絶縁体層を形成し、
( 2 ) 該予備的絶縁体層に回路用のパターンを規定する溝 を形成し、
( 3 ) 該溝の中に配線と して機能する金属層を形成し、 そ して
( 4 ) 該予備的絶縁体層のケィ素酸化物—有機ポ リ マー複 合体薄膜から該有機ポ リ マーを除去して該予備的絶縁体層を 多孔化して、 該予備的絶縁体層を多孔性ケィ素酸化物薄膜か らなる絶縁体層に変える、
こ と を包含する こ と を特徴とする方法が提供される。
次に、 本発明の理解を容易にするために、 まず本発明の基 本的諸特徴及び好ま しい態様を列挙する。
1 . ( a ) 基板、
( b ) 上記基板上に形成され、 回路用のパターンを規定す る溝を有する多孔性ケィ素酸化物薄膜からなる絶縁体層、 及 び
( c ) 該溝の中に形成された金属層からなる回路、 を包含する配線構造体の製造方法であって、
( 1 ) 基板上に、 ケィ素酸化物中に有機ポリ マーが分散し てなるケィ素酸化物一有機ポリ マー複合体薄膜からなる予備 的絶縁体層を形成し、
( 2 ) 該予備的絶縁体層に回路用のパターンを規定する溝 を形成し、
( 3 ) 該溝の中に配線と して機能する金属層を形成し、 そ して
( 4 ) 該予備的絶縁体層のケィ素酸化物一有機ポ リ マー複 合体薄膜から該有機ポ リ マーを除去して該予備的絶縁体層を 多孔化して、 該予備的絶縁体層を多孔性ケィ素酸化物薄膜か らなる絶縁体層に変える、
こ と を包含する こ と を特徴とする方法。 2 . 該予備的絶縁体層からの該有機ポ リ マーの除去を光照射 によ る熱処理によって行う 、 前項 1 に記載の方法。
3 . 該ケィ素酸化物一有機ポリ マ一複合体薄膜の該有機ポリ マーが、 グラ フ ト構造を有するポ リ マー及び 3 次元網目構造 を有するポ リ マーからなる群から選ばれる少な く と も 1 種の ポリ マーからなる、 前項 1 又は 2 に記載の方法。
4 . 前項 1 〜 3 のいずれかに記載の方法によって製造される 配線構造体。
5 . 積層された複数の配線構造体を包含する多層配線板であ つて、 該複数の配線構造体の少な く と も 1 つが前項 4 に記載 の配線構造体である多層配線板。
6 . 前項 4 に記載の配線構造体を包含する半導体素子。
以下、 本発明について詳細に説明する。
本発明の方法によ って得られる配線構造体は、 ( a ) 基板、 ( b ) 上記基板上に形成され、 回路用のパターンを規定する 溝を有する多孔性ケィ素酸化物薄膜からなる絶縁体層、 及び ( c ) 上記溝の中に形成された金属層からなる回路、 を包含 する。
本発明の配線構造体の製造方法においては、 まず、 ケィ素 酸化物中に有機ポ リ マーが分散した構造をもつケィ素酸化物 一有機ポ リ マー複合体薄膜からなる予備的絶縁体層を基板上 に形成する。
基板と しては、 シリ コ ンゃゲルマニウムな どの半導体基板、 ガリ ゥムー ヒ素ゃィ ンジゥムーアンチモンな どの化合物半導 体基板の他、 プリ ン ト配線基板用プレプ リ グな どを用いる。 基板の厚みについては特に限定はないが、 半導体基板の場合 は 0 . 1 m m以上のものを用いるのが好ま しく 、 0 . 3 〜 l m mがよ リ好ま しい。 0 . 1 m mょ リ薄いと 、 基板上に形成す る予備的絶縁体層又は多孔性ケィ素酸化物薄膜からなる絶縁 体層の内部応力によ って基板に反 リゃ歪みが生じる可能性が ある。 基板上には予め任意の物質、 例えば、 二酸化ケイ素、 窒化ケィ素、 窒化チタン、 又は窒化タングステン等の膜が形 成されていても よい。 また、 基板上には、 本発明の方法及び /又は公知の方法によって作成した導電性物質、 半導体、 絶 縁性物質等で構成される素子や配線構造が形成されていても よい。
本発明の方法において予備的絶縁体層 と して基板上に形成 されるケィ素酸化物一有機ポ リ マー複合体薄膜と 同 じ構造の 薄膜は、 公知であって、 例えば、 J . Mac romo 1. Sc i . -Chem. , A28 (9) , pp.817 - 829 ( 1991 )に記載されている方法で形成す る こ とができ るが、 次の方法で形成するのが好ま しい。 即ち、 その方法は、
( 1 ) ( i ) アルコキシシラ ン、 及びアルコキシシラ ンと その加水分解物と の混合物からなる群から選ばれるケィ素化 合物と 、 ( i i ) 重合性官能基を有さない有機ポリ マー、 少な く と も 1 つの重合性官能基を有する有機ポ リ マー、 重合可能 な有機モノマー及びこれらの混合物からなる群から選ばれる 有機材料、 と の、 液体又は溶液である混合物を基板に塗布し て、 該基板上にケィ素化合物と有機材料の混合物の薄膜を形 成し、
( 2 ) 該薄膜中の該ケィ素化合物を、 それが十分にゲル化 する程度まで加水分解及び脱水縮合させて、 該ケィ素化合物 を該薄膜中でゲル化させ、
その際、 該薄膜中の混合物の有機材料が、 少な く と も 1 つ の重合性官能基を有する有機ポリ マー、 重合可能な有機モノ マー又はこれらの混合物を含む場合は、 該ケィ素化合物の該 加水分解及び脱水縮合の前かそれと 同時か又はその後で、 該 有機材料を重合反応に付し、 こ う して、 ケィ素酸化物中に有機ポ リ マ一の粒子が分散し てなるケィ素酸化物一有機ポリ マー複合体薄膜を該基板上に 形成する方法である。
しかし、 上記の方法に限定されるわけではない。
次に、 上記の方法を詳細に説明する。
本発明の方法において用いるケィ素化合物は、 アルコキシ シラ ン、 又はアルコ キシシランと その加水分解物と の混合物 が好ま しい。 基板上に塗布したケィ素化合物と有機材料の混 合物の薄膜を水又は蒸気で処理して薄膜中のアルコキシシラ ンを加水分解する と 、 アルコキシシラ ンに含まれるアルコキ シ基が水酸基と な リ 、 脱水縮合反応してゲル化し、 ケィ素酸 化物中に有機ポリ マーが分散した構造をもつケィ素酸化物一 有機ポ リ マー複合体薄膜からなる予備的絶縁体層が得られる。 有機材料とケィ素化合物の混合物が溶液である場合は、 溶媒 の量が溶液全体の重量に対して 0 . 0 5重量%以上である こ とが好ま しい。 ケィ素酸化物一有機ポ リ マー複合体薄膜の膜 厚は 0 . 1 〜 1 0 /z mが好ま しく 、 0 . 2 〜 3 ί πιがよ り好ま しい。 ケィ素酸化物一有機ポリ マー複合体薄膜の厚さが 1 0 μ πιよ リ 大きいと 、 ク ラ ッ クが発生する場合があるので好ま しく ない。
本発明の方法に用いる有機材料は、 重合性官能基を有さな い有機ポリ マー、 少な く と も 1 つの重合性官能基を有する有 機ポリ マー、 重合可能な有機モノ マー、 又はこれらの混合物 が好ま しい。
本発明の方法に用いる こ とができ る重合性官能基を有さな い有機ポリ マーには特に限定はないが、 好適に用いる こ と が でき る有機ポ リ マーの例と しては、 ポリ エチレンダリ コール、 ポリ プロ ピレングリ コーノレ、 ポ リ テ ト ラメ チレンダリ コール などのポリ エーテル類 ; ポ リ アク リ ルア ミ ド誘導体、 ポ リ メ タク リ ルア ミ ド誘導体、 ポ リ ( N — ビニルピロ リ ドン) 、 ポ リ ( N —ァシルエチ レンィ ミ ン) などのア ミ ド類 ; ポ リ ビニ ルアルコール ; ポ リ 酢酸ビニル ; ポリ アク リ ノレ酸メ チルゃポ リ ァク リ ル酸ェチルなどのポリ ァク リル酸誘導体、 ポ リ メ タ ク リ ル酸メ チルやポ リ メ タ ク リ ル酸ェチルなどのポリ メ タ ク リ ル酸誘導体、 ポ リ 力プロ ラク ト ンなどのエステル類 ; ポリ マロ二ルォキシ ド、 ポ リ アジボイルォキシ ド、 ポリ ピメ ロイ ノレォキシ ド、 ポ リ スべロイルォキシ ド、 ポ リ アゼラオイルォ キシ ド、 ポリ セバコイルォキシ ド等のポ リ アンハイ ドライ ド ; ポ リ イ ミ ド類 ; ポ リ ウ レタ ン類 ; ポリ尿素類 ; 及びポ リ カー ボネー ト類などが挙げられる。 また、 例えば、 エチ レンダ リ コール/プロ ピレングリ コール共重合体、 アタ リ ノレア ミ ド / ァク リ ル酸共重合体、 ビニルアルコール/酢酸ビニル共重合 体などの、 上記のポ リ マーの構成成分であるモノマー.ど う し の共重合体や、 例えば、 エチ レン Zアク リル酸共重合体、 塩 化ビニル /酢酸ビニル共重合体な どの他の任意のモノ マーと の共重合体を用いて も よい。 これらのポ リ マ一の重合度は、 8 〜 3 5 0 , 0 0 0 の中カゝら選ばれる。 ポ リ マーの基本骨格 が脂肪族である と 、 後述する よ う に加熱処理によって多孔性 ケィ素酸化物薄膜からなる絶縁体層に変えるのが容易である ので好ま しい。 特に好ま しいのは、 ポ リ エチ レング リ コール、 ポ リ プロ ピ レ ンダ リ コ ー ノレ 、 ポ リ テ ト ラ メ チ レンダ リ コール などのポ リ エーテル類である。
また、 ケィ素酸化物一有機ポリ マー複合体薄膜の機械的強 度を上昇させ、 かつ多孔性ケィ素酸化物薄膜へ変えた と きの 収縮を少なく 抑えるために、 有機ポ リ マーが分子内に少なく と も 1 つの重合性官能基を有しているのが好ま しい。 この場 合、 得られるケィ素酸化物一有機ポ リ マー複合体薄膜に含ま れる有機ポリ マ ーはグラ フ ト構造および または 3次元網目 構造と なる。 重合性官能基を有する有機ポ リ マーを用いる場 合、 重合性官能基と してはビニル基、 ビニ リ デン基、 ビニレ ン基、 グ リ シジル基、 ァ リ ノレ基、 ア タ リ レー ト基、 メ タ ク リ レー ト基、 ァ ク リ ノレア ミ ド基、 メ タ ク リ ノレア ミ ド基、 カルボ キシル基、 ヒ ドロ キ シル基、 イ ソ シァネー ト基、 ア ミ ノ 基、 イ ミ ノ 基、 ハ ロ ゲン基な どが挙げられる。 これらの重合性官 能基はポリ マーの主鎖中にあって も末端にあっても側鎖にあ つてもよい。 またポ リ マー鎖に直接結合していても ょレヽし、 アルキ レン基やエーテル基などのスぺーサーを介して結合し ていて もよい。 1 つのポ リ マー分子が 1 種の官能基を有して いても、 2種以上の官能基を有していて も よい。 上に挙げた 官能基の中で も 、 ビニル基、 ビニ リ デン基、 ビニ レ ン基、 グ リ シジル基、 ァ リ ノレ基、 ァ ク リ レー ト 基、 メ タ ク リ レー ト 基、 ア タ リ ノレア ミ ド基、 メ タ ク リ ルア ミ ド基が好ま しい。
重合性官能基を有する有機ポリ マーの中で好適に用いられ る ものの具体例と して、 ポ リ エチ レング リ コ ールァ ク リ レー ト 、 ポ リ エチ レング リ コールジア タ リ レー ト 、 ポ リ エチ レン グ リ コーノレメ タ ク リ レー ト 、 ポ リ エチ レング リ コールジメ タ ク リ レ一 ト 、 ポ リ エチ レング リ コ ー ノレアルキルエーテルァ ク リ レー ト 、 ポ リ エチ レング リ コールアルキルエーテルメ タ ク リ レー ト 、 ポ リ エチ レング リ コール ビュルエーテル、 ポ リ エ チ レング リ コ ールジ ビエルエーテル、 ポ リ エチ レング リ コ ー ルグ リ シジルエーテル、 ポ リ エチ レング リ コールジグ リ シジ ルエーテル、 ポ リ プロ ピ レング リ コールァ ク リ レー ト 、 ポ リ プロ ピ レング リ コ ー ノレジァ ク リ レ ー ト 、 ポ リ プロ ピ レング リ コ ー ノレメ タ ク リ レー ト 、 ポ リ プロ ピ レング リ コ一/レジメ タ ク リ レー ト 、 ポ リ プロ ピ レンダ リ コールアルキルエーテルァ ク リ レー ト 、 ポ リ プロ ピ レング リ コールアルキルエーテルメ タ ク リ レー ト 、 ポ リ プロ ピ レング リ コールビュルエーテル、 ポ リ プロ ピ レング リ コ ーノレジビニルェ一テノレ 、 ポ リ プロ ピ レン グリ コ一ノレグリ シジノレエーテル、 ポ リ プロ ピ レングリ コール ジグ リ シジルエーテルな どに代表 される 、 末端にァ ク リ レー ト基ゃメ タ ク リ レー ト 基、 ビニル基、 グ リ シジル基な どの重 合可能な官能基をもつ脂肪族ポリ エーテル ; ポ リ ダ リ シジル ァク リ レー ト 、 ポ リ グリ シジルメ タク リ レー ト 、 ポ リ ア リ ノレ ァク リ レー ト 、 ポ リ ア リ ノレ メ タ ク リ レー ト 、 ポ リ ビニルァク リ レー ト、 ポ リ ビニルメ タ ク リ レー トなど、 側鎖にビニル基、 グ リ シジル基、 ァ リ ル基な どの重合可能な官能基を有するポ リ アク リ ノレ酸エステルゃポ リ メ タ ク リル酸エステル ; ポリ ケ ィ皮酸ビュル ; 及びエポキシ樹脂などが挙げられる。 これら の中でも、 後述する加熱処理によって多孔性ケィ素酸化物薄 膜へ変えるのが容易である、 ポリ エチレンダ リ コールァク リ レー ト 、 ポ リ エチレングリ コールジァク リ レー ト 、 ポ リ ェチ レング リ コ ーノレメ タ ク リ レー ト 、 ポリ エチレングリ コーノレジ メ タ ク リ レー ト 、 ポ リ エチレング リ コールアルキルエーテル ァク リ レー ト 、 ポリ エチレングリ コ ールァノレキルエーテルメ タク リ レー ト 、 ポリ エチレングリ コールビュルエーテル、 ポ リ エチレングリ コールジビュルエーテル、 ポ リ エチレングリ コ ーノレグリ シジルエーテル、 ポ リ エチレング リ コールジグ リ シジルエーテル、 ポ リ プロ ピレングリ コールアタ リ レー ト 、 ポ リ プロ ピレング リ コールジァク リ レー ト、 ポ リ プロ ピレン グ リ コールメ タ ク リ レー ト 、 ポ リ プロ ピレング リ コールジメ タク リ レー ト 、 ポ リ プロ ピレング リ コールァノレキノレエーテル ァク リ レー ト 、 ポリ プロ ピレング リ コールアルキルエーテル メ タク リ レー ト 、 ポ リ プロ ピレングリ コールビュルエーテル、 ポリ プロ ピレング リ コーノレジビエルエーテル、 ポ リ プロ ピレ ング リ コールグリ シジノレエーテル、 ポリ プロ ピ レ ンダ リ コ ー ノレジグ リ シジルエーテルな どが特に好適に用いられる。
本発明の方法において、 有機材料と して重合性官能基を有 さない又は有する有機ポ リ マーを用いる場合、 有機ポ リ マ一 の添加量は、 ケィ素化合物 1 重量部に対し 1 0— 2〜 1 0 0重 量部、 好ま し く は 1 0 ―1〜 1 0重量部、 さ らに好ま し く は 1 0一1〜 5重量部である。 上記有機ポ リ マーの添加量が 1 0一2 重量部よ リ少ないと 、 得られる多孔性ケィ素酸化物薄膜の空 隙率が小さ く な リ 、 所望の比誘電率を得る こ と ができ ない。 また、 1 0 0重量部よ リ 多いと 、 得られる多孔性ケィ素酸化 物薄膜の強度が小さ く な リ 実用性に乏 しい。
本発明の方法において、 有機材料と して重合可能な有機モ ノマーを用いる場合、 有機モノ マーと してはどのよ う なもの を用いても よいが、 有機モ ノ マーの中に 2官能性のモ ノ マー が含まれている場合、 得られるケィ素酸化物—有機ポ リ マー 複合体薄膜の中の有機ポ リ マーはグラフ ト構造および Zまた は 3次元網目構造と なる。
好適に用いる こ と ができ る重合可能な有機モ ノ マーと して アタ リ ノレ酸、 メ タク リ ル酸、 アタ リ ノレ酸エステル、 メ タク リ ノレ酸エステル、 エチ レン ビスアタ リ レー ト 、 エチ レン ビス メ タ ク リ レ ー ト 、 α —シァノ アク リ ノレ酸、 α —シァノ アク リ ノレ 酸エステルなどのァク リ ル酸およびメ タ ク リ ル酸誘導体 ; 酢 酸ビュル、 プロ ピオン酸ビニル、 ク ロ ト ン酸ビュル、 安息香 酸ビニル、 ク ロ 口 ギ酸ビ二ノレなどの酸ビニルエステノレ類 ; 了 ク リ ノレア ミ ド、 メ タ ク リ ノレア ミ ド、 N, N—ジアルキルァ ク リ ノレア ミ ド、 N , N—ジアルキノレメ タ ク リ ルア ミ ド、 N—ァ ノレキノレ ア ク リ ノレア ミ ド 、 N—ァノレ キノレメ タ ク リ ノレア ミ ド 、 N, N ' — メ チ レン ビス ァ ク リ ノレア ミ ド、 N—ビニルピロ リ ドン、 N— ビュルホルムア ミ ド、 N _ ビュルァセ ト ア ミ ドな どのァ ミ ド類 ; スチ レン、 ひ 一 メ チルスチ レン、 p—メ ト キシスチ レン、 ジフ エ ニノレエチ レン、 ビニノレナフ タ レン、 ビニノレアン ト ラセ ン、 ビニノレシク ロペンタ ン、 ビニノレシク ロへキサン、 5一ビュル一 2 ーノノレボルネンなどの ビュル基含有炭化水素 類 ; ア タ リ ロ ニ ト リ ノレ、 メ タ タ リ 口 - ト リ ノレな どのァ ク リ ロ 二 ト リ ル誘導体 ; N—ビニルピ リ ジン、 N— ビニルカルバゾ ール、 N—ビュルイ ミ ダゾ一ルなどのビュルア ミ ン類 ; ビ二 ノレアルキノレエーテノレ ; ビニルアルキノレケ ト ン ; ァ ク リ ル酸グ リ シジル ; メ タク リ ル酸グ リ シジル ; 及びエポキシ樹脂など が挙げられる。
これらの重合性官能基を有さない有機ポリ マー、 重合性官 能基を有する有機ポ リ マーおよび重合可能な有機モノ マーは、 単独で用いても、 2種以上を併用 しても構わない。 上記の有 機ポリ マーと有機モノ マーを併用する こ と も可能である。
重合可能な有機モ ノ マーを用いる場合は、 その添加量は、 ケィ素化合物 1 重量部に対し 1 0一2〜 1 0 0重量部、 好ま し く は 1 0一1〜 1 0重量部、 さ らに好ま しく は 1 0―1〜 5重量 部でぁ リ 、 上記の有機ポ リ マーと重合可能な有機モ ノ マーを 併用する場合は、 その合計量が上記範囲内にある よ う にする。 ケィ素化合物と有機材料の混合物の薄膜において、 有機材 料が重合性官能基を有する有機ポ リ マー、 重合可能な有機モ ノ マー、 又はこれらの混合物を含む場合は、 一般にケィ素化 合物の加水分解及び脱水縮合の前かそれと 同時か又はその後 で、 上記の有機材料を重合反応に付す。
本発明の方法において、 有機材料と して重合性官能基を有 する有機ポリ マー、 重合可能な有機モノ マー又はこれらの混 合物を用いた場合、 その重合反応を速やかに進行させるため に重合開始剤を添加 しても よい。 重合開始剤はァゾ化合物や 有機過酸化物などの熱ラジカル発生剤、 ジァゾ化合物、 アジ ド化合物; ァセ ト フ ェ ノ ン誘導体などの光ラ ジカル開始剤な どの他に光酸発生剤、 光塩基発生剤などの公知のものが使用 可能である。 これらは単独でも複数を併用 しても よい。 開始 剤を用いた熱重合、 光重合は公知の方法で行う。 重合開始剤 の添加量は、 重合性官能基を有する有機ポ リ マー及び/又は 重合可能な有機モノ マー 1 重量部に対し 1 0一3〜 1 重量部、 好ま しく は 1 0— 2〜 1 0— 1重量部である。
本発明の方法においてケィ素化合物と してアルコキシシラ ンを用いる場合、 用レヽられるアルコキシシラ ンと してはテ ト ラ メ ト キシシラ ン、 テ ト ラエ ト キシシラ ン、 テ ト ラ ( n —プ ロ ポキ シ) シラ ン、 テ ト ラ ( i 一プロ ボキシ) シラ ン、 テ ト ラ ( n —ブ ト キシ) シラ ン、 テ ト ラ ( t —ブ ト キシ) シラ ン な どのテ ト ラアルコキシシラ ン等が好適に用いられる。 また、 ェチルシリ ケ一 ト 、 メ チルシリ ケ一 ト な どと呼ばれるアルコ キシシラ ンのオリ ゴマーや、 ト リ メ ト キシシラ ン、 ト リ エ ト キシシラ ン、 メ チル ト リ メ トキシシラ ン、 メ チル ト リ エ ト キ シシラ ン、 フ エニル ト リ メ ト キシシラ ン、 フ エ ニル ト リ エ ト キシシラ ン、 ビス ( ト リ メ ト キシシ リ ノレ) メ タ ン、 ビス ( ト リ エ ト キシシ リ ル) メ タ ン、 1 , 2 — ビス ( ト リ メ ト キシシ リ ノレ) ェタ ン、 1 , 2 — ビス ( ト リ エ ト キシシ リ ル) ェ タ ン、 1 , 4 — ビス ( ト リ メ ト キシシリ ノレ) ベンゼン、 1 , 4 ー ビ ス ( ト リ エ ト キシシリル) ベンゼンなどに代表される よ う な、 ケィ素原子上に 1 個の水素、 アルキル基又はァ リ一ル基をも つアルコキシシラ ンも本発明で用いられるアルコキシシラ ン に含まれる。 アルコキシシラ ンのアルコ キシ基をヒ ドロ キシ 基に変換したもの、 またはアルコ キシシラ ンをオリ ゴマー化 したものであるアルコキシシラ ンの加水分解物を用いても よ い。 これらは単独で用いてもよ く 、 2種以上を混合してもよ い。 さ らに、 得られるケィ素酸化物一有機ポリ マ一複合体薄 膜からなる予備的絶縁体層や多孔性ケィ素酸化物薄膜からな る予備的絶縁体層を改質するために、 ケィ素原子上に 2〜 3 個の水素、 アルキル基又はァ リ ール基をもつアルコ キシシラ ンを上記のアルコキシシラ ンに混合する こ と も可能である。 混合する量は、 アルコ キシシラ ンのシラ ン化合物の全モル数 の 8 0 %以下と なる よ う にする。 8 0 %を超える と ゲル化し ない場合がある。
本発明の方法において、 ケィ素酸化物一有機ポ リ マー複合 体薄膜を形成するための原料と なるケィ素化合物と有機材料 の混合物には、 溶媒は必須ではないが、 一般にアルコキシシ ラ ンと有機ポ リ マーは相溶しにく いので、 その場合にはこの 両者を溶解する溶媒を用いる こ と が必要である。 溶媒を用い ない場合の具体例と して、 液状であるポ リ エチ レングリ コー ノレ (数平均分子量 : 4 0 0〜 1 , 0 0 0 ) とテ ト ラエ トキシ シラ ンと を用いた場合や、 液状である Ν , Ν —ジメ チルァク リルア ミ ド (モノ マー) とテ ト ラエ ト キシシラ ンと を用いた 場合などが挙げられ、 これらの場合は均一に混合するので溶 媒は不要である。 溶媒を用いる場合、 溶媒と しては、 ケィ素 化合物と有機材料の両方を最終的に溶解する ものであれば、 特に限定される こ と な く 用いる こ とが可能である。 ケィ素化 合物と してアルコキシシラ ンを用いる場合、 アルコキシシラ ンが不溶であっても、 部分的に加水分解に付し、 アルコキシ シランと の混合物にする こ とによって溶解する ものであれば 同様に使用する こ と ができ る。 例えば、 D M F ( Ν , Ν —ジ メ チルホルムア ミ ド) とエタノールの混合溶媒 (混合比 : 6 / 4 ) にテ ト ラエ ト キシシランを加えても混ざらず 2層に分 離するが、 そこへ微量の希塩酸を加えて激しく 撹拌し、 テ ト ラエ ト キシシランを部分的に加水分解に付すと 2〜 3 分後に 均一な溶液と なるので、 このよ う なアルコキシシラ ン、 有機 ポ リ マー及び溶媒の組み合わせでも使用する こ とができ る。 用レ、られる溶媒と して、 C 1 〜 C 4の一価アルコール、
〜 C 4の二価アル コ ーノレ、 グリ セ リ ンなどのァノレコ ール類 ; ホノレムア ミ ド、 N —メ チノレホルムア ミ ド、 N —ェチルホルム ア ミ ド、 N , N —ジメ チルホルムア ミ ド、 N , N —ジェチル ホルムア ミ ド、 N —メ チルァセ トア ミ ド、 N —ェチルァセ ト ア ミ ド、 N , N —ジメ チルァセ ト ア ミ ド、 N , N —ジェチル ァセ トア ミ ド、 N —メ チルピロ リ ドン、 N —ホルミルモルホ リ ン、 N — ァセチノレモルホ リ ン、 N — ホル ミ ノレ ビペ リ ジン、 N —ァセチルビペ リ ジン、 N—ホルミルピロ リ ジン、 N —ァ セチルピロ リ ジン、 N , N, ージホルミルピぺラジン、 N , N ' —ジァセチルビペラジンなどのア ミ ド類 ; テ ト ラメ チル ゥ レア、 N , N ' —ジメ チルイ ミ ダゾ リ ジノ ンなどのウ レァ 類 ; テ ト ラ ヒ ドロ フ ラ ン、 ジェチノレエーテノレ 、 ジ ( n —プロ ピル) エーテル、 ジイ ソプロ ピルエーテル、 ジグ リ ム、 1 、 4 一ジォキサン、 エチレングリ コ ーノレモノ メ チルエーテル、 エチレングリ コー スレジメ チノレエーテノレ 、 エチレングリ コ ーノレ ジェチノレエーテノレ、 プロ ピレンダ リ コ ーノレモ ノ メ チノレエ一テ ル、 プロ ピレング リ コーノレジメ チノレエーテノレな どのエーテノレ 類 ; ギ酸ェチル、 酢酸メ チル、 酢酸ェチル、 乳酸ェチル、 ェ チ レ ン グ リ コ 一ノレモ ノ メ チノレエーテノレ アセテー ト 、 エチ レ ン グリ コーノレジァセテ一 ト、 プロ ピレング リ コ 一 ノレモノ メ チノレ エーテルアセテー ト 、 炭酸ジェチル、 炭酸エチレン、 炭酸プ ロ ピレンなどのエステル類 ; ァセ ト ン、 メ チルェチルケ ト ン、 メ チルプロ ピルケ ト ン、 メ チル ( n —ブチル) ケ ト ン、 メ チ ルイ ソブチルケ ト ン、 メ チノレア ミノレケ ト ン、 シク ロペンタ ノ ン、 シク ロへキサノ ンな どのケ ト ン類、 ァセ トニ ト リ ノレ 、 プ 口 ピオ二 ト リ ノレ 、 n —ブチロニ ト リ ル 、 イ ソブチロニ ト リ ル な どの二 ト リ ノレ類 ; 及びジメ チル スルホキシ ド、 ジメ チル ス ノレホン、 ス ルホラ ンなどが好適に用いられる。 これらの溶媒 は混合した り 、 他の任意の溶媒あるいは添加物、 例えば、 レ ベリ ング剤 (塗布均一性を上げる もの) 、 密着向上剤、 重合 開始剤、 酸発生剤、 アルカ リ 発生剤、 酸化剤などを混合して も よい。
上記の溶媒の中でも、 ホルムア ミ ド、 N —メ チルホルムァ ミ ド、 N —ェチルホルムア ミ ド、 N, N —ジメ チルホルムァ ミ ド、 N , N —ジェチルホルムア ミ ド、 N —メ チルァセ トァ ミ ド、 N —ェチルァセ トア ミ ド、 N, N —ジメ チルァセ トァ ミ ド、 N, N —ジェチルァセ トア ミ ド、 N —メ チルピロ リ ド ン、 N —ホルミ ノレモルホ リ ン、 N —ァセチルモルホ リ ン、 N 一ホルミノレビペリ ジン、 N —ァセチルビペ リ ジン、 N —ホル ミノレピロ リ ジン、 N —ァセチルピロ リ ジン、 N, N ' —ジホ ルミノレピぺラ ジン、 N, N ' —ジァセチルビペラジンなどの ア ミ ド類、 テ ト ラ メ チルゥ レア、 N , N ' —ジメ チルイ ミ ダ ゾ リ ジノ ンなどのゥ レ ア類が、 透明均質なケィ素酸化物一有 機ポ リ マ ー複合体薄膜や細孔径の小さ な多孔性ケィ素酸化物 薄膜を得るために特に好ま しい。
本発明の方法においてケィ素酸化物一有機ポ リ マー複合体 薄膜を形成する際、 ケィ素化合物の加水分解と脱水縮合反応 に触媒は必ずしも必要ではないが、 反応を促進するために触 媒を添加してもよい。 触媒の具体例と しては塩酸、 硝酸、 硫 酸、 蟻酸、 酢酸、 蓚酸、 マ レイ ン酸な どの酸類、 ア ンモニア 水、 水酸化カ リ ウム、 水酸化ナ ト リ ウム、 ト リ ェチルァ ミ ン、 ト リ エ タ ノ ールァ ミ ン、 ピ リ ジン、 ピぺ リ ジン、 コ リ ンな ど のアル力 リ類などが挙げられる。 これらは単独で用いても、 2種類以上を併用 しても よい。 また、 2種類以上を段階的に 用いる こ と も可能である。 こ こでい う段階的とレヽ う のは、 例 えば予め酸触媒で処理を施してから塩基触媒を加える こ とや、 その逆を行う こ と を指す。
これらの触媒の添加量はケィ素化合物 1 モルに対し 1 モル 以下、 好ま しく は 1 0 — 1モル以下が適当である。 1 モルよ リ 多いと沈殿の生成な どが起こ り均一な多孔性ケィ素酸化物薄 膜が得られない場合がある。
ケィ素化合物と有機材料の混合物の薄膜におけるアルコキ シシラ ンの加水分解は、 上記の触媒が水溶液である場合には、 その溶媒である水によって起こ る し、 また水を添加 しなく て も周囲に十分な水蒸気が存在していれば、 それを利用する こ と もでき る。 必要であれば別途水を添加 して も よレ、。 好ま し い水の添加量は、 アルコ キシシラ ンに含まれているケィ素原 子 1 モルに対し 0 . 3 〜 1 0 4モノレ 、 よ り 好ま し く は :! 〜 1 0 モルである。 1 0 4モルよ リ 多いと得られるケィ素酸化物 一有機ポリ マー複合体薄膜の均質性が低下する場合がある。
こ の よ う に して作成した液体または溶液であるケィ素化合 物と有機材料の混合物を基板上に塗布して薄膜を得る方法は、 流延、 回転、 浸漬な ど周知の方法で行う。 基板の表面をあら かじめ密着向上剤で処理してもよい。 この場合の密着向上剤 と してはいわゆる シラ ンカ ツプリ ング剤と して用いられる も のやアルミ ニウムキ レ一 ト化合物などを使用する こ と ができ る。 特に好適に用レ、 られる ものと して、 3 —ァ ミ ノ プロ ピル ト リ メ ト キシシラ ン、 3 —ァ ミ ノ プロ ピル ト リ エ ト キシシラ ン、 N— ( 2 —ア ミ ノ エチル) 一 3 —ァ ミ ノ プロ ピノレ ト リ メ ト キシシラ ン、 N— ( 2 —ア ミ ノ エチル) 一 3 —ァ ミ ノ プロ ピルメ チルジメ ト キシシラ ン、 ビュル ト リ ク ロ ロ シラ ン、 ビ ニル ト リ エ ト キシシラ ン、 3 _ ク ロ 口 プロ ビル ト リ メ ト キシ シラ ン、 3 — ク ロ 口 プロ ピノレメ チノレジク ロ ロ シラ ン、 3 — ク ロ ロ プロ ピノレメ チノレジメ ト キシシラ ン、 3 — ク ロ 口 プロ ピノレ メ チルジェ ト キシシラン、 3 —メルカプ トプロ ビル ト リ メ ト キシシラ ン、 3 — グ リ シ ドキシプロ ビル ト リ メ トキシシラ ン、 3 —グ リ シ ドキシプロ ピルメ チルジメ ト キシシラ ン、 3 —メ タ ク リ ロ キシプロ ピノレ ト リ メ ト キ シシラ ン、 3 —メ タ ク リ ロ キシプロ ピルメ チルジメ ト キシシラ ン、 へキサメ チルジシラ ザン、 ェチルァセ ト ァセテー トァノレミ ニ ゥムジィ ソプロ ピレ — ト 、 アル ミ ニ ウ ム ト リ ス (ェチルァセ ト アセテー ト ) 、 ァ ノレミ ニ ゥム ビス (ェチルァセ トアセテー ト) モノ ァセチルァ セ トネー ト 、 アルミ ニウム ト リ ス (ァセチルァセ トネー ト) などが挙げられる。 これらの密着向上剤を塗布するにあたつ ては必要に応じて他の添加物、 例えば、 水、 酸、 アルカ リ な どを加えた リ 、 溶媒で希釈して用いても よい。 密着向上剤に よ る処理は公知の方法で行う こ と ができ、 例えば、 市販のシ ラ ンカ ップリ ング剤の製品カ タ ロ グに記載されている方法で 行う。
ケィ素化合物のゲル化反応の温度は特に限定される も ので はないが、 通常は 0 〜 1 8 0 °C、 好ま し く 〖ま 3 0〜 : L 5 0 °C の範囲で行う。 低すぎる と反応速度が小さ く 、 十分に架橋さ せるのに長時間を要し、 逆に高すぎる と ケィ素酸化物一有機 ポリ マー複合体薄膜にボイ ドが生成しやすく 、 得られる多孔 性ケィ素酸化物薄膜の均質性も低下する。 ケィ素化合物のゲ ル化に要する時間はゲル化の温度や触媒の量な どによつて異 なるが、 通常数分間〜数日 間の範囲である。
有機材料と して重合性官能基を有する有機ポ リ マー、 重合 可能な有機モ ノ マー、 又はこれらの混合物を用いる場合には、 これらの重合反応を加熱によって促進する こ と ができ る。 カロ 熱する温度は上記有機ポリ マーや有機モ ノ マーに含まれる重 合性官能基の種類に応じて、 2 0 〜 2 0 0 °Cの範囲から選ば れる。 用いている有機ポ リ マーに含まれる重合性官能基また は重合可能な有機モ ノ マーが光重合性のものであれば、 光照 射によって反応を進行させる こ と もでき る。 重合開始剤を添 加する場合、 その開始剤の種類に応じて加熱や光照射など公 知の方法を用いて重合を促進する。 特に、 光重合性官能基を 有する有機ポ リ マーや光重合性有機モ ノ マー、 光重合開始剤 を用いている場合には、 任意の形状のマスクな どを用いて所 望の部分のみ重合を進行させる こ と も可能である。
本発明の方法において、 有機材料と して重合性官能基を有 する有機ポ リ マー及び Z又は重合可能な有機モ ノ マーを用い る場合、 ケィ 素化合物の加水分解及び脱水縮合と 、 上記の有 機ポリ マー及び/又は有機モノ マーの重合反応は、 どち らが 先に進行して も、 同時に進行して も よ く 、 これらは用いてい る触媒や重合開始剤の種類や量、 および反応条件によって異 なる。
本発明の方法において、 ケィ素化合物のゲル化反応や、 場 合によっては有機ポ リ マーの重合性官能基、 重合可能な有機 モノ マー又はこれらの混合物の重合反応を、 溶媒を用いてか つ密閉系で行ってケィ素酸化物一有機ポ リ マー複合体薄膜の 形成した場合は、 溶媒を含有したケィ素酸化物一有機ポリ マ 一複合体薄膜が得られるので、 引き続き溶媒を乾燥して除去 する。 乾燥温度は溶媒の種類によって異なるが、 通常 3 0〜 2 5 0 °Cの範囲で行 う。 また、 溶媒の蒸発が遅く 、 残存した 溶媒によって、 例えば、 複合体薄膜の強度低下や、 後の工程 で用いる装置の汚染な どの問題を生ずる よ う な場合には、 溶 媒を完全に揮発させて除去するために減圧下で乾燥を行う の も好ま しい。 ボイ ドの発生を制御 し、 均質な乾燥したケィ素 酸化物一有機ポ リ マー複合体薄膜を得るために、 乾燥中に徐 々 に温度を上昇させる方法も好ま しい。
本発明の方法において、 ケィ素酸化物一有機ポ リ マー複合 体薄膜の形成を、 溶媒を用いてかつ開放系で行 う場合、 ケィ 素化合物のゲル化反応や、 場合によ っては重合性官能基を有 する有機ポリ マー、 重合可能な有機モノ マー又はこれらの混 合物の重合反応を行 う過程で、 溶媒の蒸発が並行して起こ る。 反応と溶媒除去の順序を制御する こ と は、 ケィ素化合物の種 類、 有機ポリ マーに含まれる重合性官能基の種類、 触媒の種 類、 量、 溶媒の蒸気圧、 密閉系か解放系かなどの雰囲気など を選定する こ と によ リ 可能であるが、 通常の条件下ではケィ 素化合物のゲル化反応、 場合によって上記有機ポ リ マーや有 機モノ マーの反応が終了 した時点で溶媒は除去されている。
こ う して得られたケィ素酸化物一有機ポ リ マー複合体薄膜 からなる予備的絶縁体層は、 有機ポ リ マーが分散したケィ素 酸化物からな リ 、 これを後述のよ う に溝部分を有する任意の 形状にパターン化して、 予備的絶縁体層に回路用のパターン を規定する溝を形成 し、 溝の中に配線と して機能する金属層 を形成する。 そ して、 後述する加熱処理などによってシ リ カ 一有機ポリ マ ー複合体薄膜から有機ポ リ マ ーを除去して予備 的絶縁体層を多孔化 して、 予備的絶縁体層を多孔性ケィ素酸 化物薄膜からなる絶縁体層に変えて、 基板上に絶縁体層を含 む配線構造体を得る。
本発明の方法を実施するためには、 公知の リ ソグラ フィー 法を用いた微細加工方法が特に好適に使用でき る。 以下、 リ ソグラ フ ィ一法を用いて本発明の方法を実施するゃリ 方の一 例を挙げて説明する。
まず、 上記のよ う に してケィ素酸化物一有機ポ リ マー複合 体薄膜からなる予備的絶縁体層を基板上に形成し、 その上に フォ ト レジス ト を塗布する。 こ う して、 図 1 に示すよ う な構 造体が得られる。 こ の構造体のフ ォ ト レジス ト層に、 所望の パター ンを有するマ ス ク を通してパター ン形成露光を行なつ て潜像を形成し、 現像して、 パター ンを有する フ ォ ト レジス ト層を得る (図 2参照) 。 フォ ト レジス ト の種類や露光方法、 現像方法は特に限定されず、 公知のものが用いられる。 市販 のフォ ト レジス ト の例と しては、 日本国東京応化工業 (株) 製 T D U Rシリ ーズを挙げる こ と ができ る。 露光に用いる光 源の例と しては、 K r Fエキシマ レーザー、 水銀ラ ンプの g 線や i 線、 A r Fエキシマ レーザー、 な どを挙げる こ とがで き る。 現像に用いる現像液の例と しては、 テ ト ラメ チルア ン モ -ゥム ヒ ドロ キシ ド水溶液を挙げる こ と ができ る。 続いて、 図 3 に示すよ う に、 ケィ素酸化物一有機ポ リ マー複合体薄膜 からなる予備的絶縁体層の う ちフォ ト レジス ト によって保護 されていない部分をエッチングによ リ 除去する こ と によって、 予備的絶縁体層に回路用のパターンを規定する溝を形成する。 エッチングの方法は、 プラズマエ ッチング、 反応性イオンェ ツチング、 ダウンフ ローエ ッチング、 ス ノ、。ッ タエッチングな どが用いられる。 また、 フ ォ ト レジス ト のパタ ーン形成露光 後の現像に用いる現像液が予備的絶縁体層を溶解可能なもの である場合は、 上記のエ ッチングを、 その現像液によ る洗浄 によって行う こ とができ る。 次に、 溶剤 (フ ォ ト レジス ト剥 離液) によ る洗浄やプラズマ照射によってフ ォ ト レジス ト を 除去する (図 4参照) 。 続いて、 図 5 に示すよ う に、 予備的 絶縁体層の溝の中に配線と して機能する金属を堆積する。
堆積する金属はアルミ ニウム、 銅、 銀、 タ ングステン、 チ タ ンな どを用いるのが好適である。 これら金属は、 単独でも、 2種以上を組み合わせて用いても よい。 また、 金属を堆積さ せる前に予備的絶縁体層の表面にバ リ ァ層を形成して、 予備 的絶縁体層と金属の間にバ リ ァ層を介在させて もよい。 ノ リ ァ層と しては窒化チタ ンな どの導電物質や、 窒化ケィ素、 酸 化ケィ素などの絶縁物質が用いられる。 金属やバ リ ァ層の堆 積方法は、 スパッ タ リ ングなどの物理的堆積法、 C V D (化 学的気相成長法) 、 電解メ ツキ、 無電解メ ツキなどの電気的 手法など、 公知の方法で行 う こ と ができ る。 金属の堆積方法 と しては、 また、 金属と有機物の化学結合によって得られる 金属有機物 (メ タ 口オーガ二タ ス) の溶液を塗布して焼成す る こ と によって金属を堆積させる方法も挙げる こ とができ る。 このよ う な金属有機物溶液の例と しては、 日本国田中貴金属 工業 (株) 製の 「メ タ 口オーガ二ク ス」 を挙げる こ とができ る。
次に、 図 6 に示すよ う に、 堆積させた金属の う ち上部の余 剰の金属膜 (予備的絶縁体層の溝以外の部分に堆積した金属) を除去する。 余剰の金属を除去する好適な方法の例と しては、 プラズマなどを用いたエ ッチバッ ク法や、 化学機械研磨 ( C M P ) 法を挙げる こ とができ、 特に C M P法が好適である。
また、 予備的絶縁体層の溝の中に金属を堆積する方法と し て選択めつ き法などを用いた場合は、 図 4に示されるパター ン化された予備的絶縁体層の溝のみに金属を堆積させる こ と ができ るので、 図 5に示す構造を経ずに、 図 4の構造から図 6 の構造を直接作成する こ と も可能である。 選択めつき法につ いては、 W O 9 8 / 4 0 9 1 0号などを参照する こ と ができ る。
次に、 予備的絶縁体層のケィ素酸化物一有機ポ リ マー複合 体薄膜から有機ポ リ マーを除去する こ と によって予備的絶縁 体層を多孔化 して、 図 7 に示すよ う な、 基板 1 と 、 溝を有す る多孔性ケィ素酸化物薄膜からなる絶縁体層 5及び該溝の中 に形成された金属層からなる回路を包含する本発明の配線構 造体を得るこ とができ る。 有機ポ リ マーを除去する方法の例 と しては、 加熱、 溶媒抽出、 プラズマ処理などが挙げられる が、 もつ と も簡便なのはポ リ マーの分解温度以上に加熱して 1 分〜数日 間保持して、 有機ポ リ マーを分解させる方法であ る。 もちろん、 有機ポ リ マ一を分解させるための温度は、 用 いる金属と有機材料の種類によって設定され、 有機ポ リ マー を分解可能な温度以上でぁ リ 且つ金属層が流動化する温度未 満の範囲で選択される。 基板上に既に形成してある下地の素 子や配線への損傷を防ぐと い う観点からは、 有機ポリ マーを 分解させるための温度は、 好ま し く は 1 0 0 〜 4 5 0 °Cの範 囲でぁ リ 、 更に好ま し く は 1 5 0 〜 4 0 0 °Cの範囲である。 また、 上記の観点からする と、 加熱処理を光照射で行う のも 好ま しい。 この際、 使用 される光が、 ケィ素酸化物一有機ポ リ マ一複合体薄膜からなる予備的絶縁体層の吸収波長を含む ものである と よ リ好ま しい。
有機ポ リ マーと して重合性官能基をもつポ リ マーを用い、 かつ光重合開始剤を添加した場合、 フォ ト レジス ト を用いず に本発明の方法を実施する こ とができ る。 即ち、 重合性官能 基をもつ有機ポ リ マーと光重合開始剤を含有する原料混合物 を基板上に塗布して予備的絶縁体層を形成し、 得られた予備 的絶縁体層に、 所望のパターンを有するマス ク を介して光照 射 (パターン形成露光) して重合させた後に現像する と、 図 4 に示すよ う な、 任意のパターンの溝を有する予備的絶縁体 層を得る こ と ができ る。 その後の工程は上記と 同様に行う こ とができ る。
得られた多孔性ケィ素酸化物薄膜をシ リル化剤で処理し、 吸水性を抑えた リ他の物質と の接着性を向上させた り する こ と も有効である。 用レ、る こ とのでき るシ リ ル化剤の例と して ト リ メ チルメ ト キ シシラ ン、 ト リ メ チルエ ト キ シシラ ン、 ジ メ チルジメ ト キシシラ ン、 ジメ チルジェ ト キシシラ ン、 メ チ ル ト リ メ ト キシシラ ン、 メ チル ト リ エ ト キ シシラ ン、 ジメ チ ルエ ト キシシラ ン、 メ チルジェ ト キ シシラ ン、 ジメ チル ビ二 ノレメ ト キシシラ ン、 ジメ チルビニ ノレエ ト キシシラ ン、 ジフ エ 二ルジメ ト キシシラ ン、 ジフ エ二ルジェ ト キシシラ ン、 フ エ ニル ト リ メ ト キシシラ ン、 フエニル ト リ エ ト キシシラ ンな ど のアルコ キシシラ ン類、 ト リ メ チルク ロ ロ シラ ン、 ジメ チル ジク ロ ロ シラ ン、 メ チノレ ト リ ク ロ ロ シラ ン、 メ チノレジク ロ 口 シラ ン、 ジメ チノレク ロ ロ シラ ン、 ジメ チル ビユルク ロ ロ シラ ン、 メ チノレビニノレジク ロ ロ シラ ン、 メ チノレク ロ ロ ジシラ ン、 ト リ フ エエルク ロ ロ シラ ン、 メ チノレジフ エ ニルク ロ ロ シラ ン、 ジフ エ ニルジク ロ ロ シラ ンな どのク ロ ロ シラ ン類、 へキサメ チルジシラザン、 N, N ' 一 ビス ( ト リ メ チルシ リ ノレ) ウ レ ァ、 N — ト リ メ チノレシ リ ルァセ ト ア ミ ド、 ジメ チノレ ト リ メ チ ルシ リ ルァ ミ ン、 ジェチル ト リ エチルシ リ ノレア ミ ン、 ト リ メ チルシ リ ルイ ミ ダゾールな どのシラザン類な どが挙げられる。 シリ ル化の方法は塗布、 浸漬、 蒸気暴露な ど公知の方法で行 う こ と ができ る。
本発明の方法によ る と、 配線の素材と して銅や銀などの低 抵抗率の金属を使用でき る利点や多層配線構造体の製造に好 適である とい う利点のある ダマシン法によって、 比誘電率の 低い多孔性ケィ素酸化物絶縁層を有する配線構造体を極めて 容易に製造でき 、 形成される多孔性ケィ 素酸化物絶縁層が損 傷を受けた り 、 孔の中にエ ッチングガスや金属微粒子が入 り 込むな どの問題が起き る こ と がない。 このよ う な本発明の方 法によって得られる配線構造体においては、 線間容量が低減 でき 、 且つ、 銅や銀などの低抵抗率の金属からなるなる配線 を使用でき るので、 従来の配線構造体と比べて配線遅延を大 幅に低減でき る。
本発明の方法によ って得られる配線構造体は、 例えば、 多 層配線板や半導体素子の製造に非常に有利に用いる こ とがで き る。
発明を実施するための最良の形態
以下、 実施例及び比較例によって、 本発明を具体的に説明 するが、 本発明はこれらの例によって何ら限定される もので はない。 実施例 1
メ チル ト リ エ ト キ シシラ ン 0 . 7 4 g 、 テ ト ラエ ト キシシ ラ ン 2 , 4 g 、 ポ リ エチ レ ング リ コールモノ メ タ タ リ レー ト (数平均分子量 : 3 6 0 ) 0 . 6 8 g 、 及びポ リ エチレング リ コールジメ タ ク リ レー ト (数平均分子量 : 5 4 0 ) 0 . 3 4 g を、 N—メ チルピロ リ ドン 2 . 0 g と プロ ピ レング リ コ ールメ チルエーテルァセテ一ト 1 . 0 g の混合溶媒に溶解し、 水 0 . 7 5 § と 0 . 1 N硝酸 0 . 1 5 g を添加 して室温で 2 時間撹拌した後、 ジク ミ ルパーオキサイ ド 0 . 0 5 g を添加 した。 得られた溶液を、 厚さ 1 . 2 μ π の熱酸化膜 ( S i O 2膜) を予め形成 したシ リ コ ンウェハー上に、 ス ピンコータ 一 (日本国ミ カサ株式会社製、 1 H— 3 6 0 S S p i n C o a t e r ) を用いて毎分 1, 5 0 0 回転の速度で 1 0秒 間回転塗布し、 大気中で 1 2 0 °Cにて 1 時間、 次いで 1 8 0 °Cにて 1 時間加熱して、 厚さ 0 . 8 0 μ mのケィ素酸化物一 有機ポ リ マー複合体薄膜からなる予備的絶縁体層を得た。
得られた薄膜の上にフォ ト レジス ト (日本国東京応化工業 社製、 T HM R— i P 3 6 5 0 ) を 1 · 0 5 μ πιの厚さで塗 布し、 露光装置 ( 日本国キャ ノ ン株式会社製、 i 線ステツパ F P A 3 0 0 0 i 4 ) を用いてテス ト ノ、。ターンをフォ ト レジ ス トに転写し、 次いで、 これをテ ト ラメ チルアンモニゥム ヒ ドロキシ ドの 2 . 3 8 重量%水溶液を用いて 2 3 °Cで 6 0秒 間現像してフォ ト レジス ト の光が当たった部分を除去し、 テ ス ト ノ、°ターンのフォ ト レジス ト像を得た。 テス ト ノ、°ターンに ついては、 後で説明する。
得られたテス トパターンのフォ ト レジス ト像をマス ク (保 護層) と して、 反応性イオンエッチヤー ( 日本国ァネルバ株 式会社製、 D E A 5 0 6 ) を用いてエッチングし、 フオ ト レ ジス トでマス ク されている部分以外のケィ素酸化物一有機ポ リ マー複合体薄膜を除去してテス トパタ一ンを転写した。 こ の際、 エッチングガス と して四フ ッ化炭素 1 0 0 S C C M
L S t a n d a r d cu ic cent imeter per minute ; 1 分当た リ に流 れる気体の流量を、 その標準状態 ( 0 °C、 1 気圧) における 体積で表したもの ] と酸素 1 O S C C Mの混合ガスを用い、 全圧が 3 0 P a と なる よ う に した。 パヮ一は 3 0 0 W、 エツ チング時間は 2 0分である。 次いで、 ア ッシャー (日本国モ リ エンジニア リ ング社製、 M P C 6 0 0 ) を用いて酸素 5 0 P a 、 5 0 °C、 そ してパワー 1 5 0 Wの条件で 1 5分間処理 し、 フォ ト レジス ト を酸化する こ と によって完全に除去した これにスパッ タ装置 (日本国ァネルバ株式会社製、 S P F 3 1 3 H ) を用いて厚さ 3 0 n mの窒化チタ ンの膜を成膜し、 その上に厚さ 5 0 n mの銅の膜を成膜した。 尚、 窒化チタ ン 膜はチタンターゲッ ト を用い、 アルゴン と窒素の混合ガス
(全圧 0 . 2 7 P a 、 分圧比 5 0 : 5 0 ) によ る反応性スパ ッタで成膜を行い、 また、 銅の膜は、 銅ターゲッ トを用いて アルゴンのみ (全圧 0 . 2 7 P a ) によ るスパッ クで成膜を 行った。 パワーはいずれも 4 0 0 Wである。 また、 チタ ンタ ーゲッ トまたは銅ターゲッ トは、 それぞれチタ ン又は銅の圧 延板を整形して銅プ レー ト上にはんだで接着したもので、 真 空中でこれにアルゴン等のガスを衝突させる こ と によ つてチ タ ン又は銅原子、 またはク ラ ス ターが飛散し、 基板上に付着 させる こ とができ る よ う に したものである。
次に、 硫酸銅と硫酸溶液を用いてテス トパターンを転写し た薄膜の面に銅を電解メ ツ キ し、 厚さ 1 . 2 ju mの銅の層を 形成した。 こ の操作によ っ て、 テス トパターンの う ち溝の部 分 (即ち、 ケィ素酸化物一有機ポ リ マー複合体薄膜が除去さ れた部分) が完全に銅で埋められているほか、 溝以外の部分 にも約 1 . 2 μ πιの銅の層が形成する。 これを酸化アルミ 二 ゥムと過酸化水素水からなるス ラ リ ーを用いて化学機械研磨 装置 ( 日本国株式会社ナノ テッ クマシンズ社製、 5 0 0 S T Ζ - 6 ) で研磨して溝以外の部分に堆積した銅を完全に除去 し、 銅がケィ素酸化物一有機ポリ マー複合体薄膜中に埋め込 まれた構造を得た (図 6 参照) 。 研磨圧力は 4 p s i 、 ゥェ ハ ー回転速度は 3 0 r p m、 研磨時間は 6 0秒と した。
最後に、 4 0 0 °Cの窒素雰囲気で 1 時間加熱処理し、 ケィ 素酸化物一有機ポ リ マー複合体薄膜からなる予備的絶縁体層 の う ち有機ポ リ マーのみを燃焼させて除去し、 多孔性ケィ素 酸化物薄膜からなる絶縁体層を含む配線構造体を得た。
使用 したテス ト パターンは、 幅 0 . 5 μ πιの溝 2本が、 0 . 3 μ πιの間隔を隔てて平行に配置されたパター ンを有する。 最終的に、 溝部分が金属配線、 そ して、 溝と溝と の間の部分 が金属配線を隔てる絶縁層 と なる。 また、 2本の溝部分の同 じ側にあるそれぞれの端部には、 最終的に電極となるパッ ド (溝) がそれぞれ形成されている。 作製した配線構造体につ いて、 両電極間に交流電圧を印加する こ と によ リ 、 2本の金 属配線とその間の絶縁層を平行平板キャパシタ とみな したと きの容量、 即ち、 線間容量 U ine-to-l ine capac itance) を 測定するこ とができ る。
得られた配線構造体について R Fイ ンピーダンス Zマテ リ アル ' アナライザ (米国ヒ ュー レ ッ トパ ッ カー ド社製、 H P 4 2 9 1 A ) を用いて線間容量を測定したと こ ろ、 0 . 1 0 1 p F /mmであった。 比較例 1
4 0 0 °Cの窒素雰囲気における 1 時間の加熱処理を行わな い以外は実施例 1 と 同様の方法で配線構造体を作製した。 得 られた配線構造体において、 ケィ素酸化物—有機ポ リ マー複 合体薄膜からなる予備的絶縁体層がそのまま金属配線の絶縁 層と して機能する。
得られた配線構造体について線間容量の測定を行ったと こ ろ、 0 . 1 1 8 p F Zmmであった。 この結果と実施例 1 の 結果と を比較する と 、 ケィ素酸化物一有機ポ リ マー複合体薄 膜から有機ポリ マーを除去して得た多孔性ケィ素酸化物薄膜 を配線間の絶縁層 と.して使用する こ とによって、 ケィ素酸化 物一有機ポ リ マー複合体薄膜をそのまま絶縁層 と して使用す る場合に比べて、 線間容量が低減される こ とがわかる。 比較例 2
膜厚が 0 . 8 πιの代わ り に 0 . 7 μ πιになる よ う に回転 塗布の条件を変更した以外は実施例 1 と 同様の手順でケィ素 酸化物一有機ポ リ マー複合体薄膜からなる予備的絶縁体層を 形成した。 これを実施例 1 と 同様に 4 0 0 °Cの窒素雰囲気で 1 時間加熱処理し、 ケィ素酸化物一有機ポリ マー複合体薄膜 の う ち有機ポ リ マーのみを燃焼させて除去し、 多孔性ケィ素 酸化物薄膜からなる絶縁体層を得た。 この上に、 化学気相成 長法で厚さ 0 . Ι μ πιの二酸化ケイ素膜を成膜した。 そ して、 実施例 1 と 同様にテス トパターンの形成、 銅の層の形成、 及 び余剰の銅の層の除去を行い、 配線構造体を得た。 尚、 最終 的に得られるテス ト パター ンの構造が実施例 1 の もの と実質 的に同一になる よ う 考慮した。
得られた配線構造体について線間容量を測定したと こ ろ、 0 . 1 0 9 p F / m mであった。 この結果から、 実施例 1 と 同一条件で形成した多孔性ケィ素酸化物薄膜を絶緣層 と して 用いているにもかかわらず、 線間容量の値が実施例 1 のもの ょ リ 大きいこ と が分かる。 これは、 絶縁層である多孔性ケィ 素酸化物薄膜を保護するための被覆に用いた比誘電率の高い 緻密な二酸化ケイ素膜が、 金属配線間に存在するためである と考えられる。 実施例 2
4 0 0 °Cの窒素雰囲気で 1 時間加熱処理する代わ リ に、 3 8 0 °C、 1 気圧のアル ゴン雰囲気で赤外線ラ ンプを用いて 3 0分間加熱処理してケィ素酸化物一有機ポ リ マー複合体薄膜 の有機ポリ マーを除去した以外は実施例 1 と 同様の方法で配 線構造体を作製 した。
得られた配線構造体について線間容量を測定したと ころ、 0 . 1 0 2 p F Z m mであった。 この測定値は、 実施例 1 に おける線間容量の測定値 ( 0 . 1 0 1 p F Z m m ) と 同等で ある。 即ち、 ケィ素酸化物一有機ポ リ マー複合体薄膜の有機 ポリ マーを除去する方法と して、 光照射によ る加熱処理を行 う こ と によって、 4 0 0 °Cで 1 時間加熱処理をするのと 同等 の効果を実現する こ と が可能である こ とが分かる。 参考例 1
メ チル ト リ エ ト キ シシラ ン 0. 7 4 g 、 テ ト ラエ ト キシシ ラ ン 2.4 g 、 ポ リ エチレングリ コールモノ メ タ タ リ レー ト (数平均分子量 : 3 6 0 ) 0. 6 8 g 、 及びポ リ エチ レング リ コールジメ タ ク リ レー ト (数平均分子量 : 5 4 0 ) 0. 3 4 g を、 N—メ チルピロ リ ドン 2. 0 g とプロ ピレングリ コ ールメ チルエーテルアセテー ト 1. 0 gの混合溶媒に溶解し、 水 0. 7 5 g と 0. 1 N硝酸 0. 1 5 g を添加 して室温で 2時 間攪拌した後、 ジク ミルパーォキサイ ド 0. 0 5 g を添加し た。 得られた溶液を、 予め窒化チタ ン薄膜を形成したシリ コ ンウェハー上に、 ス ピンコ ーター ( 日本国ミ カサ株式会社製 1 H - 3 6 0 S S p i n C o a t e r ) を用いて毎分 1, 5 0 0回転の速度で回転塗布し、 大気中で 1 2 0 °Cにて 1 時 間、 次いで 1 8 0 °Cにて 1 時間加熱してケィ素酸化物—有機 ポリ マー複合体薄膜を得た。 [尚、 シ リ コ ンウェハー上の窒 化チタ ン薄膜は、 チタ ンターゲッ ト を用い、 アルゴンと窒素 の混合ガス (全圧 0. 2 7 P a 、 分圧比 5 0 : 5 0 ) によ る 反応性スパッタで成膜した。 ] 得られた薄膜を 4 0 0 °Cの窒 素雰囲気で 1 時間加熱処理し、 ケィ素酸化物—有機ポ リ マー 複合体薄膜の う ち有機ポ リ マーのみを燃焼させて除去し、 多 孔性ケィ素酸化物薄膜に変えた。 得られた薄膜の膜厚は 0. 5 0 μ πιであった。 こ の薄膜の表面にマス ク を通してアルミ 二ゥムを真空蒸着し、 直径 1 . 7 mmの電極を作製した。 こ の試料を用いて多孔性ケィ素酸化物薄膜の 1 M H z における 比誘電率を測定した と ころ 2. 0 1 であった。 参考例 2
テ ト ラエ ト キシシラ ン 1 . 2 g及びポ リ エチ レング リ コー ルモノ メ タ ク リ レー ト (数平均分子量 : 3 6 0 ) 0. 6 8 g を、 N—メ チルピロ リ ドン 2 . 0 g と プロ ピ レング リ コール メ チルアセテー ト 1 . 0 g の混合溶媒に溶解し、 水 0 . 7 5 g と 0 . 1 N硝酸 0 . 1 5 g を添加して室温で 2時間撹拌し た。 得られた溶液をシ リ コ ンウェハー上に、 ス ピンコーター (日本国ミ カサ株式会社製、 1 H— 3 6 0 S S p i n C o a t e r ) を用いて毎分 1, 5 0 0 回転の速さで回転塗布 し、 1 2 0 °Cにて 1 時間、 次いで 1 8 0 °Cにて 1 時間加熱し て、 厚さ 0 . 4 1 mのケィ素酸化物一有機ポ リ マー複合体 薄膜を含む試料を得た。 得られた試料を 4 5 0 °Cの窒素雰囲 気で 1 時間加熱処理し、 ケィ素酸化物一有機ポ リ マー複合体 薄膜の う ち有機ポ リ マーのみを燃焼させて除去し、 多孔性ケ ィ素酸化物薄膜に変えた。 得られた薄膜の膜厚は 0. 3 2 mであ り 、 有機ポリ マ一除去前と比較した膜厚の減少は 2 2 %であった。 参考例 3 ポ リ エチ レング リ コ ールモ ノ メ タ ク リ レー 卜 の代わ リ に、 分子内に重合性官能基を有さないポ リ エチ レンダリ コール (数平均分子量 : 2 0, 0 0 0 ) を用いる以外は参考例 2 と 同様の操作を行い、 シ リ コ ンウェハー上に厚さ 1 . 4 5 1 /i mのケィ素酸化物一有機ポ リ マー複合体薄膜を含む試料を得 た。 得られた試料を 4 5 0 °Cの窒素雰囲気で 1 時間加熱処理 し、 ケィ素酸化物一有機ポ リ マー複合体薄膜の う ち有機ポリ マーのみを燃焼させて除去 し、 多孔性ケィ素酸化物薄膜に変 えた。 得られた薄膜の膜厚は 1 . 0 7 ;u mであ リ 、 有機ポ リ マー除去前と比較した膜厚の減少は 2 6 %であった。
産業上の利用可能性
本発明の配線構造体の製造方法によって得られる配線構造 体においては、 隣接する配線間の容量 [線間容量 ( 1 i n e— 10 - l ine capac i t ance) ] が低減でき、 且つ、 銅や銀な どの低 抵抗率の金属からなる配線を使用でき るので、 従来の配線構 造体と比べて電気信号の伝達遅延 (配線遅延) を大幅に低減 できる。 更に、 本発明の方法によれば、 上記の優れた配線構 造体を、 工業的に有利なダマシン法 (配線の素材と して銅や 銀などの低抵抗率の金属を使用でき る利点や多層配線板の製 造に好適である とい う利点がある) によって容易かつ効率的 に製造する こ とが可能となる。 本発明の方法によって得られ る上記の優れた配線構造体を包含する多層配線板及び半導体 素子は、 配線遅延が少なく 、 優れた性能を発揮する。

Claims

5冃 求 の 範 囲
1 . ( a ) 基板、
( b ) 上記基板上に形成され、 回路用のパターンを規定す る溝を有する多孔性ケィ素酸化物薄膜からなる絶縁体層、 及 び
( c ) 該溝の中に形成された金属層からなる回路、 を包含する配線構造体の製造方法であって、
( 1 ) 基板上に、 ケィ素酸化物中に有機ポリ マーが分散し てなるケィ素酸化物一有機ポリ マー複合体薄膜からなる予備 的絶縁体層を形成し、
( 2 ) 該予備的絶縁体層に回路用のパターンを規定する溝 を形成し、
( 3 ) 該溝の中に配線と して機能する金属層を形成し、 そ して
( 4 ) 該予備的絶縁体層のケィ素酸化物一有機ポリ マー複 合体薄膜から該有機ポ リ マーを除去して該予備的絶縁体層を 多孔化して、 該予備的絶縁体層を多孔性ケィ素酸化物薄膜か らなる絶縁体層に変える、
こ と を包含する こ と を特徴とする方法。
2 . 該予備的絶縁体層からの該有機ポ リ マーの除去を光照射 による熱処理によ って行う 、 請求項 1 に記載の方法。
3 . 該ケィ素酸化物一有機ポ リ マー複合体薄膜の該有機ポ リ マーが、 グラ フ ト構造を有するポ リ マー及び 3次元網目構造 を有するポ リ マーからなる群から選ばれる少なく と も 1 種の ポリ マーからなる、 請求項 1 又は 2 に記載の方法。
4 . 請求項 1 〜 3 のいずれかに記載の方法によって製造され る配線構造体。
5 . 積層された複数の配線構造体を包含する多層配線板であ つて、 該複数の配線構造体の少なく と も 1 つが請求項 4 に記 載の配線構造体である多層配線板。
6 . 請求項 4 に記載の配線構造体を包含する半導体素子。
PCT/JP1999/001732 1998-04-01 1999-04-01 Procede de fabrication d'un corps structurel d'interconnexion WO1999052136A1 (fr)

Priority Applications (3)

Application Number Priority Date Filing Date Title
US09/647,310 US6479374B1 (en) 1998-04-01 1999-04-01 Method of manufacturing interconnection structural body
JP2000542792A JP4521992B2 (ja) 1998-04-01 1999-04-01 配線構造体の製造方法
AU30555/99A AU3055599A (en) 1998-04-01 1999-04-01 Method of manufacturing interconnection structural body

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP10/88699 1998-04-01
JP8869998 1998-04-01

Publications (1)

Publication Number Publication Date
WO1999052136A1 true WO1999052136A1 (fr) 1999-10-14

Family

ID=13950125

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP1999/001732 WO1999052136A1 (fr) 1998-04-01 1999-04-01 Procede de fabrication d'un corps structurel d'interconnexion

Country Status (5)

Country Link
US (1) US6479374B1 (ja)
JP (1) JP4521992B2 (ja)
AU (1) AU3055599A (ja)
TW (1) TWI222426B (ja)
WO (1) WO1999052136A1 (ja)

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002052629A2 (en) * 2000-12-21 2002-07-04 Intel Corporation Mechanically reinforced highly porous low dielectric constant films
JP2002319582A (ja) * 2002-02-07 2002-10-31 Tokyo Ohka Kogyo Co Ltd シリカ系被膜形成用の塗布液
CN1305118C (zh) * 2000-12-21 2007-03-14 英特尔公司 通过ild柱结构性加强多孔隙、低k介电薄膜
JP2008109149A (ja) * 2007-11-21 2008-05-08 Seiko Epson Corp 半導体装置の製造方法
US7500397B2 (en) 2007-02-15 2009-03-10 Air Products And Chemicals, Inc. Activated chemical process for enhancing material properties of dielectric films
JP2009177198A (ja) * 2009-03-30 2009-08-06 Hitachi Chem Co Ltd シリカ系被膜形成用塗布液、シリカ系被膜及びこれを用いた半導体装置
US7678712B2 (en) 2005-03-22 2010-03-16 Honeywell International, Inc. Vapor phase treatment of dielectric materials
US7709371B2 (en) 2003-01-25 2010-05-04 Honeywell International Inc. Repairing damage to low-k dielectric materials using silylating agents
US7858294B2 (en) 2000-06-23 2010-12-28 Honeywell International Inc. Method to restore hydrophobicity in dielectric films and materials
JP2011035426A (ja) * 2004-11-30 2011-02-17 Semiconductor Energy Lab Co Ltd 半導体装置の作製方法
US7915159B2 (en) 2004-09-15 2011-03-29 Honeywell International Inc. Treating agent materials
US7915181B2 (en) 2003-01-25 2011-03-29 Honeywell International Inc. Repair and restoration of damaged dielectric materials and films
KR101026211B1 (ko) 2003-10-10 2011-03-31 도쿄엘렉트론가부시키가이샤 유전체 막을 처리하기 위한 방법 및 시스템
JP2015130469A (ja) * 2014-01-07 2015-07-16 サムソン エレクトロ−メカニックス カンパニーリミテッド. チップ電子部品及びその製造方法

Families Citing this family (56)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4659329B2 (ja) * 2000-06-26 2011-03-30 ルネサスエレクトロニクス株式会社 半導体装置及びその製造方法
JP2004509468A (ja) * 2000-09-13 2004-03-25 シップレーカンパニー エル エル シー 電子デバイスの製造
FR2835844B1 (fr) * 2002-02-13 2006-12-15 Clariant Procede de polissage mecano-chimique de substrats metalliques
US20030161949A1 (en) * 2002-02-28 2003-08-28 The Regents Of The University Of California Vapor deposition of dihalodialklysilanes
US7288111B1 (en) * 2002-03-26 2007-10-30 Thoratec Corporation Flexible stent and method of making the same
US6865939B2 (en) * 2002-09-16 2005-03-15 Sandia Naitonal Laboratories Fluorinated silica microchannel surfaces
JP2004274020A (ja) * 2002-09-24 2004-09-30 Rohm & Haas Electronic Materials Llc 電子デバイス製造
US7208389B1 (en) 2003-03-31 2007-04-24 Novellus Systems, Inc. Method of porogen removal from porous low-k films using UV radiation
US7176144B1 (en) 2003-03-31 2007-02-13 Novellus Systems, Inc. Plasma detemplating and silanol capping of porous dielectric films
US7241704B1 (en) 2003-03-31 2007-07-10 Novellus Systems, Inc. Methods for producing low stress porous low-k dielectric materials using precursors with organic functional groups
US7265061B1 (en) 2003-05-09 2007-09-04 Novellus Systems, Inc. Method and apparatus for UV exposure of low dielectric constant materials for porogen removal and improved mechanical properties
DE10343411B4 (de) * 2003-09-19 2009-07-23 Gallus Druckmaschinen Gmbh Rotationsdruckmaschine und Verfahren zum freien Zugänglichmachen eines Druckzylinders oder eines Linearführungs-Zylinders
EP1676303A2 (en) * 2003-10-08 2006-07-05 Honeywell International Inc. Repairing damage to low-k dielectric materials using silylating agents
WO2005034194A2 (en) * 2003-10-08 2005-04-14 Honeywell International Inc. Repairing damage to low-k dielectric materials using silylating agents
US7390537B1 (en) 2003-11-20 2008-06-24 Novellus Systems, Inc. Methods for producing low-k CDO films with low residual stress
KR100568864B1 (ko) * 2004-01-12 2006-04-10 삼성전자주식회사 반도체 소자 연결배선의 형성방법
US7094713B1 (en) 2004-03-11 2006-08-22 Novellus Systems, Inc. Methods for improving the cracking resistance of low-k dielectric materials
US7341761B1 (en) 2004-03-11 2008-03-11 Novellus Systems, Inc. Methods for producing low-k CDO films
US7381662B1 (en) 2004-03-11 2008-06-03 Novellus Systems, Inc. Methods for improving the cracking resistance of low-k dielectric materials
US7781351B1 (en) 2004-04-07 2010-08-24 Novellus Systems, Inc. Methods for producing low-k carbon doped oxide films with low residual stress
US7253125B1 (en) 2004-04-16 2007-08-07 Novellus Systems, Inc. Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
US7622400B1 (en) 2004-05-18 2009-11-24 Novellus Systems, Inc. Method for improving mechanical properties of low dielectric constant materials
US7078814B2 (en) * 2004-05-25 2006-07-18 International Business Machines Corporation Method of forming a semiconductor device having air gaps and the structure so formed
JP5057647B2 (ja) * 2004-07-02 2012-10-24 東京エレクトロン株式会社 半導体装置の製造方法および半導体装置の製造装置
US7326444B1 (en) 2004-09-14 2008-02-05 Novellus Systems, Inc. Methods for improving integration performance of low stress CDO films
US9659769B1 (en) 2004-10-22 2017-05-23 Novellus Systems, Inc. Tensile dielectric films using UV curing
US7790633B1 (en) 2004-10-26 2010-09-07 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7695765B1 (en) 2004-11-12 2010-04-13 Novellus Systems, Inc. Methods for producing low-stress carbon-doped oxide films with improved integration properties
US7166531B1 (en) 2005-01-31 2007-01-23 Novellus Systems, Inc. VLSI fabrication processes for introducing pores into dielectric materials
US7510982B1 (en) 2005-01-31 2009-03-31 Novellus Systems, Inc. Creation of porosity in low-k films by photo-disassociation of imbedded nanoparticles
US8137465B1 (en) 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
US8980769B1 (en) 2005-04-26 2015-03-17 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8889233B1 (en) 2005-04-26 2014-11-18 Novellus Systems, Inc. Method for reducing stress in porous dielectric films
US8454750B1 (en) 2005-04-26 2013-06-04 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8282768B1 (en) 2005-04-26 2012-10-09 Novellus Systems, Inc. Purging of porogen from UV cure chamber
US7491636B2 (en) * 2005-07-19 2009-02-17 Micron Technology, Inc. Methods for forming flexible column die interconnects and resulting structures
US7892985B1 (en) 2005-11-15 2011-02-22 Novellus Systems, Inc. Method for porogen removal and mechanical strength enhancement of low-k carbon doped silicon oxide using low thermal budget microwave curing
US7381644B1 (en) 2005-12-23 2008-06-03 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
US8110493B1 (en) 2005-12-23 2012-02-07 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
US7923376B1 (en) 2006-03-30 2011-04-12 Novellus Systems, Inc. Method of reducing defects in PECVD TEOS films
US7851232B2 (en) 2006-10-30 2010-12-14 Novellus Systems, Inc. UV treatment for carbon-containing low-k dielectric repair in semiconductor processing
US10037905B2 (en) 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
US8465991B2 (en) * 2006-10-30 2013-06-18 Novellus Systems, Inc. Carbon containing low-k dielectric constant recovery using UV treatment
US7906174B1 (en) 2006-12-07 2011-03-15 Novellus Systems, Inc. PECVD methods for producing ultra low-k dielectric films using UV treatment
US8242028B1 (en) 2007-04-03 2012-08-14 Novellus Systems, Inc. UV treatment of etch stop and hard mask films for selectivity and hermeticity enhancement
US7622162B1 (en) 2007-06-07 2009-11-24 Novellus Systems, Inc. UV treatment of STI films for increasing tensile stress
US8211510B1 (en) 2007-08-31 2012-07-03 Novellus Systems, Inc. Cascaded cure approach to fabricate highly tensile silicon nitride films
JP5241304B2 (ja) * 2008-04-23 2013-07-17 富士フイルム株式会社 表面金属膜材料の作製方法、表面金属膜材料、金属パターン材料の作製方法、及び金属パターン材料
US9050623B1 (en) 2008-09-12 2015-06-09 Novellus Systems, Inc. Progressive UV cure
SG174296A1 (en) 2009-03-10 2011-10-28 Air Liquide Cyclic amino compounds for low-k silylation
JP5324361B2 (ja) * 2009-08-28 2013-10-23 東京応化工業株式会社 表面処理剤及び表面処理方法
TW201403711A (zh) 2012-07-02 2014-01-16 Applied Materials Inc 利用氣相化學暴露之低k介電質損傷修復
US10070536B2 (en) * 2016-07-05 2018-09-04 Unimicron Technology Corp. Manufacturing method of circuit board structure
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
JP7045929B2 (ja) * 2018-05-28 2022-04-01 東京エレクトロン株式会社 半導体装置の製造方法および基板処理装置
CN111483975A (zh) * 2020-04-20 2020-08-04 北京理工大学 一种热导率可控的具有微纳结构的薄膜制作方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0864680A (ja) * 1994-08-05 1996-03-08 Texas Instr Inc <Ti> 半導体デバイス内に多孔質誘電体層を集積する方法及び半導体デバイス
JPH08330300A (ja) * 1995-05-29 1996-12-13 Sony Corp 絶縁材料、層間絶縁膜および層間絶縁膜の形成方法
JPH09298241A (ja) * 1996-03-06 1997-11-18 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
JPH10233446A (ja) * 1997-02-19 1998-09-02 Sony Corp 配線形成方法

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4944836A (en) 1985-10-28 1990-07-31 International Business Machines Corporation Chem-mech polishing method for producing coplanar metal/insulator films on a substrate
US5470801A (en) * 1993-06-28 1995-11-28 Lsi Logic Corporation Low dielectric constant insulation layer for integrated circuit structure and method of making same
JP2799425B2 (ja) 1993-12-09 1998-09-17 工業技術院長 セラミックス多孔質膜の製造方法
US5470802A (en) 1994-05-20 1995-11-28 Texas Instruments Incorporated Method of making a semiconductor device using a low dielectric constant material
US5494858A (en) * 1994-06-07 1996-02-27 Texas Instruments Incorporated Method for forming porous composites as a low dielectric constant layer with varying porosity distribution electronics applications
US5504042A (en) * 1994-06-23 1996-04-02 Texas Instruments Incorporated Porous dielectric material with improved pore surface properties for electronics applications
JPH0936226A (ja) * 1995-07-18 1997-02-07 Nec Corp 半導体装置およびその製造方法
JP3851393B2 (ja) * 1996-11-25 2006-11-29 旭化成株式会社 多孔質ケイ素酸化物膜の製造法
JPH10158011A (ja) * 1996-11-25 1998-06-16 Asahi Chem Ind Co Ltd 多孔質ケイ素酸化物膜の製造方法
JPH10256363A (ja) * 1997-03-13 1998-09-25 Sony Corp 半導体装置およびその製造方法
JP3210601B2 (ja) * 1997-05-28 2001-09-17 東レ・ダウコーニング・シリコーン株式会社 半導体装置及びその製造方法
JP3173426B2 (ja) * 1997-06-09 2001-06-04 日本電気株式会社 シリカ絶縁膜の製造方法及び半導体装置の製造方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0864680A (ja) * 1994-08-05 1996-03-08 Texas Instr Inc <Ti> 半導体デバイス内に多孔質誘電体層を集積する方法及び半導体デバイス
JPH08330300A (ja) * 1995-05-29 1996-12-13 Sony Corp 絶縁材料、層間絶縁膜および層間絶縁膜の形成方法
JPH09298241A (ja) * 1996-03-06 1997-11-18 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
JPH10233446A (ja) * 1997-02-19 1998-09-02 Sony Corp 配線形成方法

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
J. MACROMOL. SCI.-CHEM., Vol. A28, No. 9, (1991), T. SAEGUSA, "Organic Polymer-Silica Gel Hybrid; A Precursor of Highly Porous Silica Gel", pp. 817-829. *

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7858294B2 (en) 2000-06-23 2010-12-28 Honeywell International Inc. Method to restore hydrophobicity in dielectric films and materials
WO2002052629A3 (en) * 2000-12-21 2003-03-20 Intel Corp Mechanically reinforced highly porous low dielectric constant films
US6703324B2 (en) 2000-12-21 2004-03-09 Intel Corporation Mechanically reinforced highly porous low dielectric constant films
CN1305118C (zh) * 2000-12-21 2007-03-14 英特尔公司 通过ild柱结构性加强多孔隙、低k介电薄膜
WO2002052629A2 (en) * 2000-12-21 2002-07-04 Intel Corporation Mechanically reinforced highly porous low dielectric constant films
JP2002319582A (ja) * 2002-02-07 2002-10-31 Tokyo Ohka Kogyo Co Ltd シリカ系被膜形成用の塗布液
US7709371B2 (en) 2003-01-25 2010-05-04 Honeywell International Inc. Repairing damage to low-k dielectric materials using silylating agents
US7915181B2 (en) 2003-01-25 2011-03-29 Honeywell International Inc. Repair and restoration of damaged dielectric materials and films
KR101026211B1 (ko) 2003-10-10 2011-03-31 도쿄엘렉트론가부시키가이샤 유전체 막을 처리하기 위한 방법 및 시스템
US7915159B2 (en) 2004-09-15 2011-03-29 Honeywell International Inc. Treating agent materials
JP2011035426A (ja) * 2004-11-30 2011-02-17 Semiconductor Energy Lab Co Ltd 半導体装置の作製方法
US7678712B2 (en) 2005-03-22 2010-03-16 Honeywell International, Inc. Vapor phase treatment of dielectric materials
US7500397B2 (en) 2007-02-15 2009-03-10 Air Products And Chemicals, Inc. Activated chemical process for enhancing material properties of dielectric films
JP2008109149A (ja) * 2007-11-21 2008-05-08 Seiko Epson Corp 半導体装置の製造方法
JP2009177198A (ja) * 2009-03-30 2009-08-06 Hitachi Chem Co Ltd シリカ系被膜形成用塗布液、シリカ系被膜及びこれを用いた半導体装置
JP2015130469A (ja) * 2014-01-07 2015-07-16 サムソン エレクトロ−メカニックス カンパニーリミテッド. チップ電子部品及びその製造方法

Also Published As

Publication number Publication date
TWI222426B (en) 2004-10-21
AU3055599A (en) 1999-10-25
JP4521992B2 (ja) 2010-08-11
US6479374B1 (en) 2002-11-12

Similar Documents

Publication Publication Date Title
WO1999052136A1 (fr) Procede de fabrication d&#39;un corps structurel d&#39;interconnexion
US7179758B2 (en) Recovery of hydrophobicity of low-k and ultra low-k organosilicate films used as inter metal dielectrics
KR102205853B1 (ko) 논-리소그래피식으로 패터닝된 지향성 자가 조립 정렬 촉진 층들
JP4594988B2 (ja) 金属間誘電体として用いられる低k及び超低kの有機シリケート膜の疎水性の回復
JP2006504855A (ja) ガス層形成材料
TW200306616A (en) Tri-layer masking architecture for patterning dual damascene interconnects
KR20040024524A (ko) 에어 갭 형성
WO2003081665A1 (fr) Procede de production de dispositif semi-conducteur et dispositif semi-conducteur
JP2002324838A (ja) デュアルダマシン相互接続における有機物誘電体の密着性を改良する方法
WO2002019410A1 (en) Porous siliceous film having low permittivity, semiconductor devices and coating composition
JP2008511711A5 (ja)
JP2003501832A (ja) シロキサン誘電性フィルムを電子装置の有機物誘電性フィルムの集積化に使用する方法
JP4588304B2 (ja) コーティング組成物、およびそれを用いて製造した低誘電シリカ質材料
TWI244136B (en) Low oxygen content photoresist stripping process for low dielectric constant materials
KR20060008949A (ko) 피복 조성물, 다공성 실리카질 막, 다공성 실리카질 막의제조방법 및 반도체 장치
CN100552542C (zh) 由旋涂上的陶瓷薄膜组成的构图层
JP2003528442A (ja) デュアル・ダマシン処理中に下層の配線層を保護する方法
JP3982073B2 (ja) 低誘電率絶縁膜形成方法
JP5661562B2 (ja) 微細パターンマスクおよびその製造方法、ならびにそれを用いた微細パターンの形成方法
JP2006503165A (ja) オルガノシロキサン
JP2000106364A (ja) 絶縁膜の製造方法
US20070100109A1 (en) Nanoporous materials and methods of formation thereof
JP2000228399A (ja) シリカ系被膜形成用塗布液、その製造法、シリカ系被膜及び半導体装置
JP2000021872A (ja) 低誘電率樹脂組成物、低誘電率絶縁膜形成方法および半導体装置の製造方法
JP2003110018A (ja) 銅ダマシン構造の製造方法およびデュアルダマシン構造

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AE AL AM AT AU AZ BA BB BG BR BY CA CH CN CU CZ DE DK EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MD MG MK MN MW MX NO NZ PL PT RO RU SD SE SG SI SK SL TJ TM TR TT UA UG US UZ VN YU ZA ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): GH GM KE LS MW SD SL SZ UG ZW AM AZ BY KG KZ MD RU TJ TM AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE BF BJ CF CG CI CM GA GN GW ML MR NE SN TD TG

DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)

Free format text: (EXCEPT GD)

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 09647310

Country of ref document: US

NENP Non-entry into the national phase

Ref country code: KR

REG Reference to national code

Ref country code: DE

Ref legal event code: 8642

122 Ep: pct application non-entry in european phase