WO1999016113A1 - Platine, dispositif d'alignement de balayage et procede d'exposition de balayage, et dispositif fabrique par ce moyen - Google Patents

Platine, dispositif d'alignement de balayage et procede d'exposition de balayage, et dispositif fabrique par ce moyen Download PDF

Info

Publication number
WO1999016113A1
WO1999016113A1 PCT/JP1998/004223 JP9804223W WO9916113A1 WO 1999016113 A1 WO1999016113 A1 WO 1999016113A1 JP 9804223 W JP9804223 W JP 9804223W WO 9916113 A1 WO9916113 A1 WO 9916113A1
Authority
WO
WIPO (PCT)
Prior art keywords
substrate
mask
stage
scanning exposure
movable body
Prior art date
Application number
PCT/JP1998/004223
Other languages
English (en)
French (fr)
Inventor
Kenji Nishi
Original Assignee
Nikon Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nikon Corporation filed Critical Nikon Corporation
Priority to IL13513998A priority Critical patent/IL135139A0/xx
Priority to KR10-2000-7002815A priority patent/KR100521704B1/ko
Priority to EP98943052A priority patent/EP1028456A4/en
Priority to AU90957/98A priority patent/AU9095798A/en
Publication of WO1999016113A1 publication Critical patent/WO1999016113A1/ja
Priority to US09/525,732 priority patent/US6331885B1/en
Priority to US09/981,976 priority patent/US20020018192A1/en
Priority to US10/347,688 priority patent/US6906782B2/en

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/707Chucks, e.g. chucking or un-chucking operations or structural details
    • G03F7/70708Chucks, e.g. chucking or un-chucking operations or structural details being electrostatic; Electrostatically deformable vacuum chucks
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70258Projection system adjustments, e.g. adjustments during exposure or alignment during assembly of projection system
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70358Scanning exposure, i.e. relative movement of patterned beam and workpiece during imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/707Chucks, e.g. chucking or un-chucking operations or structural details
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70716Stages
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70716Stages
    • G03F7/70725Stages control
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70766Reaction force control means, e.g. countermass
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70775Position control, e.g. interferometers or encoders for determining the stage position
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/681Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment using optical controlling means

Definitions

  • the present invention relates to a scanning exposure apparatus and a scanning exposure method used for manufacturing a circuit device such as a semiconductor circuit element or a liquid crystal display element by a lithography process, and more particularly, to a method using a large mask of 9 inches or more.
  • the present invention generally includes the following five concepts under the common object of the invention described below.
  • the first concept is that a mask having a large pattern or a plurality of divided patterns is moved synchronously with a substrate, and a large pattern is connected to the substrate or the plurality of patterns are placed on the same substrate.
  • the second concept relates to a scanning exposure method and an apparatus for transferring images overlaid on an area, a stage apparatus having a reflecting mirror extending obliquely to the moving direction of the stage and an interferometer for transmitting a measuring beam to the reflecting mirror.
  • a third concept is that an eccentric load prevention stage device that floats and supports a second movable body and a first movable body, respectively, on a surface plate, and
  • the fourth concept is that, in scanning exposure in which a mask and a substrate are moved in synchronization, after a certain area defined on the substrate is scanned and exposed, the next partitioned area is formed.
  • the fifth concept related to the timing control of the step movement (stepping) of the substrate in the direction orthogonal to the scanning direction and the scanning movement of the substrate in the scanning direction when light is emitted.
  • the fifth concept is to synchronize the mask and the substrate in scanning exposure.
  • the present invention relates to controlling an acceleration when a mask or a substrate is moved in order to reduce a settling time of the mask. Specific descriptions of these concepts are provided at the beginning of the "Best Mode for Carrying Out the Invention" section of this document. Background art
  • the minimum line width is about 0.3 to 0.35 Atm using a reduction projection exposure apparatus that uses the i-line of a mercury lamp with a wavelength of 365 nm as the illumination light, a so-called stepper.
  • Mass-produced circuit devices 64 M (mega) -bit D-RAM, etc.).
  • an exposure system for mass-producing next-generation circuit devices with a 256-Mbit, 1G (gigabit) D-RAM class density and a minimum line width of 0.25 m or less The introduction of has begun.
  • Exposure tools for the next generation of circuit devices include ultraviolet pulsed laser light with a wavelength of 248 nm from a KrF excimer laser light source, or 193 nm from an ArF excimer laser light source.
  • the ultraviolet pulsed laser beam is used as illumination light, and a mask or reticle on which a circuit pattern is drawn (hereinafter collectively referred to as a “reticle”) and a wafer serving as a sensitive substrate are positioned relative to the projection field of view of the reduced projection optical system.
  • a step-and-scan type scanning exposure apparatus that repeats a scanning exposure operation to transfer the entire reticle circuit pattern into one shot area on the wafer by performing one-dimensional scanning and a stepping operation between shots is performed. Promising.
  • a Perkin-Elmer Micromirror Scan Exposure equipped with a reduction projection optical system including a refractive optical element (lens element) and a reflective optical element (concave mirror).
  • the device was first commercialized and marketed.
  • the Micra-scan exposure system is limited to arc-slits, for example, as described in detail in SPIE, Vol. Reticle and wafer are relatively moved at a speed ratio corresponding to the projection magnification (1/4 reduction) while projecting a part of the reticle butter onto the wafer via the effective projection area thus set. This is to expose the shot area on the wafer.
  • the excimer laser light is used as illumination light, and a circular projection field of view is reduced.
  • the effective projection area of the projection optical system is limited to a polygon (hexagon).
  • a method in which both ends of the projection area in the non-scanning direction are partially overlapped, that is, a combination of a so-called scan & stitching method is disclosed in, for example, Japanese Patent Publication No. Hei. This is disclosed in U.S. Pat. No. 4,922,457.
  • a projection exposure apparatus adopting such a scanning exposure method is disclosed in, for example, Japanese Patent Application Laid-Open No. Hei 4-19613 and U.S. Pat. No.
  • the pre-scan the target speed (the scanning speed at the time of exposure)
  • a settling operation is required until the speed converges to the target speed within a predetermined error range.
  • shots shot areas
  • the reticle is further moved from the end of exposure by the same distance as the pre-scanning movement before the start of exposure, and It is necessary to perform an operation to return the reticle to the scanning start position for the next shot exposure (Saiichi Bar scan). Therefore, when exposing a shot area having a size equivalent to the shot size of a batch exposure apparatus such as a step-and-repeat method, the pre-scan and the bar scan before and after the scanning exposure (scan exposure) are performed. In addition, the throughput may be lower than that of the batch exposure apparatus.
  • a scanning exposure apparatus in addition to pre-scanning and over-scanning, an operation of moving an exposure area of a wafer to the next shot (another shot adjacent to the one shot in the non-scanning direction), so-called,
  • stepping is also required.
  • the movement of the wafer between shots has been performed in the following steps (1) to (3). (1) After exposure, move the wafer stage (substrate stage) once to the same coordinate position in the scanning direction as the next shot scanning start position, (2) Step in the non-scanning direction to the next shot scanning start position, and (3) Next shot Start scanning for exposure of the data. Therefore, the wafer was moved along a U-shaped path.
  • the acceleration / deceleration of a reticle during scanning exposure is, for example, 0.5 G ⁇ 4 G.
  • the maximum speed is also as large as 350 mm / s-1500 mm / s.
  • the acceleration / deceleration during scanning exposure of the wafer stage and the maximum speed are proportional to the projection magnification 1 / n. It will be large. For this reason, the moving distances required before and after exposure during prescanning and bar scanning must be extended accordingly.
  • the scanning exposure was not performed at the movement distances during the pre-scan and the bar scan, and there was an inconvenience that the throughput was worsened by increasing the distance.
  • a rectangular XY stage that moves in a two-dimensional plane (XY plane) is used as a stage on the wafer side.
  • interferometers irradiate the measuring beams perpendicularly to the reflecting surfaces (also called moving mirrors) provided along two orthogonal sides of the XY stage. It was done using.
  • the wafer size has tended to increase from 8 inches to 12, 14, and 16 inches, and accordingly, the wafer stage holding the wafer tends to increase in size and weight. It is necessary to keep the long axis of the interferometric measurement from deviating from the reflecting surface of the moving mirror due to the increase in the moving distance during the pre-scan and the above-mentioned burskey scan. Therefore, the wafer stage tends to be larger and heavier. Such an increase in the size and weight of the wafer stage inevitably deteriorates the response of the position control of the wafer stage, and therefore, the settling time is prolonged, so that the throughput is originally improved from the viewpoint of improving the throughput.
  • the length measurement axes of the interferometer are made multiple, and an interferometer having two or more measurement axes is used for the wafer stage. It is used for position control. Also, a so-called double pass is used so that the rotation of the wafer stage does not affect the interference measurement length.
  • the use of multiple interferometry long axes or double passes inevitably leads to an increase in the length of the movable mirror, and the stage tends to become larger and heavier.
  • an increase in the size of the wafer stage may cause inconveniences such as an increase in the movement area of the wafer stage and, consequently, an increase in the installation area of the apparatus (increase in footprint).
  • the above-mentioned inconvenience associated with the increase in the size of the stage can occur not only in the exposure apparatus but also in any apparatus or apparatus having a moving stage for positioning.
  • the device rule in recent years is 0.2 mL / S or less, and in order to transfer such a pattern onto a wafer with sufficient accuracy, a KrF excimer laser or an ArF excimer laser is used as an illumination light source. Used.
  • X-ray exposure devices EB exposure devices (electron beam exposure devices) are candidates for next-generation exposure devices that can respond to such device rules.
  • Equipment have been devised, but there is a background that it is difficult to introduce them due to problems such as high technical hurdles and extremely low throughput compared to light exposure equipment.
  • planarization technology has been introduced, and pattern steps and resist thickness have become extremely thin. Therefore, the same wafer is exposed twice to increase the depth of focus. Attempts have been made to use the increasing double exposure method in a KrF or ArF exposure apparatus to expose up to 0.1 um L / S.
  • the double exposure method requires multiple exposures using multiple reticles, the time required for the exposure processing is more than double that of conventional equipment, and the throughput is significantly reduced. There is an inconvenience.
  • using the double exposure method in a KrF or ArF exposure system to achieve exposure up to 0.1 ms is the next step for mass production of 256 Mbit to 4 Gbit DRAM. There is no doubt that this is a powerful option for the development of next-generation machines, and there is an urgent need to develop a new technology5 to improve the throughput, which is the biggest drawback of the double exposure method, which is the bottleneck for this.
  • FIG. 29 (A) shows a conventional illumination system where the longitudinal slit length of the rectangular illumination slit ST (hatched portion) in the effective field of the projection optical system PL and the pattern length of the reticle R6 in the non-scanning direction are almost the same.
  • a plan view of a reticle stage RST 'of the scanning exposure apparatus is shown.
  • reticle stage RST 'scan direction (arrow Y)
  • a moving mirror 158 consisting of a plane mirror extends along the scanning direction, and at the center of one end in the scanning direction, a moving mirror 15 consisting of a corner cube is provided. 9 are provided.
  • the position of the reticle stage RST 'in the non-scanning direction is measured by an interferometer 1557X, which irradiates the movable mirror 1558 with a two-axis measuring beam RIX and receives the reflected light
  • the position of the reticle stage RST 'in the scanning direction is measured by an interferometer 157Y which irradiates the measuring beam RIY on 159 and receives the reflected light.
  • a corner cube is used as the moving mirror 159 for position measurement in the scanning direction (scanning direction), and the measuring beam RIY emitted from the interferometer 157Y to the moving mirror 159 is
  • the so-called double-pass configuration is such that the reflected light from the moving mirror 159, the reflecting mirror 160, and the moving mirror 159 is sequentially reflected, and the return light from the moving mirror 159 returns in the opposite direction on the optical path almost identical to the incident optical path. It has been adopted. This is to enable accurate length measurement in the scanning direction even if the reticle stage RST 'rotates in the plane.
  • FIG. 29 (B) is a plan view of reticle stage RST assuming that the next-generation exposure is realized using 9-inch reticle R9.
  • FIG. 29 (B) is a plan view of reticle stage RST assuming that the next-generation exposure is realized using 9-inch reticle R9.
  • the pattern area P of the 9-inch reticle R 9 is divided into adjacent pattern areas P having an area of 10 Omm ⁇ 20 Omm in the non-scanning direction for stitching and double exposure. 1 and P 2 are shown.
  • the length of each of the divided pattern areas P 1 and P 2 in the non-scanning direction is substantially the same as the length of the rectangular illumination slit portion st (hatched portion) in the effective field of the projection optical system PL in the longitudinal direction.
  • the reticle stage RST is used to expose each of the divided pattern areas P 1 and P 2.
  • the moving mirror 158Y 'for position measurement in the scanning direction is also a plane mirror because it needs to move in the non-scanning direction.
  • the reticle stage RST is rotated according to the rotation angle of the wafer, or the reticle R 9 is mounted on the reticle stage RST, and then the rotation direction is corrected on the reticle stage RST side.
  • the measuring beams RIX and RIY from the interferometers 157X and 157Y do not irradiate both the moving mirror 158X and the moving mirror 158Y 'vertically, and the position of the reticle stage RST is measured.
  • An object of the present invention is to provide a scanning exposure apparatus and a scanning exposure method.
  • a second object of the present invention is to provide a scanning exposure apparatus and a scanning exposure method suitable for multiple exposure.
  • a third object of the present invention is to provide a stage device capable of reducing the size and weight of a stage.
  • a fourth object of the present invention is to provide an exposure apparatus having a small and lightweight stage.
  • a fifth object of the present invention is to provide an exposure method capable of reducing the size and weight of a stage of an exposure apparatus.
  • a sixth object of the present invention is to provide a method for manufacturing a novel scanning type exposure apparatus.
  • a seventh object of the present invention is to provide a micro device using the exposure apparatus and the exposure method of the present invention. Disclosure of the invention
  • the scanning exposure apparatus is a scanning exposure apparatus that synchronously moves a mask (R) and a substrate (W) to transfer a pattern of the mask onto the substrate via a projection optical system (PL).
  • Exposure apparatus comprising: a mask disposed on an object plane side of the projection optical system.
  • a stage (WST) disposed on the image plane side of the projection optical system; a second direction provided on the mask stage and orthogonal to the first direction in which the mask is synchronously moved.
  • a plurality of corner cubes (31Y1, 31Y2, 31 ⁇ 3) arranged along the first direction; and the measuring beam (I ⁇ ) along the first direction is moved to the mask stage.
  • a first beam receiving a length measurement beam reflected by one of the plurality of corner cubes selected according to the position of the mask stage in the second direction.
  • an interferometer (30 °).
  • a plurality of corner cubes are arranged in a second direction (non-scanning direction) orthogonal to a first direction (scanning direction) in which the mask is synchronously moved on a mask stage, and the first direction is provided.
  • the measurement beam is directed toward the mask stage along the axis, and the measurement beam reflected at one of a plurality of corner cubes selected according to the position of the mask stage in the second direction.
  • the first interferometer that receives the light from the corner stage is provided based on the reflected light from one of the plurality of corner cubes selected according to the position of the mask stage in the second direction. Therefore, if the position of the mask stage in the second direction is at a position where one of the plurality of mirrors is selected, the first interferometer manages the position of the mask stage in the first direction and the position of the mask stage in the first direction. By moving the substrate stage in the first direction in synchronization, the pattern of the mask can be transferred onto the substrate via the projection optical system, and multiple parts on the mask can be transferred without changing the mask.
  • corner-cube means a reflecting member having at least two anti-sloping surfaces, and the angle between the two reflecting surfaces is set at right angles to each other. Also referred to as "one reflector” or "corner cue reflector”.
  • the present applicant disclosed an exposure apparatus using a corner cube in Japanese Patent Application Laid-Open No.
  • the mask stage (RST) in order to transfer the pattern of the mask (R) to the substrate (W), the mask stage (RST) is moved at least once along the first direction.
  • the drive control system causes the mask stage to reciprocate at least once in the first direction in order to transfer the pattern of the mask onto the substrate, and to move the mask stage in the second direction during the reciprocation.
  • two of the corner cubes are arranged in the second direction by a distance corresponding to the amount of movement, two of the corners and the cube are located at the first and second positions before and after the movement.
  • the position of the mask stage is reliably managed by the During the movement, it is possible to transfer patterns from different regions on the mask to the same or different regions on the substrate. In this case, it is not necessary to replace the mask. Note that the distance between the two corners and the cube in the second direction does not need to be the same as the amount of movement of the mask stage in the second direction.
  • the distance may be such that the measuring beam of the meter is irradiated.
  • the exposure apparatus according to the B aspect of the present invention comprises a mask (R) and a substrate (W) synchronized with each other and relatively moved in a first direction, and a pattern formed on the mask by a projection optical system (PL).
  • a scanning type exposure apparatus for transferring onto a substrate via a mask, the mask stage holding a mask and movable two-dimensionally (RST); and a substrate stage holding the substrate and movable in the first direction.
  • WST a first reflecting surface (84a) provided on the mask stage and extending in the first direction; and a first reflecting surface (84a) provided on the mask stage and arranged at predetermined intervals in a second direction orthogonal to the first direction.
  • a plurality of corner cubes (31Y1, 31 ⁇ 2, 31 ⁇ 3); one of the plurality of corner cubes in the first direction according to the position of the mask stage in the second direction.
  • a second interferometer (30X 1) for measuring the position of the mask stage in the second direction by receiving reflected light is measured by the second interferometer, and the first interferometer is moved from the first interferometer to one of the plurality of corner cubes according to the position.
  • the measurement beam in the direction is irradiated, and the reflected light is received, whereby the position of the mask stage in the first direction is measured by the first interferometer. Therefore, without exchanging the mask, multiple partial areas on the mask or multiple areas on the mask
  • the pattern can be transferred onto the substrate via the projection optical system, and accurate position management of the mask stage in the first direction (scanning direction) during the scanning exposure can be performed.
  • disposed at predetermined intervals in the second direction means not only that a plurality of corner cubes are arranged at a predetermined interval on the same straight line in the second direction, but also that a plurality of corner cubes are arranged. This means that the position coordinate components of the cube in the second direction are different from each other.
  • the positions of two corner cubes are diverted by the X-Y coordinate (X1-Y1) (X2-Y2) with the X-axis as the first direction and the Y-axis as the second direction.
  • X 1 ⁇ X 2 is sufficient
  • the predetermined interval may be any predetermined interval. That is, the mask stage may be rectangular and two corner cubes may be located on the side of the stage extending in the second direction, or one corner cube may be located on the side of the stage and the other may be located on the side of the stage. It may be arranged in the stage plane.
  • the plurality of corner cubes (31Y1, 31Y2) are arranged in a plurality of regions (31Y1 and 31Y2) arranged along the second direction on the mask. P 1 and P 2) may be provided.
  • the first interferometer surely controls the first position of the mask stage accurately using the corner cube corresponding to the area. It is possible.
  • the plurality of corner cubes further include a corner cube (31Y3) arranged at the center of the mask in the second direction.
  • the apparatus wherein the mask stage (RST) is irradiated with the length-measuring beam (1X2) in the second direction from the opposite side of the second interferometer (30X1).
  • the mask stage is further provided with a second reflecting surface (84b) parallel to the first reflecting surface (84a) to which the length measuring beam from the third interferometer is irradiated.
  • an arithmetic unit (33) for calculating the position of the mask stage in the second direction based on at least one of the measurement values of the second and third interferometers.
  • the arithmetic unit calculates the position of the mask stage in the second direction based on at least one of the measured values of the second and third interferometers.
  • the position of the mask stage in the second direction can be calculated by using the measured value of the interferometer that has the shorter measurement beam to the respective reflecting surface, and the rotation of the mask stage is converted to the measured value. Giving And the position of the mask stage in the second direction can be more accurately determined.
  • the arithmetic unit when the lengths of the measurement beams from the second and third interferometers to the respective reflecting surfaces are substantially the same, the difference between the second and third interferometers is calculated.
  • the arithmetic unit (33) is provided on the mask (R), which is located opposite to a projection field of the projection optical system (PL), which is a kind of positional information of the mask stage (R ST) in the second direction.
  • the position of the mask stage in the second direction may be obtained based on one or both of the measurement values of the second and third interferometers according to the information of the regions (P1, P2) .
  • a predetermined reference mark (Mrl, Mr2) is disposed on the substrate stage (WST), and the mask stage (RST) is positioned in a second direction.
  • a measuring device (50, 1) that measures a positional relationship between the mask (R) and the substrate stage (WST) using the reference mark. 10) may be further provided.
  • the measuring apparatus resets the first interferometer according to the position of the mask stage in the second direction, the positional relationship between the mask and the substrate stage is measured using the reference mark. Therefore, even if the mask stage is moved in the second direction in order to expose different regions on the mask, there is no inconvenience that the overlay accuracy of the mask and the substrate is deteriorated.
  • a force corresponding to the reaction force generated by the movement of the mask stage is applied to the mask stage or the second gantry by the actuate, so that the vibration during acceleration / deceleration of the mask stage is transmitted to the second gantry via the second gantry. It can be prevented from being transmitted to one stand.
  • the second interferometer (30X 1) may include two measuring beams (1 X11, 1 X12) along the second direction and the first reflecting surface (84). It is desirable that one of the two measurement beams crosses the optical axis of the projection optical system (PL).
  • the position of the mask stage in the second direction can be accurately measured without so-called Abbe's error by the measurement beam whose extension line intersects with the optical axis of the projection optical system.
  • the position measurement is performed independently by the long beam, and the rotation of the mask stage can be measured based on these results.
  • the first reflecting surface (84a) may be provided with a moving mirror made of a plane mirror on the mask stage and the reflecting surface may be used.
  • Is formed on the side of the mask stage (R ST) Is desirable. In such a case, the mask stage can be lightened accordingly.
  • the mask stage (RST) holding the mask (R) and the substrate stage (WST) holding the substrate (W) are synchronously moved relative to each other in a predetermined first direction.
  • the pattern of the first area (P 1) on the mask is controlled while irradiating the measuring beam (IY) on the mask 1) and receiving the reflected light to control the position of the mask stage in the first direction.
  • a second corner cube different from the first corner cube provided on the mask stage ( 3 1 Y 2) is irradiated with a measuring beam (IY), and the reflected light is received to control the position of the mask stage in the first direction, and to control the position of the first region on the mask.
  • a second scanning exposure step of transferring a pattern of a second region (P 2) adjacent in two directions to the predetermined region on the substrate is controlled based on the reflected light of the measurement beam from the first reflecting surface, and the measurement from the first corner cube is performed.
  • the pattern in the first region on the mask is transferred to a predetermined region on the substrate while managing the position of the mask stage in the first direction based on the reflected light of the long beam. For this reason, even if the mask stage is rotated or the like, the position management of the mask stage in the first scanning exposure process is performed accurately.
  • the position of the mask stage in the second direction is managed based on the reflected light of the measurement beam from the first reflecting surface,
  • I 7 Adjacent to the first area on the mask in the second direction while managing the position of the mask stage in the first direction based on the reflected light of the measurement beam from the second corner cube different from the first corner cube
  • the transferred pattern of the second area is transferred to the predetermined area on the substrate. Therefore, even if the mask stage is rotated or the like, the position of the mask stage can be accurately managed in the second scanning exposure process. Therefore, throughput can be improved even when stitching and double exposure are realized by using a large mask that does not require mask replacement, and the overlay accuracy can be improved by controlling the rotation of the mask stage. Exposure accuracy can be improved by improving the depth of focus.
  • the method according to the D aspect of the present invention comprises a scanning exposure method in which a mask (R) and a substrate (W) are synchronously moved, and a pattern of the mask is transferred to the substrate via a projection optical system (PL).
  • a method of manufacturing a device comprising:
  • PL projection optics
  • R ST is reciprocated at least once along the first direction, and the drive control system moves the mask stage along the second direction during the reciprocation.
  • the pattern formed on the mask (R) and the substrate (W) are moved relative to each other in a first direction in synchronization with each other through a projection optical system (PL).
  • PL projection optical system
  • a first interferometer (30) that irradiates one of the measurement beams ( ⁇ ⁇ ) in the first direction and receives the reflected light to measure the position of the mask stage in the first direction (30)
  • a second interferometer (30X) that irradiates the first reflecting surface with the measurement beam (1X1) in the second direction and receives the reflected light to measure the position of the mask stage in the second direction.
  • a method is provided that includes providing 1). In this method, it is preferable that the plurality of corner cubes are provided corresponding to each of a plurality of regions arranged along the second direction on the mask.
  • the method according to the F-th aspect of the present invention comprises: reciprocating the mask (R) in a first direction to form a first region and a second region arranged on the mask along a second direction orthogonal to the first direction.
  • ⁇ 1 and ⁇ 2 are respectively illuminated with illumination light, and the substrate (W) is moved in synchronization with the movement of the mask, whereby the pattern of the mask is placed on the substrate.
  • the mask stage is driven in the first direction based on the output of the interferometer (30Y) that irradiates the measurement beam to 1), and a second area (P2) on the mask is irradiated with the illumination light.
  • a second mirror (31 Y 2) different from the first mirror is irradiated with the measuring beam of the interferometer, and the mask stage is moved to the first mirror. It is characterized by being driven in the direction.
  • the interferometer when irradiating the first region on the mask with the illumination light, the interferometer that irradiates the first mirror provided on the mask stage holding the mask with the measurement beam is used.
  • the interferometer measures a second mirror provided on the mask stage, which is different from the first mirror.
  • the mask stage is driven in the first direction while irradiating a long beam.
  • the mask stage is driven in the first direction while the position of the mask stage in the first direction is controlled by the same interferometer even when transferring the second area.
  • the substrate is driven in synchronization with the stage, the first and second regions on the mask are transferred onto the substrate.
  • a short reflecting surface instead of a single long reflecting surface (plane mirror), a short reflecting surface (plane mirror or the like) is used as a mirror for measuring the position of the mask stage in the first direction (scanning direction).
  • a corner cube may be used as the first mirror and the second mirror.
  • the second area (P 2) on the mask is The image may be sequentially transferred to a plurality of partitioned areas.
  • the intensity distribution of the illumination light That is, at least one of the shape and the size of the illumination source (for example, the secondary light source) that emits the illumination light may be changed.
  • the second region on the mask approaches the illumination light.
  • the mask stage (RST) may be accelerated in a direction intersecting the first and second directions.
  • the velocity component of the mask stage in the second direction becomes zero before the stepping operation of the mask stage in the second direction is completed, or after the first area (P 1) on the mask is irradiated with the illumination light. Before that, acceleration of the mask stage in the first direction may be started.
  • the movement of the mask stage so that the movement locus of the mask stage is parabolic (or U-shaped) from the point of throughput.
  • the method according to the F aspect wherein the illumination light is applied to a first area (P 1) on the mask (R), and the illumination light is applied to a second area (P 2) on the mask. It is preferable that the mask stage (RST) be driven without stopping during the period. In such a case, since the mask stage does not stop, the time required for moving the mask stage is almost minimized.
  • the method according to the F mode wherein a first scanning exposure for transferring a first area (P 1) on the mask (R) to a first partitioned area on the substrate (W);
  • the substrate stage (WST) holding the substrate is not to be stopped between the second scanning exposure for transferring the area (P 2) to the first partitioned area on the substrate and the adjacent second partitioned area. It is desirable to drive.
  • the movement trajectory of the substrate stage This is not necessarily the shortest (for example, a V-shape), but since the substrate stage does not stop, the time required for movement (stepping) between adjacent regions of the substrate stage is almost the shortest.
  • the mask stage is not stopped, and the substrate stage is not stopped.
  • the time between the end of one scanning exposure and the start of the second scanning exposure is the shortest.
  • the substrate stage (WST) is accelerated in a direction intersecting the first and second directions before the second scanning exposure.
  • the substrate stage is accelerated while obliquely moving with respect to the first and second directions.
  • the mask is arranged along the second direction. And a second mask, wherein the first mask has a first pattern formed in the first region, and the second mask has a second pattern formed in the second region. That is, a plurality of masks may be placed on the mask stage.
  • the method according to the G aspect of the present invention comprises: reciprocating a mask (R) in a first direction; and forming first and second regions on the mask along a second direction orthogonal to the first direction ( 2) is irradiated with illumination light, and the pattern of the mask is transferred onto the substrate by moving the substrate (W) in synchronization with the movement of the mask.
  • the mask is moved without stopping between the irradiation of the illumination light on the first region above and the irradiation of the illumination light on the second region on the mask.
  • a method according to an Hth aspect of the present invention is a scanning exposure method for transferring a circuit pattern onto a substrate (W), wherein a mask having first and second separation patterns of the circuit pattern is provided. Move in the first direction, irradiate the first disassembly, “turn” with illumination light, and move the substrate in synchronization with the movement of the mask, and move to the partitioned area (for example, S 1) on the substrate.
  • a single reciprocation of the substrate makes the first and second decomposition patterns on the mask Pattern can be realized in which the pattern is superimposed and transferred to the same area on the substrate, resulting in a circuit pattern consisting of the first and second separations
  • the mask has at least one of the first direction and a velocity component in a second direction orthogonal to the first direction.
  • a first movable body that holds a substrate (W) and moves in a two-dimensional plane
  • 1A first reflection surface provided on a movable body (WST) and extending along a direction intersecting a predetermined first axis (Y-axis) and a second axis (X-axis) orthogonal thereto in the two-dimensional plane.
  • a stage device comprising: a calculating device (78) for calculating a position coordinate of the first movable body on a rectangular coordinate system defined by the first axis and the second axis.
  • the first movable body is provided with the first reflection surface extending along the direction intersecting the predetermined first axis and the second axis orthogonal to the first axis in the two-dimensional plane.
  • the interferometer 1 irradiates a length measuring beam perpendicularly to the first reflecting surface and receives the reflected light to measure the position of the first movable body in the third axial direction, which is the direction of the length measuring beam.
  • the interferometer is reset, and the stage position at this time is set on the first axis (Y axis) and the second axis (X axis).
  • Axis) is defined as the origin position (0, 0) of the rectangular coordinate system defined by.
  • the position (X, Y) after the stage has moved depends on the distance traveled in the third axis direction measured by the first interferometer and the angle at which the first reflecting surface intersects the first or second axis. It can be calculated. That is, the arithmetic unit can calculate the position coordinates on the rectangular coordinate system defined by the first axis and the second axis of the first movable body using only the measured values of the first interferometer. . Since it is sufficient to provide only the first reflecting surface in the direction intersecting the coordinate axes on the rectangular coordinate system on the first movable body, the reflecting mirrors are respectively provided on the movable bodies along the orthogonal axis direction on the rectangular coordinate system.
  • the number of interferometers and reflecting surfaces is reduced compared to the conventional example in which the position of the movable body in the orthogonal axis direction is measured using multiple interferometers, and a stage device with a simple configuration is realized. Can be.
  • the position measurement of the substrate and, consequently, the position control can be simplified.
  • the degree of freedom in the arrangement of the reflecting surface is improved, and as a result, the degree of freedom in designing the shape of the first movable body holding the substrate is improved. As a result, it is not necessary to use a square stage such as a square or a rectangle as the first movable body.
  • a reflecting surface when a reflecting surface is disposed obliquely on such a rectangular stage, the portion outside the reflecting surface is Can be removed. Therefore, it is possible to reduce the size and weight of the first movable body, that is, the stage that holds the substrate and moves two-dimensionally.
  • a second reflecting surface (60b) provided on the first movable body (WST) and extending in the second axial direction; and irradiating the second reflecting surface with a length measuring beam perpendicular to the second reflecting surface (60b).
  • the position coordinates of the first movable body in the direction of the second axis may be calculated based on the measured value of the first movable body.
  • the position of the first movable body in the first axis direction can be measured by the second interferometer, and the position of the first movable body in the second axis direction can be obtained by using the first interferometer and the calculator.
  • the second reflecting surface must be arranged at right angles to the first axis, but the first reflecting surface can be arranged to some extent, and the first movable body, that is, the substrate is held.
  • the arithmetic unit (78) is configured to control the first movable body (WST) based on both the measurement value of the first interferometer (76X1) and the measurement value of the second interferometer (76Y). At least one of the position in the first axis direction and the position in the second axis direction may be calculated. With this configuration, the measurement in the first axis direction is performed based on the measurement value of the second interferometer (76Y), and the result is compared with the measurement result in the first axis direction of the first interferometer (76X1). Is obtained, and the measurement error in the second axis direction by the first interferometer (76X 1) can be corrected accordingly.
  • the measurement in the first and second axial directions can be performed with high accuracy while the size of the stage is reduced.
  • the first movable body (WST) is provided on the first movable body (WST), and intersects the first axis and a second axis orthogonal thereto in the two-dimensional plane, and extends in a direction different from the first reflection surface.
  • the arithmetic unit (78) comprises the first and the second
  • the position of the first movable body in the direction of the second axis on the stage coordinate system defined by the first axis and the second axis may be calculated based on the measurement value of the third interferometer. That is, the position of the first movable body in the first axis direction is measured by using the second interferometer, and the position of the second movable body in each direction of the first movable body from a direction different from the first axis. The position can be obtained by calculation based on the measurement values of the first and third interferometers that measure the position of the first interferometer.
  • the first, second, and third reflecting surfaces can be arranged in a triangular shape.
  • the first movable body itself can be shaped in a triangular shape. Therefore, the first movable body can be considerably reduced in size and weight while maintaining high rigidity as compared with the conventional rectangular stage.
  • the first interferometer (76 X 1) transmits measurement beams of two measurement axes to the first reflection surface (60 a) in a direction orthogonal to the two-dimensional plane.
  • the position of the first movable body in the third axis direction is measured for each length measurement axis, and the arithmetic unit (78)
  • the inclination of the first movable body (WST) with respect to the two-dimensional plane may also be calculated based on the measurement value of the first interferometer.
  • the first interferometer (76 1) transmits the measurement beam of two measurement axes separated in a direction parallel to the two-dimensional plane to the first reflection surface (60a).
  • the rotation of the first movable body (WST) in the two-dimensional plane may be calculated based on the measurement value of the interferometer.
  • the second interferometer (76Y) has three measurement axes. The measurement beam is applied to the second reflecting surface so that the points of incidence on the second reflecting surface (60b) are not arranged on the same straight line on the second reflecting surface.
  • the position of the first movable body (WST) in the first axis direction is measured for each of the length measurement axes, and the arithmetic unit (78) measures the measurement of the second interferometer by the second interferometer.
  • the rotation of the first movable body in the two-dimensional plane and the inclination of the first movable body with respect to the two-dimensional plane may be calculated based on the value.
  • a reflecting mirror may be disposed on the first movable body, and the reflecting surface may be the first reflecting surface.
  • the first reflecting surface (60a) may be the first movable body (WST ) Is preferably formed on the end face or the side wall.
  • the first movable body may be substantially triangular
  • the second reflecting surface (60b) may be formed on an end face of the first movable body.
  • the apparatus may further include a driving device for driving in a direction perpendicular to the two-dimensional plane in accordance with the two outputs.
  • At least one output of the first, second, and third interferometers by the driving device at least one of three predetermined points near each apex angle of the first movable body is 2 Driven in a direction perpendicular to the dimensional plane. That is, the inclination of the first movable body (substrate) with respect to the two-dimensional plane is adjusted. At this time, the tilt adjustment is performed by driving the vicinity of the three vertices far from the position of the center of gravity of the first movable body, so that a high control response (tilt drive control response) can be obtained.
  • each of the interferometers (76X1, 76Y, 76X2)
  • the corresponding reflecting surfaces (60a, 60b, 60c) are illuminated with measuring beams of three measuring axes so that the incident points are not arranged in the same straight line.
  • the position of the first movable body (WST) in the direction of each length measurement axis is measured, and the arithmetic unit (78) is configured to calculate the position among the first, second, and third ones.
  • the rotation of the first movable body (WST) in the two-dimensional plane and the two-dimensional measurement using the measured values of the respective measurement axes of any one of the interferometers or any two or three interferometers may be calculated.
  • the first movable body (WST) moves in the two-dimensional plane, and a repelling drive mechanism mounted on the second plate (52). (58), and a first plate (TB) supported by the leveling drive mechanism and holding the substrate (W), wherein the first, second, and second plates are mounted on the first plate (TB).
  • a reflecting surface is provided, and the leveling drive mechanism (58) is configured to move the first plate to a different length from the first, second, and third interferometers on the respective extension lines.
  • the apparatus may further include an actuating control unit (56) that calculates each of the inclinations with respect to the two-dimensional plane and controls the three actuating units according to a result of the calculation performed by the arithmetic unit.
  • the actuator can be independently controlled in accordance with the tilt angle of the corresponding reflecting surface measured by each interferometer, so that reliable tilt adjustment can be efficiently performed.
  • the first plate (TB) has a regular triangular shape
  • it is preferable that the three actuators are respectively arranged near the vertices of the regular triangle of the first plate. In this case, a high tilt drive control response can be obtained.
  • a surface plate (22) and the first movable body (WST) are disposed on the upper portion thereof, and are disposed on the surface plate, and the surface plate, and the first movable member.
  • a second movable body (38) that can move relative to each of the bodies, wherein the second movable body is configured to move in response to a reaction force generated by the movement of the first movable body. May be.
  • a driving system (44) capable of driving the second movable body (38) provided on the surface plate (22) at a predetermined response frequency; It is desirable to further include a control device (78) for controlling the position of the second movable body at a response frequency of z or less.
  • a control device (78) for controlling the position of the second movable body at a response frequency of z or less.
  • a substrate stage (WST) that holds a substrate and moves in a two-dimensional plane; and a direction provided on the substrate stage and intersects a predetermined first axis and a second axis orthogonal to the predetermined axis in the two-dimensional plane.
  • a first reflecting surface extending along the first surface, and a first measuring surface for measuring the position of the substrate stage in the third axial direction by irradiating the first reflecting surface with a length measuring beam and receiving the reflected light.
  • An interferometer, and an arithmetic unit that calculates a position coordinate of the substrate stage (WST) on a rectangular coordinate system defined by the first axis and the second axis based on a measurement value of the first interferometer.
  • a stage device having;
  • An exposure apparatus is provided.
  • the stage control system moves the mask stage and the substrate stage relative to each other, the nozzle formed on the mask is transferred to the substrate (W) on the substrate stage.
  • the first and third interferometers are interferometers each having two measurement axes, and the mask (R) and the substrate (W)
  • the first and third interferences are interferometers each having two measurement axes, and the mask (R) and the substrate (W)
  • the first and third interferences are interferometers each having two measurement axes, and the mask (R) and the substrate (W)
  • a projection optical system (PL) having a light source orthogonal to each of the above, and an alignment optical system (ALG) provided separately from the projection optical system
  • the first and third interferences The extended intersection of one measuring axis (RIX11, RIX21) of each of the meters almost coincides with the center of the projection optical system, and the extended intersection of each of the remaining measuring axes (RIX12, RIX22) corresponds to the alignment.
  • each of the first, second, and third interferometers (76RIX1, RI ⁇ , RIX2) measures the length of the substrate (W) during scanning exposure.
  • the substrate stage (WST) at the time of exposure so that the axis does not deviate from any of the corresponding ones of the first, second, and third reflecting surfaces (60a, 60b, 60c).
  • each of the first, second, and third interferometers (76 RIX 1, RIY, RIX 2) has a measurement axis corresponding to the first, second, and third axes.
  • FM reference mark
  • KES sensor
  • each of the interferometers irradiates a corresponding reflecting surface with a three-axis measurement beam that is not colinear on each of the reflecting surfaces.
  • the arithmetic unit is configured to use any one of the first, second, and third interferometers, or the measurement value of each measurement axis of any two or three interferometers, and The rotation in the two-dimensional plane and the inclination with respect to the two-dimensional plane can be calculated.
  • the substrate stage moves in the two-dimensional plane, a leveling drive mechanism mounted on the second plate, and the substrate held by the leveling drive mechanism and holding the substrate.
  • the leveling drive mechanism transfers the first plate to the first, second, and third Three actuator units that are supported at three different points on the extension line of each of the measurement axes of the interferometer and that can be independently driven at the respective support points in a direction perpendicular to the two-dimensional plane,
  • the arithmetic unit calculates inclinations of the first reflecting surface, the second reflecting surface, and the third reflecting surface with respect to the two-dimensional plane using the measurement values of the first, second, and third interferometers, respectively.
  • the apparatus may further include an actuator controller for controlling the three actuators in accordance with the operation result of the arithmetic unit.
  • the arithmetic unit (78) operates based on the measurement value of the second interferometer (RIY).
  • the rotational displacement of the substrate stage (WST) in the two-dimensional plane is calculated, and the stage control system (33, 78, 80) corrects the rotational displacement of the substrate stage (WST) so that the rotational displacement is corrected.
  • the rotation of the stage may be controlled. This eliminates the need to hold the rotation control mechanism on the substrate stage side, thereby making it possible to reduce the weight of the stage device.
  • the scanning exposure apparatus further comprising: a surface plate; and the substrate stage disposed on the upper portion thereof, and disposed on the surface plate, and each of the surface plate, and the substrate stage.
  • a second movable body capable of relative movement by moving the same; a drive system capable of driving the second movable body provided on the surface plate at a predetermined response frequency; and a response of several Hz or less via the drive system.
  • the weight of the substrate stage (WST) is equal to or less than 1/9 of the weight of the second movable body (38), and the control device (78) controls the second stage before exposure or alignment.
  • the response frequency of the movable body and the other response frequencies can be made variable.According to this, it is not possible to respond during acceleration or deceleration of the substrate stage during exposure or alignment that requires precision control of the position of the substrate stage. , To the extent (2) If the response frequency of the movable body is lowered, the eccentric load due to the movement of the center of gravity of the substrate stage can be canceled by the movement of the center of gravity of the second movable body, and at that time, the distance that the second movable body moves in the opposite direction is reduced.
  • the position can be controlled by increasing the response frequency of the second movable body during driving operations other than the above-described exposure and alignment. Can be reduced. Further, in this case, a position measuring device (45) for monitoring the two-dimensional position of the second movable body (38) is further provided, and the control device (78) is provided with a device other than the exposure and the alignment. When the substrate stage (WST) moves, the position of the second movable body may be corrected to a predetermined position based on the measurement result of the position measurement device. According to the ⁇ -th aspect of the present invention, scanning is performed by exposing the sensitive substrate with the pattern by moving the mask on which the pattern is formed and the sensitive substrate synchronously with respect to the area where the energy beam is projected. An exposure device,
  • a scanning exposure apparatus including: an interferometer system that transmits a length measurement beam to each of the first to third reflecting surfaces.
  • the triangles forming the first to third reflecting surfaces may be regular triangles.
  • the energy beam may be light of any wavelength, such as visible light, ultraviolet light, or X-rays, or a particle beam of electromagnetic waves, electrons, or the like.
  • a surface plate 22; a first movable body (WST) that can move relative to the surface plate (22) and holds a substrate;
  • the first movable body is disposed on the upper part thereof, and is disposed on the surface plate, and the surface plate
  • a second movable body (38) that moves relative to each of the first movable body and a driving device (42) that is provided on the second movable body and moves the first movable body in a two-dimensional plane.
  • the second movable body is configured to move in response to a reaction force generated by the movement of the first movable body.
  • the driving device (42) includes a linear actuator that drives the first movable body on the second movable body, and the first movable body (WST) and the second movable body (WST). 38) may be supported in a non-contact manner on the second movable body and the surface plate, respectively.
  • the first movable body (WST) may include a first reflecting surface (60a) extending in a direction intersecting each of the first and second axes orthogonal to each other on the two-dimensional plane.
  • a second reflecting surface (60b) extending in the second axis direction; and a third reflecting surface (60c) arranged substantially symmetrically with respect to the first axis with respect to the first reflecting surface.
  • Three interferometers (76X1, 76X2, 76Y) for irradiating the first, second, and third reflecting surfaces with the measurement beams, respectively, may be further provided.
  • the first movable body (WST) includes a first plate (TB) on which the substrate (W) is mounted, and a first plate (TB) on which the first plate is placed.
  • a driving mechanism (58) that moves in a direction perpendicular to the two-dimensional plane and tilts relatively to the two-dimensional plane; and a second plate on which the driving mechanism is mounted.
  • the first movable body may include a plurality of movable parts (WST1, WST2) as described in the second embodiment of the present invention.
  • WST1, WST2 movable parts
  • the second movable body is moved by a reaction force of the driving force.
  • the eccentric load due to the movement of the center of gravity of the movable portion can be canceled by the movement of the center of gravity of the second movable body, and as a result, the center of gravity of the entire stage device can be held at a predetermined position.
  • the unbalanced load due to the movement of the center of gravity of the plurality of movable parts is canceled by the movement of the center of gravity of the second movable body due to the reaction force corresponding to the resultant force of the driving forces.
  • the second movable body moves so that the center of gravity of the entire stage device can be held at a predetermined position. Therefore, it is not necessary to adjust the operation of the movable parts so that the operation of one movable part does not act as a disturbance on the other movable parts, so that the control load is reduced and each movable part is reduced.
  • the position controllability of both can be kept high.
  • the mass of the first movable body (WST) is approximately 1/9 or less of the mass of the second movable body (38), and It is preferable to further include a second driving device (44, 78) for driving the second movable body at a low response frequency.
  • a second driving device 44, 78 for driving the second movable body at a low response frequency.
  • a scanning type exposure apparatus including the stage device according to the second aspect, wherein the mask stage (RST) holding a mask (R); A projection optical system (PL) having an optical axis orthogonal to each of the disc (R) and the substrate (W); a first mount supporting the projection optical system and suspending the base (22).
  • an exposure apparatus characterized in that the image is transferred onto the substrate via a projection optical system.
  • a force corresponding to the reaction force generated by the movement of the mask stage is applied to the mask stage or the second mount by the actuator, so that the vibration during acceleration / deceleration of the mask stage is transmitted to the first mount via the second mount. It can be prevented from being transmitted to the gantry.
  • the actuating night moving the mask stage and the actuating night given to the second stand may be combined.
  • the first movable body (WST) is the two-dimensional plane A first reflecting surface (70a) extending in a direction intersecting the scanning direction of the substrate (w) and a non-scanning direction orthogonal thereto, and a second reflecting surface extending in the non-scanning direction.
  • the first movable body having an isosceles triangular shape can be employed, so that the size of the first movable body can be reduced as compared with the conventional substrate stage.
  • the second driving device positions the second movable body at a predetermined point on the surface plate based on the output of the position measurement device except for the exposure operation of the substrate and the alignment operation. This makes it possible to make the footprint smaller.
  • a scanning exposure apparatus for synchronously moving a mask (R) and a substrate (W) to transfer a pattern of the mask R onto the substrate.
  • a first reflecting surface (60a) extending along a direction that intersects a first direction in which the second reflecting surface moves synchronously and a second direction orthogonal to the first direction; and a second reflecting surface (60) extending along the second direction.
  • An exposure apparatus comprising: first and second interferometers (76X1, 76X2) for irradiating the first and second reflecting surfaces with measurement beams, respectively.
  • the exposure apparatus further comprising a projection optical system (PL) having an optical axis substantially orthogonal to each of the mask and the substrate, wherein each of the first and second interferometers has a length measuring axis. They may be arranged so as to intersect at the optical axis of the projection optical system.
  • the apparatus further comprises an off-axis alignment sensor (ALG) for irradiating a mark on the substrate with a light beam, and the first interferometer (76X 1) is provided with a projection optical system (PL).
  • a first measurement axis (RIX11) intersecting with the optical axis and a second measurement axis (R1X12) intersecting with the detection center of the office alignment sensor may be provided.
  • the second interferometer (76Y) irradiates the second reflecting surface (60b) with two measurement beams separated in a second direction, and the optics alignment sensor (76).
  • the detection center of (ALG) is defined by the two measurement beams, and is preferably disposed on the measurement axis of the second interferometer passing through the optical axis of the projection optical system (PL).
  • the substrate stage (WST) has a third reflecting surface (60c) arranged substantially symmetrically with respect to the first reflecting surface (60a) in the first direction, and A third interferometer (76X2) for irradiating the measuring surface with the measurement beam may be further provided.
  • the third interferometer (76X2) includes a third measurement axis (RIX21) that intersects with the optical axis of the projection optical system and a third measurement axis that intersects with the detection center of the Ofaxis alignment sensor. It is desirable to have four measuring axes (RIX 22).
  • an exposure method for transferring a pattern of the mask onto the sensitive substrate by synchronously moving the mask (R) and the sensitive substrate (W). In the synchronous movement direction of the sensitive substrate (W) and the non-scanning direction orthogonal to the synchronous movement direction, at least in the non-scanning direction, position control is performed using a length measurement beam in a direction different from the non-scanning direction.
  • An exposure method characterized by performing an exposure operation is provided.
  • position control is performed using a length measurement beam in a direction different from this. That is, since position control in the non-scanning direction is performed using a length measuring beam obliquely intersecting the scanning direction, any stage provided with a reflecting surface in a direction perpendicular to the length measuring beam is used.
  • a stage with a shape can be adopted as the stage of the sensitive substrate, eliminating the need to use a rectangular stage such as a square or a rectangle, increasing the degree of freedom in designing the shape of the stage and consequently increasing the sensitivity.
  • the stage of the substrate can be reduced in size. According to a 0th aspect of the present invention, there is provided a method of manufacturing a stage device, comprising:
  • a first reflecting surface extending along a direction intersecting a predetermined first axis and a second axis orthogonal to the first axis in the two-dimensional plane;
  • a first interferometer for measuring a position of the first movable body in a third axial direction by irradiating a length measuring beam perpendicularly to the first reflecting surface and receiving the reflected light;
  • a method for manufacturing a device is provided. According to a Pth aspect of the present invention, there is provided a method of manufacturing a scanning exposure apparatus for exposing a substrate by transferring a pattern formed on a mask onto the substrate,
  • a substrate stage that holds a substrate and moves in a two-dimensional plane, and is provided on the substrate stage, along a direction intersecting a predetermined first axis and a second axis orthogonal to the predetermined axis in the two-dimensional plane.
  • a first reflecting surface extending, and irradiating a measuring beam perpendicular to the first reflecting surface
  • a first interferometer for measuring the position of the substrate stage in the third axis direction by receiving the reflected light, and a first interferometer for measuring the position of the substrate stage based on a measurement value of the first interferometer.
  • a stage device is manufactured by providing a calculation device for calculating a position coordinate on a rectangular coordinate system defined by an axis and a second axis, respectively;
  • the scanning type exposure apparatus transfers the pattern formed on the mask to a substrate on the substrate stage when the stage control system relatively moves the mask stage and the substrate stage.
  • a first movable body that can move relative to the surface plate and holds the substrate
  • the first movable body is disposed on an upper portion thereof, and a second movable body relatively moving with respect to each of the surface plate and the first movable body is disposed on the surface plate;
  • a method of manufacturing the stage device wherein the stage device is configured such that the second movable body moves in response to a reaction force generated by the movement of the first movable body.
  • a method of manufacturing a scanning exposure apparatus comprising: a surface plate; a first movable body capable of moving relative to the surface plate and holding a substrate; The first movable body is arranged on the upper part, and is arranged on the surface plate A second movable body that moves relative to each of the surface plate and the first movable body; and a drive that is provided on the second movable body and moves the first movable body in a two-dimensional plane.
  • a stage device configured to move the second movable body in response to a reaction force generated by the movement of the first movable body by providing the stage device.
  • a projection optical system having an optical axis orthogonal to each of the mask and the substrate; supporting the projection optical system; and providing a first mount on which the surface plate is suspended; Including providing a vibration device,
  • the scanning type exposure apparatus synchronously moves the mask and the substrate by the mask stage and the stage device, and transfers the pattern of the mask onto the substrate via the projection optical system.
  • a method for manufacturing a transfer type scanning exposure apparatus is provided. According to the S aspect of the present invention, there is provided a method for manufacturing a scanning exposure apparatus for synchronously moving a mask and a substrate to transfer a pattern of the mask onto the substrate,
  • a method of manufacturing a scanning exposure apparatus comprising providing first and second interferometers for irradiating the first and second reflecting surfaces with a measurement beam, respectively.
  • a plurality of shot areas (S1, S2, etc.) on the sensitive substrate (W) can be obtained.
  • the mask stage (RST), which can move while holding the mask, and the run-up operation for the next shot exposure after the exposure of the substrate stage and the stepping operation in the non-scanning direction for the next shot exposure are performed simultaneously.
  • a stage control system (33) that controls both the stages so that they are performed in parallel, and the stepping operation in the non-scanning direction ends before the synchronization period of the two stages before the next shot exposure. , 78, 80) are provided.
  • the stage control system takes the next shot after the end of the exposure of the substrate stage after the end of the scanning exposure of a certain shot.
  • the run-in operation for pre-exposure (pre-scan and pre-scan before and after the exposure time for scanning and exposing the shot) and the stepping operation in the non-scanning direction for the next shot exposure are performed simultaneously in parallel.
  • both stages are controlled so that the stepping operation in the non-scanning direction is completed before the synchronization period of both stages before the next shot exposure.
  • the approach operation of the substrate stage in the scanning direction and the stepping operation of the next shot in the non-scanning direction are performed simultaneously and in parallel.
  • the moving time becomes shorter as compared with the conventional movement control between shots of the substrate stage.
  • the substrate stage has a two-stage structure including a scanning direction moving stage and a non-scanning direction moving stage, the above operations (1) and (2) can be performed simultaneously and in parallel.
  • scanning of the next shot has not been started yet, so the stepping operation ends before the synchronization period of both stages before the next shot exposure, in other words, stepping ends.
  • the present invention improves the throughput because the operation of (3) has already started and the acceleration period has ended. Further, according to the present invention, since the stepping is completed before the synchronization stabilization period between the mask stage and the substrate stage before the next shot exposure, during the synchronization stabilization period, it is possible to concentrate on only constant-speed synchronization control of both stages. Time is not long.
  • the stage control system (33, 78, 80) includes a constant speed movement time and a deceleration time of the mask stage (RST) after the previous shot exposure.
  • the absolute value of the acceleration in the non-scanning direction of the substrate stage (WST) corresponding to the bus scan is greater than the deceleration in the non-scanning direction of the portion corresponding to the pre-scan of the mask stage (mask stage) before the start of the next shot exposure. It is more desirable to control both of the stages so that is larger. In such a case, the shaking (vibration) of the exposure apparatus main body, which occurs during high acceleration during stepping, is attenuated during deceleration. Therefore, when stepping is completed, that is, the synchronization settling period between the mask stage and the substrate stage. Before the vibration can be completely attenuated, the controllability is improved by that amount, the settling time is shortened, and the throughput can be improved.
  • a scanning exposure method for sequentially transferring a pattern of a mask (R) to a plurality of divided areas (S 1, S 2) on a substrate (W)
  • the sectioned area (S 2) of the substrate is scanned and exposed.
  • a method is provided characterized by initiating acceleration in a first direction.
  • the mask and the substrate are synchronously moved, and after one of the plurality of partitioned areas is scanned and exposed, one of the plurality of divided areas is moved in the second direction (non-scanning direction) orthogonal to the first direction (scanning direction).
  • a stepping operation of the substrate in the second direction after the scanning exposure of one partitioned area is performed.
  • the acceleration of the substrate in the first direction is started. In other words, after the end of the exposure of one sectioned area, the movement of the one sectioned area to another adjacent sectioned area in the non-scanning direction is started.
  • the acceleration time in the scanning direction for exposing the adjacent area can be at least partially overlapped with the moving time to the adjacent separate area in the non-scanning direction.
  • the throughput is improved compared to the conventional example, in which the stepping operation in the non-scanning direction for exposing another adjacent partitioned area is completed and then the acceleration in the scanning direction for exposing the adjacent area is started. It is possible to do.
  • the substrate (W) is moved obliquely with respect to the first and second directions by the acceleration before the scanning exposure of the another partitioned area (S 2), and It is preferable that the moving speed is set to a speed corresponding to the sensitivity characteristic of the substrate.
  • the moving speed in the first direction is set to a speed corresponding to the sensitivity characteristic of the substrate before the scanning exposure of another partitioned area (S 2), so that the speed is maintained and the mask is synchronized during the exposure. Since control is sufficient, control becomes easy. Further, in the early scanning exposure method, after the scanning exposure of the one partitioned area (S 1) is completed, the substrate is separated in the first direction by a running distance necessary for scanning and exposing the another partitioned area. Further, the substrate may be moved in the second direction while being decelerated in the first direction.
  • the substrate (W) may be arranged such that the first direction is between the scanning exposure of the one partitioned area (S 1) and the scanning exposure of the other partitioned area (S 2). It is desirable that at least one of the velocity component in the second direction and the velocity component in the second direction be moved so as not to become zero. In such a case, the substrate is not stopped between the scanning exposure of one partitioned area (S 1) and the scanning exposure of the other partitioned area (S 2). This is because the throughput is improved.
  • the substrate (W) may be arranged such that the first direction is between the scanning exposure of the one partitioned area (S 1) and the scanning exposure of the other partitioned area (S 2).
  • the position in the second direction at which the moving speed of the second divided region becomes zero may be moved closer to the another divided region than the one divided region.
  • the scanning of another partitioned area must be performed. Since the speed in the non-scanning direction is zero a certain time before the start of the exposure, the movement in the non-scanning direction ends at a certain time before the start of the scanning exposure of another partitioned area.
  • the mask (R) and the substrate (W) are synchronously moved, and the substrates are arranged along a second direction substantially orthogonal to the first direction in which the substrate is synchronously moved.
  • the mask (R) and the substrate (W) are synchronously moved, and the substrate (W) is arranged in a second direction substantially orthogonal to the first direction in which the synchronous movement is performed.
  • the scanning exposure method for transferring the mask pattern to a first partitioned area (S 1) and a second partitioned area (S 2) on the substrate respectively, after the scanning exposure of the first partitioned area (S 1), After the substrate is moved so that its movement trajectory is substantially parabolic, the second partitioned area (S 2) is scanned and exposed with the pattern of the mask.
  • the substrate is moved so that its movement trajectory is substantially parabolic.
  • the substrate is moved substantially in the first direction, and the speed component in the non-scanning direction of the substrate does not affect the scanning exposure after the start of the scanning exposure.
  • acceleration of the mask (R) is started before the speed component of the substrate (W) in the second direction becomes zero.
  • the mask starts accelerating before the velocity component of the substrate in the second direction becomes zero, so that the acceleration of the mask starts after the velocity component of the substrate in the second direction becomes zero.
  • the mask (R) and the substrate (W) are synchronously moved, and the substrate is arranged in a second direction substantially orthogonal to the first direction in which the substrate is synchronously moved.
  • a scanning exposure method for transferring a pattern of the mask to a first partitioned area (S 1) and a second partitioned area (S 2) on a plate after the scanning exposure of the first partitioned area (S 1) is completed.
  • the substrate is moved in a direction intersecting the first and second directions during deceleration of the substrate after the scanning exposure in the first partitioned area and during the acceleration of the substrate before the scanning exposure in the second partitioned area.
  • the board has a shorter movement trajectory as compared with the conventional U-shaped path, and the board is moved along a path that is closer to the shortest distance, resulting in a corresponding sloop. It is possible to improve the cutting efficiency.
  • the moving track of the substrate may be V-shaped, but the substrate is moved without stopping between the scanning exposure of the first partitioned area and the scanning exposure of the second partitioned area. It is desirable that the trajectory be parabolic (or U-shaped). In this case, the movement trajectory of the substrate is not the shortest, but since the substrate does not stop, the total required time for the substrate scan, stepping, and prescan (the time required for the substrate to move between shots) is Shortest.
  • the mask (R) and the substrate (W) are synchronously moved, and the substrate (W) is arranged along a second direction orthogonal to the first direction in which the substrate (W) is synchronously moved.
  • a method for initiating plate acceleration According to this, after the scanning exposure of the first partitioned area is completed, the movement of the substrate in the second direction toward the second partitioned area is started.
  • the movement of the substrate in the second direction for the scanning exposure of the second division area is completed after the acceleration of the substrate in the second direction is completed. It is possible to improve the throughput compared to the case where acceleration is started.
  • the substrate is tilted with respect to the first direction before the velocity component of the substrate (W) in the first direction becomes zero. It is desirable to move the substrate immediately after the start of acceleration of the substrate so that the velocity components in the first and second directions do not become zero.
  • the mask (R) and the substrate (W) are synchronously moved, and the substrate is arranged along a second direction orthogonal to a first direction in which the substrate is synchronously moved.
  • the scanning exposure method for sequentially transferring the pattern of the mask to a first partitioned area (S 1) and a second partitioned area (S 2) on a substrate after the scanning exposure of the first partitioned area (S 1) is completed, Before the velocity component of the substrate (W) in the second direction becomes zero, the acceleration of the substrate for scanning exposure of the second partitioned area is started.
  • the substrate is moved in the second direction toward the second sectioned area, and the movement is completed and the speed of the substrate in the second direction is completed.
  • the acceleration of the substrate for the scanning exposure of the second partitioned area is started, so that the movement of the substrate in the second direction for the scanning exposure of the second partitioned area is completed.
  • the throughput can be improved as compared with the case where the acceleration for the scanning exposure of the second partitioned area is started.
  • the substrate (W) is accelerated in the first direction and decelerated in the second direction, that is, acceleration of the substrate in the first direction while the substrate is decelerated in the second direction.
  • the scanning be performed before the scanning exposure of the two-section area.
  • acceleration of the substrate in the second direction is started before the speed component of the substrate in the first direction after the scanning exposure of the first partitioned area is reduced to zero. It is desirable to do.
  • the mask (R) and the substrate (W) are synchronously moved, and the self-substrate is arranged along a second direction orthogonal to the first direction in which the substrate is synchronously moved.
  • the scanning exposure method for sequentially transferring the pattern of the mask to a first partitioned area (S 1) and a second partitioned area (S 2) on the substrate
  • the scanning exposure of the first partitioned area (S 1) A position in the second direction of the substrate at which the velocity component of the substrate in the first direction becomes zero after the end is closer to the first partitioned area than a position of the second partitioned area in the second direction
  • a method is provided, wherein the substrate is moved obliquely with respect to the first and second directions to scan and expose the second partitioned area.
  • the moving trajectory of the substrate after the end of the scanning exposure in the first sectioned area is shorter than that of the conventional U-shaped path, and the substrate is moved along the path closest to the shortest distance, thereby improving the throughput accordingly.
  • the movement locus of the substrate may be V-shaped, but the substrate moves without stopping between the scanning exposure of the first partitioned area and the scanning exposure of the second partitioned area, and It is desirable that the locus be parabolic (or U-shaped).
  • the mask (R) and the substrate (W) are synchronously moved, and the substrate is arranged along a second direction orthogonal to a first direction in which the substrate is synchronously moved.
  • a first scanning exposure of the first partitioned area (S 1) is performed.
  • the velocity component of the substrate in the first direction after the first scanning exposure is set to zero.
  • accelerating the substrate so that the velocity components in the first and second directions do not become zero prior to the second scanning exposure. According to this, the substrate is moved obliquely with respect to the first and second directions along a curved (or straight) path before the second scanning exposure.
  • the mask (R) and the substrate (W) are synchronously moved, and the substrate is arranged along a second direction orthogonal to the first direction in which the substrate is synchronously moved.
  • a scanning exposure method for sequentially transferring the pattern of the mask to a first partitioned area (S 1) and a second partitioned area (S 2) on a substrate a first scanning exposure of the first partitioned area (S 1) is performed.
  • S 2 The position of the substrate in the second direction where the later velocity component in the first direction becomes zero is the position of the first sectioned area in the second direction and the position of the second sectioned area in the second direction.
  • said substrate is moved to be between. According to this, when the first scanning exposure is completed, the substrate is moved in the second direction while reducing the speed of the substrate in the first direction. At this time, the speed component of the substrate in the first direction becomes zero. The substrate is moved such that the position in the second direction is between the position in the second direction of the first sectioned area and the position in the second direction of the second sectioned area. Therefore, when the first scanning exposure is completed, the substrate is moved obliquely with respect to the first and second directions along a curved (or straight) path.
  • the mask (R) and the substrate (W) are synchronously moved, and the substrates are arranged along a second direction orthogonal to the first direction in which the synchronous movement is performed.
  • a scanning exposure method for sequentially transferring a pattern of the mask to a first partitioned area (S 1) and a second partitioned area (S 2) on the substrate a first scanning of the first partitioned area (S 1) During deceleration of the substrate after the first scanning exposure, and so that the movement locus of the substrate between the exposure and the second scanning exposure of the second partitioned area (S 2) is approximately parabolic.
  • the velocity component in the second direction is made zero.
  • ⁇ A method for moving the substrate.
  • the movement trajectory of the substrate between the first scanning exposure of the first partitioned area and the second scanning exposure of the second partitioned area is substantially parabolic, and the velocity component in the second direction is set to zero. Since the substrate is moved without any trouble, the substrate does not stop, and the total time required for overscan, stepping, and prescan (the time required to move the substrate between shots) is almost the shortest.
  • the velocity component of the substrate (W) in the second direction may be substantially zero immediately after the end of the first scanning exposure and immediately before the start of the second scanning exposure.
  • the mask (R) and the substrate (W) are synchronously moved, and the substrate is arranged along a second direction orthogonal to the first direction in which the substrate is synchronously moved.
  • a scanning exposure method for sequentially transferring the pattern of the mask to a first partitioned area (S 1) and a second partitioned area (S 2) a first scanning exposure of the first partitioned area (S 1) Before the second scanning exposure of the second partitioned area (S 2), before the speed component of the substrate in the first direction after the first scanning exposure is reduced to zero, the second direction of the substrate is reduced.
  • the acceleration in the first direction, and before the velocity component of the second direction of the first substrate becomes zero, the acceleration of the first substrate in the first direction is started.
  • the locus of movement of the substrate between the first scanning exposure of the first partitioned area and the second scanning exposure of the second partitioned area is represented by U Jo or a path close to.
  • the acceleration of the substrate in the first direction is started during the deceleration of the substrate in the second direction.
  • the acceleration of the substrate (W) in the second direction is started during the deceleration of the substrate after the completion of the first scanning exposure.
  • Vth mode it is preferable that the substrate is moved without stopping between the scanning exposure of the first partitioned area and the scanning exposure of the second partitioned area.
  • the mask (R) and the substrate are synchronously moved for each of the divided regions on the substrate (W), and the plurality of divided regions (S1, S2 , S 3,...),
  • the step-and-scan type scanning exposure method of sequentially transferring the pattern of the mask, the reciprocating movement of the mask (thus, the two partitioned areas on the substrate to which the pattern of the mask is transferred) A method is provided in which the substrate is moved without stopping between the scanning exposures of the two regions. ) Between scanning exposures Since the substrate does not stop at this point, the throughput is further improved for that part.
  • the substrate (W) has a first direction in which the substrate is synchronously moved until scanning exposure of the last partitioned area on the substrate to which the pattern of the mask (R) is to be transferred is completed, and It is desirable that at least one of the velocity components in the second direction perpendicular to it be moved so that it does not become zero.
  • the substrate is not stopped while the scanning exposure of the step and scan method is performed on all of the plurality of divided areas, so that the throughput is improved most.
  • an exposure apparatus for transferring a pattern of a mask onto a substrate, wherein the exposure apparatus is capable of moving relative to a surface plate and a surface plate, and holds the substrate.
  • At least two first movable bodies each of the first movable bodies being disposed on an upper portion thereof; being disposed on the surface plate; and being relative to each of the surface plate and each of the first movable bodies.
  • a second movable body that moves; and a driving device that is provided on the second movable body and drives each of the first movable bodies in a two-dimensional plane.
  • the second movable body is moved in response to a reaction force at the time of driving each of the first movable bodies, and a substrate onto which a pattern of the mask is transferred is held by each of the first movable bodies.
  • the mass of each of the first movable bodies can be set to approximately 1/9 or less of the mass of the second movable bodies, and the second movable body is driven at a low response frequency on the surface plate.
  • a driving device may be further provided. In a case where the image forming apparatus further includes a projection optical system that projects the mask pattern onto the substrate, the driving device may transfer the mask pattern onto the substrate held by each of the first movable bodies.
  • the first movable body holding the substrate on which the pattern is to be transferred may be driven in the scanning direction with respect to the projection optical system in synchronization with the mask.
  • a scanning exposure method for synchronously moving a mask and a substrate to transfer a pattern of the mask to one or more divided regions on the substrate Prior to the synchronous movement of the mask and the substrate, at least one of the mask and the substrate is moved in the synchronous movement direction based on an acceleration change curve such that the acceleration gradually converges to zero.
  • At the time of scanning exposure for each partitioned area at least one of the mask and the substrate is based on an acceleration change curve such that the acceleration gradually converges to zero prior to the synchronous movement between the mask and the substrate. Therefore, the acceleration is not discontinuous, that is, does not suddenly change at the end of the acceleration as in the case of accelerating to the target scanning speed at a constant acceleration. Therefore, high-frequency vibration of at least one of the mask and the substrate caused by the rapid change of the acceleration can be suppressed, and the position error with respect to the target position (which naturally changes with time) can be quickly brought into the allowable range. The convergence can be achieved, and as a result, the synchronization settling time between the mask and the substrate can be shortened.
  • the settling time can be shortened most, but usually, in the scan type exposure apparatus, Since the maximum acceleration of one of the mask (mask stage) and the substrate (substrate stage) is a constraint, if the above-mentioned acceleration method is adopted for those who have this constraint, a sufficient effect will be obtained. Obtainable.
  • the pattern of the mask is sequentially transferred to a first partitioned area and a second partitioned area on the substrate arranged along a second direction orthogonal to the first direction in which the substrate is synchronously moved.
  • the substrate Upon the completion of the scanning exposure of the first partitioned area, The substrate is moved in a direction intersecting the first and second directions during deceleration of the plate in the first direction and during acceleration of the substrate in the first direction before scanning exposure of the second partitioned area. May be. In such a case, since the substrate is consequently moved along a path close to the shortest distance, it is possible to further improve the throughput in combination with the shortening of the settling time described above. According to this, the mask and the substrate are moved synchronously, and the first partitioned region and the second partitioned region on the substrate are arranged along a second direction orthogonal to the first direction in which the substrate is synchronously moved.
  • the scanning exposure method of sequentially transferring the pattern of the mask at least at least one of the mask and the substrate is moved prior to the synchronous movement of the mask and the substrate during the scanning exposure of the first partitioned region. While accelerating along the first direction based on an acceleration change curve such that the acceleration gradually converges to zero, decelerating along the first direction at a constant deceleration after completion of the synchronous movement.
  • a featured method is provided. According to this, at the time of scanning exposure to the first partitioned area, at least one of the mask and the substrate is based on an acceleration change curve such that the acceleration gradually converges to zero prior to the synchronous movement between the mask and the substrate.
  • the acceleration curve decelerates at a constant deceleration (normally the deceleration corresponding to the maximum acceleration) at the time of deceleration after the end of synchronous movement.
  • the time required for deceleration can be shortened as compared with the case where deceleration is performed based on.
  • the substrate may be decelerated in a first direction after the scanning exposure of the first partitioned area is completed and during acceleration of the substrate in a first direction before scanning exposure of the second partitioned area. It may be arranged to move in a direction intersecting with the first and second directions.
  • the substrate is consequently moved on a path close to the shortest distance, so that the throughput can be further improved by shortening the synchronous settling time and the deceleration time.
  • a scanning exposure method for transferring a mask pattern onto the substrate by synchronously moving a mask and a substrate with respect to an area irradiated with energy rays.
  • a scanning exposure method is provided, wherein the scanning exposure is performed while the mask and the substrate are moving at a constant speed. After the mask and the substrate move at a constant speed, one of the mask and the substrate may be decelerated at a constant deceleration.
  • a method of manufacturing a scanning exposure apparatus for sequentially transferring a pattern of the mask to a plurality of shot areas on the sensitive substrate by synchronously moving the mask and the sensitive substrate.
  • a microdevice such as a semiconductor element or a liquid crystal substrate manufactured by using the exposure method according to any one of the C, F, G and H modes.
  • a microdevice such as a semiconductor element or a liquid crystal substrate manufactured by using the exposure method according to any one of the modes 7, 7, and ⁇ is provided.
  • FIG. 1 is a perspective view showing a scanning exposure apparatus according to one embodiment of the present invention.
  • FIG. 2 is a diagram schematically showing an internal configuration of the scanning exposure apparatus of FIG.
  • FIG. 3 is a diagram for explaining the reaction facts and the reaction frame.
  • ( ⁇ ) in FIG. 4 is a plan view near the reticle base platen, and ( ⁇ ) is a side view near the reticle base plate seen from the direction of the arrow ⁇ in ( ⁇ ).
  • FIG. 5 is a diagram for explaining the laser interferometer system of FIG. 2 in more detail.
  • FIG. 3 is a plan view showing the interferometer beam from the second interferometer in more detail together with some optical systems constituting the interferometer;
  • (C) is a diagram for explaining the positional relationship between the measurement beam RI Y1 (or RI Y2) from the second interferometer and the measurement beam RI Y3.
  • FIG. 6 is a diagram schematically showing a configuration of an aerial image detector mounted on a substrate table and a configuration of a signal processing system related thereto.
  • Fig. 7 is a diagram for explaining the role of the movable surface plate and its control method.
  • (A) is a schematic plan view near the surface plate, and (B) is viewed from the direction of arrow A in (A). It is an outline front view.
  • FIG. 8A is a plan view illustrating an example of a 9-inch reticle
  • FIG. 8B is a plan view illustrating another example of a 9-inch reticle.
  • FIG. 9 is a diagram for explaining reticle alignment and baseline measurement.
  • FIG. 10 is a plan view showing the relationship between the slit-shaped illumination area on the wafer inscribed in the effective field of the projection optical system and the shot area S1, and (B) of FIG.
  • FIG. 7C is a diagram showing the relationship between time and stage speed, and FIG. 7C is a diagram for explaining the relationship between the wafer peripheral shot S and the extension of the movable mirror length when the shot area S around the wafer is exposed.
  • FIG. 11 is a diagram showing the locus of the center P of the on-wafer illumination slit ST passing through each shot when the shots S 1, S 2, and S 3 are sequentially exposed.
  • (A) is a diagram showing the relationship between the speed and time in the scanning direction of the wafer stage in the case (A)
  • (C) is a diagram showing the corresponding relationship between speed and time in the non-scanning direction.
  • FIG. 12 is a plan view of the vicinity of the movable platen when the wafer stage is located at the leading position for exchanging the wafer W.
  • FIG. 13 is a plan view of the vicinity of the movable platen, showing the movement of the wafer stage during alignment measurement.
  • Figure 14 shows the movable platen when the wafer stage is located at the position where exposure started. It is a top view of the vicinity.
  • FIG. 15 is a plan view of the vicinity of the movable platen when the wafer stage is located at the position at the end of the exposure of the first region.
  • FIG. 16 (A) shows the movement of reticle R (reticle stage RST) during irregular double exposure, and (B) shows the optimal reticle for irregular double exposure.
  • FIG. 3 is a diagram showing an example of a pattern of a first region and a second region on a vehicle.
  • FIG. 17 is a diagram for explaining the effect of the present embodiment. Even if the moving mirror distance deteriorates due to the multi-axis interferometer and the pre-scan and the real-time par scan, the present embodiment will be described.
  • FIG. 6 is a diagram showing that the wafer stage can be made smaller than a conventional square stage.
  • FIG. 18 (A) is a diagram showing an example in which the projection optical system is a catadioptric system
  • FIG. 18 (B) is a diagram showing another example in which the projection optical system is a catadioptric system.
  • FIG. 4B is a chart showing the extension distance of the wafer-side moving mirror required when the maximum reticle scan speed Vr is changed.
  • FIG. 4B is a graph showing the maximum reticle scan speed Vr under the same conditions as in FIG. 6 is a chart showing the time between single wafer exposures when changed.
  • FIG. 20 (A) shows the graph corresponding to FIG. 19 (A) in which the horizontal axis represents the maximum reticle scan speed and the vertical axis represents the extension distance of the movable mirror
  • FIG. 20 (B) shows the graph in FIG. FIG. 9B is a graph showing the graph corresponding to (B), with the horizontal axis representing the maximum reticle scanning speed and the vertical axis representing the time between single wafer exposures.
  • FIG. 21 is a diagram illustrating a schematic configuration of an exposure apparatus according to the second embodiment.
  • FIG. 22 is a perspective view showing a positional relationship among two wafer stages, a reticle stage, a projection optical system, and an alignment system.
  • FIG. 23 is a schematic plan view showing the vicinity of the surface plate in the apparatus of FIG.
  • FIG. 24 is a plan view showing a state in which a wafer exchange alignment sequence and an exposure sequence are performed using two wafer stages.
  • FIG. 25 is a diagram showing a state in which the switching between the wafer exchange alignment sequence and the exposure sequence in FIG. 24 is performed.
  • FIG. 26 is a schematic plan view showing a modification of the second embodiment, in which two triangular wafer stages are used as a first movable body.
  • Fig. 27 (A) is a diagram showing the time change of the speed command value in the scanning direction of the reticle stage when the first acceleration control method is adopted, and (B) corresponds to Fig. 11 (B).
  • FIG. 7C is a diagram showing a time change of a speed command value of the reticle stage in the scanning direction in the scanning direction. .
  • FIGS. 27 (A) and (B) of FIG. 28 are diagrams showing the time change of the position error with respect to the target position of the reticle stage near the settling time T s corresponding to FIGS. 27 (A) and (B), respectively. is there.
  • Fig. 29 is a plan view of a reticle stage when a 9-inch reticle is used, (A) is a plan view of a conventional reticle stage, and (B) is a plan view of the problem to be solved by the invention.
  • the inventive concepts included in this international application are common in achieving the above-mentioned object of the present invention, and as described above, can be roughly classified into the following five concepts. However, these classifications are for the purpose of understanding the outline of the invention group disclosed in this specification and the claims, and the scope of the claims and aspects of the invention is limitedly interpreted by those classifications. is not.
  • the first concept is a mass with a large pattern or multiple partitioned patterns. This is a concept related to a scanning exposure method and apparatus for transferring a large pattern on the substrate or transferring the plurality of patterns on the same area of the substrate by synchronously moving the mask stage and the mask stage.
  • the main features are the method, the structure and arrangement of the reflector used for position control, and the double exposure method.
  • the features of the first concept are found, for example, in the above-described embodiments A to H and 7 ′ of the present invention.
  • the second concept relates to a stage device having a reflecting mirror extending obliquely to the moving direction of the stage and an interferometer for transmitting a measuring beam to the reflecting mirror. Shape and structure of beam, method of irradiating length measuring beam, scanning exposure device provided with stage device, scanning exposure method of controlling stage position by irradiating length measuring beam as described above, method of manufacturing stage and scanning exposure device It has features such as The features of the second concept are found, for example, in the aspects I, J, M, N, 0, P, S, yo and ⁇ of the present invention.
  • the third concept relates to an eccentric load prevention stage device that floats and supports the second movable body and the first movable body on the surface plate, and an exposure apparatus that includes the stage. Movement of the second movable body using the generated reaction force, weight ratio of the first and second movable bodies, oscillating device, structure of the first and second movable bodies, use this stage device as a substrate stage An exposure apparatus, a stage apparatus, and a method of manufacturing an exposure apparatus.
  • the features of the third concept are found, for example, in the K, L, Q, R, and embodiments of the present invention.
  • the fourth concept is that, in scanning exposure in which a mask and a substrate are moved synchronously, when a certain region partitioned on the substrate is scanned and exposed, and then the next partitioned region is scanned and exposed, a direction orthogonal to the scanning direction is used.
  • This is a concept related to the timing control of the step movement (stepping) of the substrate and the scanning movement of the substrate in the scanning direction. It is characterized by the relationship between the movement timing and the start or end timing of the scanning exposure, the movement locus of the substrate, the relationship between the stepping movement and the scanning movement. No.
  • the features of the concept of 4 are found, for example, in the embodiments of the present invention, namely, Z-th and ⁇ , ⁇ , ⁇ , 5, ⁇ ,? 7, and yO.
  • the fifth concept relates to the concept of controlling the acceleration at the time of moving the mask or the substrate in order to reduce the settling time for synchronizing the mask and the substrate in the scanning exposure, and continuously reducing the acceleration of the substrate stage. It is characterized by an acceleration method that achieves constant speed while decelerating at a constant deceleration.
  • the features of the fifth concept can be found, for example, in the embodiments of ⁇ , ⁇ , and yO of the present invention.
  • FIGS a first embodiment of the present invention will be described with reference to FIGS.
  • portions where the first to fifth concepts are mainly shown will be given titles as appropriate.
  • the description of the inventive concept is not restricted in any way by the part to which the title is attached.
  • FIG. 1 is a perspective view of a scanning exposure apparatus 10 according to an embodiment of the present invention, and FIG. 2 schematically shows the internal configuration thereof.
  • the scanning exposure apparatus 10 is a projection exposure apparatus that performs an exposure operation by a step-and-scan method, which is currently becoming the mainstream as a lithography apparatus for manufacturing semiconductor elements.
  • the scanning exposure apparatus 10 projects a partial image of a circuit pattern drawn on a reticle R (see FIG. 2) as a mask onto a wafer W as a substrate via a projection optical system PL.
  • the scanning type exposure apparatus 10 includes an excimer laser light source 11, an exposure apparatus main body 12, and a control rack 14 as a main control system for controlling them collectively. It is configured.
  • the excimer laser light source 11 is installed in another room (a low-clean service room) isolated from the ultra-clean room where the exposure apparatus main body 12 is usually installed.
  • the exposure apparatus main body 12 is usually installed in an ultra-clean room, and is housed in an environmental chamber whose interior space is highly dust-proof and whose temperature is controlled with high precision.
  • FIG. 1 only the main body structure housed in the chamber is schematically shown.
  • the excimer laser light source 11 includes an operation panel 11A.
  • the excimer laser light source 11 has a built-in control computer 11 B (not shown in FIG. 1; see FIG. 2) which interfaces with the operation panel 11 A.
  • the pulse emission of the excimer laser light source 11 is controlled in response to a command from the main controller 50 comprising a minicomputer for controlling the exposure apparatus.
  • the excimer laser light source 11 is used as an exposure light source, and emits, for example, an rF excimer laser light having a wavelength of 24811171 or an ArF excimer laser light having a wavelength of 193 nm.
  • the use of an ultraviolet pulse laser beam from the excimer laser light source 11 as the illumination light for exposure is equivalent to a semiconductor memory device (D-RAM) of 256 Mbits to 4 Gbits or more.
  • D-RAM semiconductor memory device
  • Microphone with high integration and fineness This is to obtain a pattern resolution of about 0.25 to 0.1, which is the minimum line width required for mass production of the oral circuit device.
  • the wavelength width of the pulse laser light (excimer laser light) is narrowed so that the chromatic aberration caused by the various refraction optical elements constituting the illumination system of the exposure apparatus and the projection optical system PL is within an allowable range. ing.
  • the absolute value of the center wavelength to be narrowed and the value of the narrowing width are displayed on the operation panel 11A and, if necessary, the operation panel. It is possible to fine-tune from 1 1 A.
  • the mode of pulse emission (typically, three modes of self-excited oscillation, external trigger oscillation, and maintenance oscillation) can be set from the operation panel 11A.
  • An example of an exposure apparatus using an excimer laser as a light source has already been described in the first embodiment, so please refer to the description.
  • the exposure apparatus main body 12 includes a gantry section 16 as a first gantry, a reticle stage RS, an illumination optical system 18, a projection optical system P, and an imaging characteristic adjustment device (hereinafter referred to as “L CZM AC system”). ), A stage device 1, a wafer transfer robot 19, and an alignment system. More specifically, as shown in FIG. 1, the gantry (first gantry) 16 is supported on the floor via four vibration isolators 20.
  • Each anti-vibration device 20 supports the own weight of the exposure device main body 12 via an air cylinder (vibration isolation pad) (not shown), and also tilts the entire exposure device main body 12, displaces in the Z direction, and An actuator and various sensors for actively correcting the displacement of the entire exposure apparatus body in the X and Y directions in FIG. 1 by a feedback control (not shown) by a control system (not shown). It has.
  • An active vibration isolator of this type is described, for example, in Japanese Patent Application Laid-Open No. 9-74061 and the corresponding US Patent Application No. 07007216 (1996). (Filed on September 3, 2016), and incorporated into the description of the text by using such disclosure as far as the national laws of the designated country allow.
  • the gantry section 16 includes a surface plate 22 parallel to the floor surface and a support plate portion 24 provided above and opposed to the surface plate 22, and has a box-like shape with a hollow inside. It has been.
  • the support plate portion 24 is formed of a rectangular plate-like member having a circular opening formed in the center, and the projection optical system PL is inserted into the center opening in a state orthogonal to the support plate portion 24. I have.
  • the projection optical system PL is held by a support plate portion 24 via a flange portion provided on a part of the outer peripheral portion.
  • Four legs 26 are provided upright on the upper surface of the support plate 24 so as to surround the projection optical system PL.
  • a reticle base plate .28 supported by the four legs 26 and interconnecting the upper ends thereof is provided above the four legs 26.
  • the four columns 26 and the reticle base platen 28 constitute a second column (second base).
  • the first frame 40 supports a part of the illumination optical system 18 (near the projecting end).
  • An opening 40a is provided in a portion of the first frame 40 facing the emission end of the illumination optical system 18.
  • An opening 28 a (see FIG. 2) is formed in the center of the reticle base platen 28.
  • the exit end of the illumination optical system 18 is arranged to face the opening 28a.
  • a reticle stage RST On the reticle base platen 28, there is a reticle stage RST, which moves a 9-inch reticle R as a large mask freely in a two-dimensional XY plane by suction and holding it by vacuum and electrostatic suction.
  • the X direction second axis direction or second direction
  • the Y direction first axis direction or first direction
  • the suction strength of the electrostatic chuck or the like (not shown) on the reticle stage RST is such that no lateral shift or the like is generated on the reticle R even when a 4 G-level acceleration is applied.
  • the reticle stage RST is driven by a magnetic levitation type two-dimensional planar motor.
  • the magnetic levitation type two-dimensional planar motor is illustrated as a drive system 29 for the sake of convenience of illustration and description.
  • the drive system of the reticle stage can use an arbitrary drive system such as a voice coil motor or a piezo.
  • the reticle stage RST is configured to be able to be minutely driven by the drive system 29 in the rotation direction (0 direction) around the optical axis AX of the projection optical system PL.
  • the position measurement system of reticle stage RST is a characteristic component of the present embodiment, and will be described later in detail. As shown in FIG.
  • the illumination optical system 18 has a beam receiving system 32 housed in a rear portion thereof, and the beam receiving system 32 and a light-shielding tube 34 connected thereto are connected to the beam receiving system 32. It is connected to the excimer laser light source 11 via a BMU (beam matching unit) composed of.
  • the excimer laser light from the excimer laser light source 11 guided through the tube 34 is always prescribed in the beam receiving system 32 constituting the BMU with respect to the optical axis of the illumination optical system 18.
  • a plurality of movable reflecting mirrors (not shown) are provided for optimally adjusting the position and angle of incidence of the excimer laser light on the illumination optical system 18 so that the light is incident with the following positional relationship. As shown in Fig.
  • the illumination optical system 18 includes a variable dimmer 18A, a beam shaping optical system 18B, a first fly-eye lens system 18C, a vibrating mirror 18D, Optical lens system 18 E, illumination NA correction plate 18 F, second fly-eye lens system 18 G, illumination system aperture plate 18 H, beam splitter 18 J, first relay lens 18 K, Fixed reticle Blind 18 and movable reticle blind 18 M, 2nd relay lens 18 N, illumination telecentric correction plate (parallel plate of tiltable quartz) 18 P, mirror 18 Q, and main condenser lens system 1 8 R, etc.
  • the variable dimmer 18 A is for adjusting the average energy of each pulse of the excimer laser light.
  • a plurality of optical filters with different dimming rates can be switched so that the dimming rate can be adjusted in steps.
  • One that changes the optical attenuation or one that continuously varies the dimming rate by adjusting the degree of overlap between two optical filters whose transmittance changes continuously is used.
  • the optical filter constituting the variable dimmer 18A is driven by a drive mechanism 35 controlled by the main controller 50.
  • the beam shaping optical system 18B has a cross-sectional shape of the excimer laser light adjusted to a predetermined peak intensity by the variable attenuator 18A.
  • the first fly-eye lens system 18C which constitutes the entrance end of the fly-eye lens system, is shaped so as to be similar to the entire shape of the entrance end of the first fly-eye lens system 18C, and is efficiently incident on the first fly-eye lens system 18C.
  • the double fly-eye lens system is for uniformizing the intensity distribution of the illumination light, and is a first fly-eye lens system sequentially arranged on the optical path of the excimer laser light behind the beam shaping optical system 18 B. 18C, a condenser lens 18E, and a second fly-eye lens system 18G.
  • interference fringes and weak speckles generated on the irradiated surface are smoothed.
  • a vibration mirror of 18D is arranged.
  • the vibration mirror (18D vibration) (deflection angle) is transmitted by the main controller 50 via the drive system 36. Is controlled.
  • an illumination NA correction plate 18F for adjusting the directionality (illumination NA difference) of the numerical aperture on the surface to be irradiated with illumination light is arranged.
  • the configuration in which the double fly-eye lens system and the vibration mirror_18D are combined as in the present embodiment is described in, for example, Japanese Patent Application Laid-Open No.
  • An illumination system aperture made of a disc-shaped member near the exit surface of the second fly-eye lens system 18G A diaphragm plate 18H is arranged.
  • the illumination system aperture stop plate 18H has an aperture stop consisting of, for example, an ordinary circular aperture at almost equal angular intervals, and an aperture stop made up of a small circular aperture for reducing the ⁇ value, which is a coherence factor.
  • the illumination system aperture stop plate 18 ⁇ is rotated by a motor (not shown) controlled by the main controller 50, so that one of the aperture stops emits the light of the pulse illumination light. It is selectively set on the road, and the shape of the light source surface in the Koehler illumination is limited to a ring, a small circle, a large circle, or the fourth.
  • Illumination system aperture stop plate 18 ⁇ A beam splitter 18 J with high reflectance ⁇ small transmittance is placed on the optical path of the pulse illumination light behind, and a fixed reticle blind 1 is placed on the optical path behind this. 8 L and movable reticle blind 1 8 M
  • a relay optical system composed of a relay lens 18 K and a second relay lens 18 mm is arranged.
  • the fixed reticle blind 18L is arranged on a plane slightly defocused from a conjugate plane with respect to the pattern plane of the reticle R, and has a predetermined opening that defines an illumination area on the reticle R.
  • the opening is formed in a slit shape or a rectangular shape linearly extending in the X direction orthogonal to the moving direction ( ⁇ direction) of the reticle R during scanning exposure.
  • a movable reticle blind 18 ⁇ ⁇ having an opening whose position and width in the scanning direction is variable is arranged near the fixed reticle blind 18L, and the movable reticle blind 18 ⁇ is provided at the start and end of scanning exposure.
  • the movable reticle blind 18 ⁇ is controlled by the main controller 50 via the drive system 42.
  • the illumination telecentric correction is provided at the exit of the second relay lens 18 ⁇ that constitutes the relay optical system.
  • a plate 18 mm is arranged, and on the optical path of the pulse illumination light behind this, the pulse illumination light passing through the second relay lens 18 mm and the illumination telecentric correction plate 18 mm is directed toward reticle R.
  • a reflecting mirror 18Q is arranged, and a main condenser / lens system 18R is arranged on the optical path of the pulsed illumination light behind the mirror 18Q.
  • the operation of the illumination optical system 18 configured as described above will be briefly described.
  • the cross-sectional shape of the excimer laser light is shaped by the beam shaping optical system 18B into the rear first fly-eye lens system 18C so as to be efficiently incident.
  • a number of secondary light sources are formed on the exit end side of the first fly-eye lens system 18C.
  • the ultraviolet pulse light diverging from each of these many point light sources is transmitted to the second fly-eye lens system 18 via a vibrating mirror 18D, a focusing lens system 18E, and an illumination NA correction plate 18F. Fire at G.
  • a large number of secondary light sources are formed at the exit end of the second fly-eye lens system 18G, each of which is composed of individual light source images in which a large number of minute light source images are uniformly distributed in a predetermined shape area.
  • the pulsed ultraviolet light emitted from these secondary light sources passes through one of the aperture stops on the illumination system aperture stop plate 18H, and then has a large reflectance and a small transmittance.
  • the pulse ultraviolet light as the exposure light reflected by the beam splitter 18J illuminates the opening of the fixed reticle blind 18L with a uniform intensity distribution by the first relay lens 18K.
  • interference fringes or weak speckles depending on the coherence of the ultraviolet pulse light from the excimer laser light source 11 can be superimposed with a contrast of about several percent.
  • uneven exposure due to interference fringes and weak speckles may occur on the wafer surface, but the unevenness in the exposure may be caused by scanning as described in Japanese Patent Application Laid-Open No. 7-142354. Smoothing is performed by shaking the vibration mirror 18D in synchronization with the movement of the reticle R or wafer W during exposure and the oscillation of the ultraviolet pulse light.
  • the ultraviolet pulsed light passing through the opening of the fixed reticle blind 18 L passes through the movable reticle blind 18 M and then passes through the second relay lens 18 N and the illumination telecentric correction plate 18 P. After the optical path is bent vertically downward by the mirror 18Q, it passes through the main condenser lens system 18R, and passes through the predetermined illumination area on the reticle R held on the reticle stage RST (linearly in the X direction). An elongated slit or Is a rectangular illumination area) with a uniform illuminance distribution.
  • the rectangular slit-shaped illumination light applied to the reticle R is set to extend in the X direction (non-scanning direction) in the center of the circular projection field of the projection optical system PL in FIG.
  • the width of the illumination light in the Y direction (scanning direction) is set to be almost constant.
  • the pulsed illumination light transmitted through the beam splitter 18 J is incident on an integrator sensor 46 composed of a photoelectric conversion element via a not-shown condenser lens, where it is photoelectrically converted. Then, the photoelectric conversion signal of the integrator sensor 46 is supplied to the main controller 50 via a peak hold circuit and an A / D converter described later.
  • the integrator sensor 46 for example, a PIN-type filter die having sensitivity in the deep ultraviolet region and having a high response frequency for detecting the pulse emission of the excimer laser light source 11 is used. Can be used.
  • the correlation coefficient between the output of the integration sensor 46 and the illuminance (exposure amount) of the pulsed ultraviolet light on the surface of the wafer W is determined in advance and stored in a memory in the main controller 50.
  • the projection optical system PL here, both the object plane (reticle R) side and the image plane ( ⁇ eha W) side are telecentric and have a circular projection field, and quartz and fluorite are used as optical glass materials.
  • the image forming light flux from the portion illuminated by the pulsed ultraviolet light in the circuit pattern area on the reticle R is electrostatically attracted to the holder on the wafer stage WST (described later) via the projection optical system PL (or It is reduced to 1/4 or 1/5 and projected onto the resist layer on the wafer W that has been vacuum-adsorbed).
  • the projection optical system PL or It is reduced to 1/4 or 1/5 and projected onto the resist layer on the wafer W that has been vacuum-adsorbed.
  • FIG. Fig. 4 (A) shows a plan view near the reticle base platen 28, and Fig. 4 (B) shows a side view near the reticle base platen 28 seen from the direction of arrow B.
  • the field of view ST of the projection optical system PL is 100 mm x 32 mm (the field of view projected on the wafer W).
  • the first area P1 and the second area P2 (both 100 mm X 200 mmm) adjacent to each other on the 9-inch reticle R in the non-scanning direction (X direction) Only one of the indicated central areas P 3 (100 mm ⁇ 20 Omm) can be exposed by one scan exposure.
  • the reticle stage RST is moved to the exposure position of the area P1 shown in FIG.
  • the reference must be shifted in the non-scan direction by 50 mm (for the central area P3 shown by the dotted line) or 100 mm (for the area P2).
  • the side surface of the reticle stage RST at one end side in the Y direction (the lower end side in FIG. 4A) is provided as a first mirror corresponding to each of the regions P2, P3, and P1.
  • 3 The three corner cubes 3 1 Y 1, 3 1 ⁇ 2, and 3 1 ⁇ 3 are arranged along the X direction at intervals of 5 Omm, but are not limited to this. Is determined according to the pattern arrangement of the reticle on the reticle stage RST).
  • corner cubes 3 1 Y 1 and 3 1 Y 2 s 3 1 Y 3 A reticle ⁇ interferometer 30 ⁇ ⁇ ⁇ as a first interferometer that measures the position of the reticle stage RS in the scanning direction by irradiating a length measuring beam I Y in the Y direction and receiving the reflected light Fixed.
  • corner cubes 3 1 ⁇ 1, 3 1 ⁇ 2 and 3 1 ⁇ 3 are used as movable mirrors for position measurement in the scanning direction ( ⁇ direction). For example, when the reticle stage RS ⁇ exists at the non-scanning direction (X direction) position shown in Fig.
  • the length measurement irradiated from the interferometer 30 ⁇ toward the reticle stage RS ⁇ The beam I ⁇ is sequentially reflected by the corner-cube 31 ⁇ 1, the reflecting mirror 82, and the corner-cube 31 ⁇ 1, and the return light from the corner-cube 31 ⁇ 1 is almost the same as the incident optical path. Return the optical path in the opposite direction (so-called double-pass configuration). Therefore, even if reticle stage RS rotates by an angle of 0, the reflected light can reliably return to interferometer 30 and the position of reticle stage RS in the scanning direction can be accurately measured. .
  • the measurement axis of the reticle ⁇ interferometer 30 ⁇ (corner — the center position of the incident light and the return light with respect to the cube 31 1) is positioned so as to intersect the optical axis center of the projection optical system PL. .
  • the position of the length measurement beam IY from the reticle Y interferometer 30 Y in the Z direction matches the lower surface (pattern formation surface) of the reticle R. Therefore, the position of the reticle R in the scanning direction is accurately measured without Abbe's error.
  • Reticle stage Two sides along the RST scanning direction (Y direction) The first reflection surface 84a and the second reflection surface 82b extending in the Y direction are formed.
  • a reticle X interferometer 30X1 as a second interferometer and a reticle as a third interferometer are provided at both ends of the reticle platen 28 in the non-scanning direction (X direction).
  • An X interferometer 30 X 2 is provided for each.
  • Each of these reticle X interferometers 30X1 and 30X2 uses two measuring beams (measuring beams 1X11 and IX12 and measuring beams IX21 and IX22) as the first and second reflecting surfaces.
  • a two-axis interferometer that irradiates 84a and 84b is used.
  • the measurement axes of these reticle X interferometers 30 X 1 and 30 X 2 intersect with the optical axis center of the projection optical system PL.
  • the position of the measurement beam IY from these reticle X interferometers 30X1 and 30X2 in the Z direction coincides with the lower surface of reticle R (the plane on which the pattern is formed) (Fig. )reference). For this reason, the position of the reticle stage RST in the non-scanning direction is also accurately measured without Abbe error.
  • the calculation of this average value is obtained by the reticle stage controller 33 (see FIG. 2) to which the output from the reticle X interferometer 30X1 is input.
  • the reticle stage controller 33 determines the zero rotation of the reticle stage RST based on the difference between X1 and X2. And you can do it.
  • the position of reticle stage RST in the scanning direction is measured by reticle Y interferometer 30Y irradiating first corner-cube 31Y1 with measurement beam IY and receiving the reflected light.
  • the reticle stage RST is moved by the driving system 29 from the state shown in FIG. Scanning exposure is performed while driving in the + X direction.
  • the other reticle X interferometer 30 X 2 irradiates the measuring beams IX 21 and 1 X 22 to the second reflecting surface 84 b of the reticle stage RST and receives the respective reflected light.
  • the position in the X direction of the second reflecting surface 84b at the irradiation position of each measuring beam 1 X21, 1 X22 is measured (length measuring).
  • the position of the reticle stage R ST in the non-scanning direction is obtained by the reticle stage controller 33 in the same manner as described above, based on the average value of the measured values X 3 and X 4. Similarly, reticle stage controller 33 can also calculate zero rotation of reticle stage R ST based on the difference between X 3 and X 4. On the other hand, the position of reticle stage RST in the scanning direction is measured by reticle Y interferometer 30Y irradiating second corner cube 31Y2 with measurement beam IY and receiving the reflected light.
  • the reticle stage controller 33 uses the measured values of the reticle X interferometer 30X1, 30X2, X1, X2, X3, and X4 to calculate the reticle stage by the following equation. Find the position of RST in the non-scanning direction.
  • the reticle stage controller 33 uses the reticle stage
  • the position of the reticle stage RST in the scanning direction is measured by the reticle Y interferometer 30 Y irradiating the third corner-cube 31 Y 3 with the measurement beam IY and receiving the reflected light. .
  • the position of the reticle stage RST in the scanning direction is set to the first, second, and third corners. Since measurement is performed using one of the cubes 31Y1, 31 ⁇ 2, 31 ⁇ 3, even if there is 0 rotation (or rotation error) of reticle stage RS ⁇ , reticle stage RS ⁇ The position in the scanning direction can be accurately measured.
  • the position in the non-scanning direction of reticle stage RST is obtained by using the measurement value of reticle X interferometer, in which the distance of the measurement beam to the opposing reflecting surface becomes shorter. Note that as long as the 0 rotation of the reticle stage RS # is minute, even if the first and second reflecting surfaces 84a and 84b are plane mirrors, the measurement cannot be prevented.
  • a moving mirror including three corner-cubes 30Y1, 30Y2, 30Y3, first and second reflecting surfaces 84a, 84b, and a reticle Y interferometer 30Y, 2 Reticle with one reticle X interferometer 30X1, 30X2 —
  • the position measurement system of the RST is configured, but these are typically shown as a reticle laser interferometer 30 and a moving mirror 31 in FIG.
  • the position of the reticle stage RST in the X, ⁇ , and 0 directions is measured by the reticle stage controller 33 based on the measurement values of the reticle laser interferometer 30 as described above.
  • the reticle stage controller 33 basically moves the reticle stage RST such that the position information (or speed information) output from the interferometer 30 matches the command value (target position, target speed).
  • Drive system (magnetic levitation type two-dimensional planar motor) 29 is controlled.
  • a reticle stage controller 33 which functions as a reset device, monitors the measured values of the reticle X interferometers 30-1 and 30-2, and according to the position of the reticle stage RS in the non-scanning direction.
  • ⁇ Interferometer 30 ⁇ is reset.
  • resetting the interferometer means returning the measured value of the interferometer to a predetermined value, but does not necessarily mean returning to the zero point.
  • a lattice mark having a predetermined pitch is formed in the lower half of the reflection surface 84a (or 84b) of the reticle stage RST, and the frequency of the lattice mark is adjusted by a light source system (not shown).
  • a pair of slightly different light beams are projected from a symmetrical direction at an extremely small incident angle, and an interference fringe moving at a predetermined speed in the Y-axis direction is generated on the surface on which the lattice mark is formed.
  • a heterodyne-type sensor that receives the combined light beam of the diffracted light generated in the X-axis direction from the lattice mark and measures the position of the reticle stage RST based on the phase change of the photoelectric conversion signal of the combined light beam be able to.
  • This sensor is disclosed, for example, in Japanese Patent Application Laid-Open No. Hei 5-41084. This disclosure is incorporated herein by reference.
  • the reticle stage controller 33 places the reticle Y in the corner tube 31 Y corresponding to the area on the reticle R (the predetermined area in P 1, P 2, P 3) to be subjected to scanning exposure next.
  • the reticle Y interferometer 30Y may be reset immediately after the measurement beam IY from the interferometer 30Y hits, but in the present embodiment, the reticle stage RST is not scanned. Considering that the reticle stage RST may be slightly displaced in the scanning direction (Y direction) or may rotate S during the movement in the direction, the RST will be described later before resetting the interferometer. Reticle alignment and baseline measurement are performed. In the non-scanning direction, since the measurement beams from the reticle X interferometers 30 X 1 and 30 X 2 always hit the first and second reflecting surfaces, the reticle stage controller 33 uses The measurement value of one of the interferometers or the measurement value of both may be selected as necessary.
  • the reticle stage controller 33 uses the reticle interferometers 30 X 1 and 30 X based on the position information of the region P 1, P 2 or P 3 on the mask existing in the projection field of the projection optical system PL. Select and use the measured value of 2. Further, in the scanning exposure apparatus 10 of the present embodiment, although not shown in FIGS. 1 and 2, actually, before and after the constant speed movement of the reticle stage RST having the mass R m during the scanning exposure. Acts on reticle base plate 28 from reticle stage RST with mass R m according to acceleration / deceleration Ar generated during prescan and overscan. Reaction Force-Reaction Reaction 74 is provided to prevent ArxRm from being transmitted to the gantry 16 via the support 26.
  • reaction actuary 74 is supported by a reaction frame 72 which is roughly positioned by an elastic body 70 with respect to a base plate BS supporting the gantry 16.
  • the reticle stage RST and the reticle base platen 28 are arranged at substantially the same height as the center of gravity determined by the weight of the platen.
  • a pair of reaction actors 74 and 74 R are actually provided on both sides in the non-scanning direction. However, these are typically shown as Reaction Reactions 74 in Figure 3.
  • This reaction factory is designed to apply a force opposite to the reaction force to the reticle base plate 28 so as to cancel the lateral shift and rotation of the center of gravity during the acceleration and deceleration of the reticle stage RST.
  • This is controlled by a control device (not shown), so that vibration during reticle acceleration / deceleration is not transmitted to the gantry 16 via the support 26.
  • a reaction actuator is more necessary and effective when using a magnetic levitation type two-dimensional linear actuator etc. than when using a feed screw type reticle stage as in the present embodiment. It can be said.
  • the reaction factor writer 74 is configured using a voice coil motor as an example here.
  • the drive system of the reticle stage RST is configured by a magnetically levitated two-dimensional planar motor as in the present embodiment
  • a linear actuator that shares a part of the coil constituting the planar motor as a stator is used.
  • a driving system (actuary) for moving the reticle stage and a part of the actuator for applying a force to the second mount may be used as a part of the component.
  • the LC / MAC system finely adjusts various optical characteristics (imaging performance) of the projection optical system PL. In the present embodiment, as shown in FIG. 2, the LC / MAC system is close to the object plane in the projection optical system PL.
  • a telecentric lens system G2 which is provided at a position and is capable of minute movement in the direction of the optical axis and slight inclination with respect to the plane orthogonal to the optical axis, and a drive mechanism for finely moving the lens system G2 in the direction of the optical axis (including the inclination) 9 6 And a gas pressure in a specific air space (sealed chamber) sealed from the outside air in the projection optical system PL within a range of, for example, about ⁇ 20 mmHg through a pipe 94. And a lens controller 102 for finely adjusting the imaging magnification of the projected image by increasing or decreasing the pressure.
  • the MAC can adjust the magnification or distortion of the projected image (isotropic distortion, or anisotropic distortion such as barrel, pincushion, trapezoid, etc.).
  • the lens controller 102 also serves as a control system for the drive mechanism 96 of the lens system G2, and changes the magnification of the projected image by driving the lens system G2, or a sealed chamber in the projection optical system PL.
  • the switching of the magnification of the projected image is controlled by the pressure control described above, or the combined control is performed.
  • the lens controller 102 is also under the control of the main controller 50.
  • the inside of the illumination optical path and the inside of the lens barrel of the projection optical system PL are replaced with nitrogen gas or helium gas. Since it is difficult to change the refractive index in a specific air space in the projection optical system PL, a mechanism for increasing or decreasing the pressure in the air space may be omitted.
  • an image which is particularly likely to be generated in a portion having a large image height (a portion close to the periphery in the projection visual field) in the projected image is used.
  • a random distortion component contained in a projected image formed in an effective image projection area (defined by an opening of a fixed reticle plunge 18 L) in a circular visual field is effectively reduced.
  • An image distortion correction plate G1 is provided between the reticle R and the lens system G2 of the projection optical system PL.
  • the correction plate G1 locally polishes the surface of a parallel quartz plate having a thickness of about several millimeters, and slightly deflects the imaging light beam passing through the polished portion.
  • An example of a method of manufacturing such a correction plate G1 is disclosed in Japanese Patent Application Laid-Open No. H08-203805 and the corresponding US Patent Application No. 581016 ⁇ (Jan. In this embodiment, the method disclosed in the official gazette is basically applied. To the extent permitted by the national laws of the designated country, their disclosure will be incorporated and incorporated as part of the text.
  • the stage device 1 has a surface plate 22 constituting the pedestal portion (the first pedestal or the first column) 16 and an XY plane on the surface plate 22.
  • a movable surface plate 38 as a second movable body supported so as to be movable relative to the movable surface plate 38 so as to be movable relative to the movable surface plate 38 on the movable surface plate 38 in the XY plane.
  • It has a wafer stage WST (first movable body) as a supported substrate stage.
  • the wafer stage WST is levitated and supported by a first planar magnetic levitation linear actuator 42 (see FIG.
  • the planar magnetic levitation linear actuators 42, 44 are collectively shown as a drive system 48.
  • the drive system 48 that is, the planar magnetic levitation linear actuators 42 and 44 are controlled by a wafer stage controller 78.
  • the control method and role of the movable platen 38 will be described later in detail.
  • the wafer stage WST includes a movable stage 52 as a second plate that can freely move on a movable platen 38 in an XY two-dimensional plane, and a leveling drive mechanism mounted on the movable stage 52. 5 and a substrate table TB as a first plate supported by the leveling drive mechanism 58 and holding the wafer W.
  • the moving stage 52 is formed in a regular triangular shape in the present embodiment.
  • One end surface of the reticle stage RST is arranged on the movable platen 38 in a direction orthogonal to the Y-axis direction (first axis direction) which is the scanning direction of the reticle stage RST.
  • the substrate table TB is formed in a regular triangular shape having exactly the same shape as the moving stage 52, and constitutes a repelling drive mechanism 58 in a state where the substrate table TB overlaps the moving table 52 in plan view. It is supported by.
  • a substantially circular wafer holder 54 is provided on the substrate table TB (see FIG. 5C), and the wafer W is electrostatically attracted to the wafer holder 54, and is held after being flattened and corrected. .
  • the temperature of the wafer holder 54 is controlled so as to suppress expansion deformation due to heat accumulation during exposure of the wafer W.
  • the self-repeller driving mechanism 58 supports the substrate table TB near each of the three vertices of the equilateral triangle, and drives each of the support points independently in the Z direction perpendicular to the XY plane.
  • Three movable actuators piezo, voice coil motor, etc.
  • ZACX 1, ZACX 2, Z AC Y see Fig.
  • actuator controller 56 for finely moving the substrate table TB in the direction of the optical axis AX (Z direction) by independently controlling Y and inclining the substrate table TB with respect to the XY plane.
  • a drive command to the actuator controller 56 is output from the wafer stage controller 78.
  • the Z-direction deviation (focus error) and tilt (leveling error) between the imaging plane of the projection optical system PL and the surface of the wafer W are detected.
  • a ring sensor is provided near the projection optical system PL, and the wafer stage controller 78 outputs a drive command to the actuator controller 56 in response to a focus error signal or a repelling error signal from the sensor.
  • a focus / pelling detection system is disclosed in JP-A-7-201699 and corresponding US Pat. No. 5,473,424, the disclosure of which is incorporated by reference to the national laws of the designated country. Part of the text.
  • the position of the wafer stage WST that is, the direction of each interferometer beam in FIG. 5 (A) of the substrate table TB is sequentially measured by the laser interferometer system 76 shown in FIG. 2, and the position information is obtained by the wafer stage controller. Sent to 78.
  • Wafer stage controller 78 obtains the XY coordinate position by a predetermined calculation, and issues a command signal for driving wafer stage WST to drive system 48 based on the obtained coordinate position and target position information to be positioned. Output.
  • Wafer stage controller 78 obtains the XY coordinate position by a predetermined calculation, and issues a command signal for driving wafer stage WST to drive system 48 based on the obtained coordinate position and target position information to be positioned. Output.
  • a specific configuration of the laser interferometer system 76 will be described in detail with reference to FIGS. 5 (A) to 5 (C).
  • Figure 5 (A) shows the first, second, and third interferometers 76X1, 76 ⁇ , and 76X2 that make up the laser interferometer system 76, and the interferometer beams RIXK RI ⁇ and RIX 2 from these three interferometers.
  • O is shown in a plan view together with the substrate table TB.
  • the substrate table TB is formed in a regular triangle shape in plan view, and has three side surfaces. Are mirror-finished to form first, second, and third reflecting surfaces 60a, 60b, 60c.
  • the second interferometer 76Y vertically irradiates the second reflecting surface 60b with an interferometer beam RIY in the Y-axis direction (first-axis direction), which is the scanning direction, and receives the reflected light.
  • the position (or speed) of the substrate table TB in the Y-axis direction is measured.
  • the first interferometer 76X1 is arranged such that the interferometer beam RIX1 in a direction inclined at a predetermined angle 01 (01 here is ⁇ 60 °) with respect to the Y-axis direction is perpendicular to the first reflecting surface 60a.
  • the position (or speed) in the direction of the third axis which is the direction of the interferometer beam RIX 1 is measured.
  • the third interferometer 76X2 moves the interferometer beam RIX2 in a direction inclined at a predetermined angle 02 (02 is + 60 ° here) with respect to the Y-axis direction perpendicularly to the third reflecting surface 60c.
  • the position (or speed) in the direction of the fourth axis which is the direction of the interferometer beam RIX 2 is measured.
  • a multi-axis interferometer is used as each interferometer constituting the laser interferometer system 76.
  • FIG. 5B shows that the interferometer beam RIY from the second interferometer 76 Y It is shown in more detail with some of the optics that make up. As shown in FIG.
  • the second reflecting surface 60b of the substrate table TB has the first and second beams, which are two-axis length-measuring beams as viewed in a plan view, emitted from the interferometer 76Y.
  • Two measurement beams RI Y1 and RI Y2 are irradiated. These measurement beams RI Yl and RI Y2 are irradiated perpendicularly to the second reflection surface 60b at a predetermined distance in the X direction on the same horizontal plane.
  • These measurement beams RI Y1 and RI Y2 are emitted from a light source (not shown) and transmitted through the polarization beam splitters 62 A and 62 B as incident light beams of linearly polarized light.
  • the returned light passes through the ⁇ / 4 plate 64A, 64 ° again, becomes a linearly polarized output light beam orthogonal to the polarization direction of the incident light beam, and is reflected by the polarizing beam splitters 62 °, 62 °, respectively, and the corner cube.
  • Light enters the sections 66 ⁇ and 66 ⁇ .
  • the light beams reflected on the three surfaces of the core cube again pass through the polarizing beam splitters 62 ⁇ , 62 ⁇ , and the H / 4/4 plates 64, 64 ⁇ to become circularly polarized light and become the second reflecting surface 60b.
  • the reflected light passes through the quarter plates 64A and 64B, it becomes linearly polarized light having the same polarization direction as the first, passes through the polarizing beam splitters 62A and 62B, and then interferometers are parallel to the incident light beam. It returns to the main body.
  • the measurement using the measurement beams RI Y1 and RI Y2 is performed in a so-called double-pass configuration.
  • the return light beam overlaps with the return light beam of the reference beam from the fixed mirror (not shown) in the interferometer main body, and by counting the interference fringes of those overlapped light beams, the accuracy of the substrate table TB is doubled.
  • the position of the second reflecting surface 6 Ob on the axes Y1 and Y2 indicated by the chain line in FIG. 5B can be measured independently.
  • the rotation of the substrate table TB can be obtained based on the difference between the measurement values of the measurement beams RI Y1 and RI Y2.
  • the ability to measure the rotation alone is not sufficient, especially in the case of a configuration in which the side surface of the substrate table is mirror-finished as a movable mirror as in the present embodiment.
  • the measurement beam from the interferometer cannot be set at the same height as the wafer W surface.
  • the second interferometer 76Y outputs a plane direction orthogonal to the XY plane from the irradiation position of the measurement beam RIY1 (or R IY2).
  • a third measurement beam RI Y3 is irradiated (downward) at a position a predetermined distance away.
  • the inclination of the substrate table TB with respect to the XY plane can be obtained based on the difference between the measurement beam RIY1 (or RIY2) and the measurement beam RIY3.
  • the third measuring beam, the fourth measuring beam, and the fourth measuring beam are located at a predetermined distance from the irradiation position of the measuring beam RI Y1 or RI Y2 in the plane direction (downward) perpendicular to the XY plane. May be applied respectively.
  • at least three non-linear measuring beams interfere on the second reflecting surface 6 Ob so that the rotation of the substrate table TB in the XY plane and the inclination and inclination with respect to the XY plane can be obtained.
  • the configuration is such that irradiation is made from a total of 76 Y to the second reflecting surface.
  • the measurement by the third and fourth length measuring beams also has a so-called double i ⁇ ° configuration.
  • the other interferometers 76X1 and 76X2 also irradiate three measuring beams to the first reflecting surface 60a and the third reflecting surface 60c and receive the respective reflected light, similarly to the above interferometer 76Y.
  • the position of the irradiation point of each measurement beam on the first reflection surface and the third reflection surface in each measurement beam direction is independently measured.
  • three (or four) length measuring beams from interferometers 76X1, 76X2, and 76Y are typically shown as interferometer beams R1X1, RIX2. Is what is being done.
  • interferometers 76X1, 76Y, and 76X2 are provided on the reflecting surfaces 60a, 60b, and 60c on each side of the equilateral triangular substrate table TB.
  • An interferometer beam composed of a number of measurement beams is irradiated vertically, and an actuator for driving in the tilt and Z directions is located at a position opposite to each interferometer beam and near each vertex of the substrate table TB.
  • E ZACX 1, ZACY, ZACX 2 are located.
  • the actuators Z ACX 1, ZACY and Z AC X 2 can be independently controlled according to the tilt angles of the corresponding reflecting surfaces measured by the respective interferometers, so that the substrate table TB can be efficiently and reliably tilted.
  • a high tilt drive control response can be obtained by driving and controlling the ACTUY ZACX1, ZACY, and ZACX2 located farthest from the center of gravity of the substrate table TB. It has become.
  • an aerial image detector KES for photoelectrically detecting the image of the test pattern image alignment mark on the reticle R projected through the projection optical system PL is fixed to a part of the substrate table TB. Have been.
  • the aerial image detector KES is mounted such that its surface is substantially the same as the height position of the surface of the wafer W.
  • the substrate table TB is set at the center of the full travel stroke in the Z direction (for example, 1 band)
  • the image plane of the projection optical system PL and the surface of the aerial image detector KES match. Is set.
  • the aerial image detector KES is used for exposure amount measurement, illuminance unevenness measurement, imaging characteristic measurement, and the like.
  • FIG. 6 schematically shows a configuration of the aerial image detector KES mounted on the substrate table TB in FIG. 2 and a configuration of a signal processing system related thereto.
  • FIG. 6 schematically shows a configuration of the aerial image detector KES mounted on the substrate table TB in FIG. 2 and a configuration of a signal processing system related thereto.
  • the aerial image detector KES is provided with a light shield plate 140 provided at substantially the same height (for example, in the range of about ⁇ 1 mm) as the surface of the wafer W on the substrate table TB, and its light shield plate.
  • a semiconductor light-receiving element silicon photodiode, PIN pin
  • the photoelectric signal from the light receiving element 143 of the spatial image detector KES responds to the pulse emission of the excimer laser light source 11. It becomes a pulse waveform. That is, if an image optical path from a certain object point on a test reticle (not shown) installed on the object plane of the projection optical system PL is ML e, the image optical path ML e is a rectangular aperture of the aerial image detector KES. With the substrate table TB (that is, the wafer stage WST) positioned in the X and Y directions so as to match 141, the excimer laser light source 11 in Fig.
  • the photoelectric signal also has a pulse waveform with a time width of about 10 to 20 ns.
  • the photoelectric signal from the light receiving element 143 is input and amplified into the signal processing system of the aerial image detector KES, and the receiver 76 of the laser interferometer system 76 described above is used.
  • a sample-and-hold circuit (hereinafter referred to as “S / H circuit”) 15 OA is provided that alternately performs a sample operation and a hold operation in response to a counting pulse signal for each 10 nm created by E.
  • the signal processing system includes an A-D converter 152 A for converting the output of the S / H circuit 15 OA to a digital value, and a waveform memory circuit (RAM) 1 for storing the digital value. 53 A and waveform analysis And a computer 1 54.
  • an up / down counter 151 for counting the counting pulse signal for each 10 nm sent from the laser interferometer system 76 is provided as an address power of the RAM 153A.
  • the control computer 11 B (see FIG. 2) of the excimer laser light source 11 is operated by the wafer stage controller 78 based on the measurement value from the laser interferometer system 76, and the synchronous control described later is performed.
  • the system 80 triggers pulse emission according to the coordinate position information sent to the main controller 50. That is, in the present embodiment, the pulse emission of the excimer laser light source 11 is performed according to the coordinate position of the substrate table TB, and the S / H circuit 15 OA transmits the light from the light receiving element 143 in synchronization with the pulse emission. The peak value of the pulse signal waveform is held.
  • the peak value held by the S / H circuit 15 OA is converted to a digital value by an A-D converter 152 A, and the digital value is converted to a waveform memory circuit (RAM) 1 53 A Is stored in
  • the address (address) of the RAM 153A at the time of the storage operation is created by the up / down force counter 151, and the position of the substrate table TB and the address (address) of the RAM 153A at the time of the storage operation are unique. Is associated with.
  • the peak intensity of the pulse light from the excimer laser light source 11 fluctuates by about several% for each pulse. Therefore, in order to prevent the image measurement accuracy from deteriorating due to the fluctuation, as shown in FIG.
  • the signal processing circuit includes an intensity detection device provided in the illumination optical system described above.
  • An S / H circuit 150 B (which has the same function as the SH circuit 15 OA) to which a photoelectric signal (pulse waveform) from the photoelectric detector (integrator sensor) 46 is input, and the S / H A-D converter 1 52 B that converts the output of circuit 150 B into a digital value, and waveform memory circuit (RAM) 1 53 B that stores the digital value (RAM 1 53 B A and co-sex) are provided.
  • the peak of each pulse light from the excimer laser light source 11 is in a state where the position of the substrate table T # is uniquely associated with the address (address) of the RAM 153 B during the storage operation.
  • the intensity is stored in RAM 153B.
  • the digital waveforms stored in the RAMs 153A and 153B are read into a computer (CPU) 154 for waveform analysis, and the image intensity stored in the RAM 153A is stored.
  • the measured waveform corresponding to the current is normalized (divided) by the intensity fluctuation waveform of the illumination pulse light stored in RAM 153B.
  • the standardized measurement waveform is temporarily stored in the memory of the waveform analysis computer 154, and the center position of the image intensity to be measured is obtained by various waveform processing programs.
  • the center position of the image analyzed by the waveform analysis computer 154 is When the center of the test pattern image and the edge of the opening 141 coincide with each other in the XY plane, it is obtained as the coordinate position of the substrate table TB (wafer stage 14) measured by the laser interferometer system 76.
  • the ft report of the center position of the test pattern image thus analyzed is sent to the main controller 50, and the main controller 50 transmits the test pattern formed at a plurality of points (for example, ideal lattice points) on the test reticle.
  • the operation for sequentially measuring the position of each projected image is instructed to the control computer 11 B of the excimer laser light source 11, the wafer stage controller 78, and the waveform analysis computer 154.
  • the imaging performance of the projection optical system PL and the illumination characteristics of the illumination optical system were measured by the aerial image detector KES, and based on the measurement results, the various types shown in Fig. 2 were measured. Optical elements and mechanisms can be adjusted.
  • a fiducial mark plate FM whose surface is substantially the same as the height position of the surface of the wafer W is provided (see FIG. 7 (A)).
  • Reference marks that can be detected by various alignment systems described later are formed on the surface of this reference mark plate FM (this will be described later), and these reference marks are checked (checked) at the detection center point of each alignment system. To measure the baseline length between the detection center points, check the position of the reticle R with respect to the wafer coordinate system, or check the position in the Z direction of the best imaging plane conjugate to the pattern surface of the reticle R.
  • the wafer transfer robot 19 shown in FIG. 1 constitutes a part of a wafer transfer system for transferring a wafer W from a wafer mounting portion (not shown) to a wafer stage WST, and has a predetermined loading position (delivery position). It has a robot arm (wafer door / door / door) 21 for transferring wafers W to and from the wafer stage WST holder that has been moved to the wafer stage.
  • An alignment sensor (alignment optical system) is provided for optically detecting the light.
  • the alignment optical system ALG is arranged on the side of the projection optical system PL as shown in FIG.
  • the alignment optical system ALG irradiates the resist layer on the wafer W with non-photosensitive illumination light (uniform illumination or spot illumination) through an objective lens, and reflects reflected light from the alignment mark and the reference mark. It is detected photoelectrically via the objective lens.
  • the mark detection signal detected by the photoelectric detection is input to a signal processing circuit 68.
  • the signal processing circuit 68 receives the mark detection signal via a wafer stage controller 78, a synchronous control system 80, and a main controller 50.
  • the measurement values of the laser interferometer system 76 are input.
  • the signal processing circuit 68 performs waveform processing on the above-described photoelectrically detected mark detection signal under a predetermined algorithm, and based on the processing result and the measurement value of the laser interferometer system 76, a mark Coordinate of the wafer stage WST such that the center of the alignment coincides with the detection center (index mark, reference pixel on the imaging surface, light receiving slit, spot light, etc.) in the alignment optical system ALG. Position), or the amount of misalignment of the wafer mark and the reference mark with respect to the detection center.
  • the ⁇ f report of the obtained alignment position or the amount of positional deviation is sent to the main controller 50 to determine the alignment of the wafer stage WST at the time of alignment, and to perform scanning exposure for each shot area on the wafer W. Used to set the start position.
  • a reticle microscope 110 for performing reticle alignment is provided above the reticle stage RST.
  • two reticle microscopes 110 are actually arranged at predetermined intervals along the non-scanning direction, in FIG. 2, the reticle microscope 110 on the far side of the drawing is the reticle microscope 110 on the near side. Only one is shown, because it is hidden behind the.
  • a synchronous control system 80 for synchronously moving the reticle stage RST and the wafer stage WST is provided in the control system.
  • This synchronous control system 80 controls the reticle stage R especially during scanning exposure.
  • the control of the drive system 29 by the reticle stage controller 33 and the control of the drive system 48 by the wafer stage controller 78 are mutually linked.
  • Reticle laser interferometer 30 and interferometer system 76 monitor the positions and speeds of reticle R and wafer W in real time to ensure that their mutual relationship is as specified.
  • the synchronous control system 80 is controlled by various commands and parameters from the main controller 50.
  • the control rack 14 individually controls each unit (excimer laser light source 11, illumination optical system 18, reticle stage RST, wafer stage WST, transfer port boat 19, etc.) of each part of the exposure apparatus main body 12.
  • the main control unit mini-controller
  • the main control unit that is built as a decentralized system that controls each processor, controls each unit, and controls the processor
  • a rack section for storing 50 see Fig. 2
  • an operation panel 106 for man-machine interface with the operator and a rack section for storing the display 108, etc.
  • the overall operation of the exposure apparatus main body 12 is managed by the control rack 14.
  • a processor-side computer such as a microprocessor is provided on each processor-board in the processor-board-rack section 104, and these unit-side computers cooperate with the main control unit (minicomputer) 50.
  • main control unit minicomputer
  • a series of exposure processing of a plurality of wafers is performed.
  • the overall sequence of the series of exposure processing is described in a memo (not shown) in main controller 50.
  • the process program uses information on the wafer to be exposed (number of processed wafers, shot size, shot array data, alignment mark arrangement data, alignment conditions, etc.) based on the exposure processing file name created by the operator.
  • Information about the reticle (pattern type data, layout data of each mark, size of circuit pattern area, etc.) and information about exposure conditions (exposure amount, focus offset amount, scanning speed offset amount, projection magnification offset) Amount, correction amount of various aberrations and image distortion, setting of ⁇ value of illumination system and NA of illumination light, setting of NA value of projection optical system, etc.) are stored as a package of parameters.
  • the main controller 50 decodes the process program instructed to be executed, and sequentially instructs the corresponding computer on the unit side as to the operation of each component necessary for the exposure processing of the wafer.
  • each computer on the unit side completes one command normally, it sends a status to that effect to the main controller 50, and the main controller 50 receiving this command sends the status to the unit side computer next.
  • Send a command for example, when a command for wafer exchange is sent from main controller 50, wafer stage controller 78, which is a control unit of wafer stage WST, and a wafer transfer robot. In cooperation with the control unit 19, the wafer stage WST and the arm 21 (wafer W) are placed in a positional relationship as shown in FIG. Further, a plurality of utility software are stored in a memory in main controller 50.
  • the typical software is as follows: 1 The optical characteristics of the projection optical system and illumination optical system are automatically measured, and the quality of the projected image (distortion characteristics, (E.g., frame characteristics, telecentric characteristics, illumination numerical aperture characteristics, etc.), and (2) a correction program for performing various types of correction processing according to the quality of the evaluated projected image.
  • disortion characteristics E.g., frame characteristics, telecentric characteristics, illumination numerical aperture characteristics, etc.
  • correction program for performing various types of correction processing according to the quality of the evaluated projected image.
  • FIG. 7 (A) shows a schematic plan view of the vicinity of the surface plate 22, and FIG. 7 (B) shows a schematic front view seen from the direction of arrow A in FIG. 7 (A).
  • FIG. 7 (A) shows a schematic plan view of the vicinity of the surface plate 22, and FIG. 7 (B) shows a schematic front view seen from the direction of arrow A in FIG. 7 (A).
  • FIG. 7 (A) shows the movement distance of the movable platen 38 due to the reaction force to the movable platen 38 due to acceleration / deceleration when the wafer stage WST moves by the distance of arrow B. It has been.
  • a plurality of coils (not shown) constituting a planar magnetic levitation linear actuator 42 together with a permanent magnet (not shown) provided on the lower surface of the wafer stage WST are arranged in the XY two-dimensional directions. It is stretched.
  • the wafer stage WST is floated above the movable platen 38 by the planar magnetic levitation linear actuator 42 and controlled in a two-dimensional direction by controlling the current flowing through the coil. Is driven.
  • a plurality of coils (not shown) forming a planar magnetic levitation linear actuator 44 together with a permanent magnet (not shown) provided on the lower surface of the movable surface plate 38 are provided on the upper surface of the surface plate 22.
  • the movable surface plate 38 is supported above the surface plate 22 by a planar magnetic levitation linear actuator 44 and is controlled in an arbitrary two-dimensional direction by controlling a current flowing through the coil. Is driven.
  • the friction therebetween is very small.
  • the wafer stage The momentum conservation law is established for the whole system including the WST and the movable surface plate 38.
  • the wafer stage WST since the wafer stage WST is mounted on the movable platen 38, the wafer stage WST moves at a speed of vx ⁇ 1-m / (M + m) ⁇ with respect to the platen 22, and thus ax ⁇ 1 -m / (M + m) ⁇ . Therefore, if the mass m (weight mg) of the wafer stage WST is close to the mass M (weight Mg) of the movable platen 38, the desired acceleration and maximum speed of the wafer stage WST cannot be obtained. Further, since the moving distance is proportional to the speed, the moving amount of the movable platen 38 increases, and the foot print deteriorates.
  • the wafer stage controller 78 exposes the control response frequency to the planar magnetic levitation linear actuator 44 for driving the movable surface plate 38, It is designed to be variable between alignment and other times. This will be described in more detail.
  • the wafer stage WST and the reticle stage RST move synchronously, but the control response frequency of the flat magnetic levitation linear actuator for driving the movable platen 38 is adjusted to several Hz. If it is used, the wafer stage controlled at several tens of Hz can hardly follow the reaction force against the movable surface plate 38 of the planar magnetic levitation linear actuator for WST drive 42, and it can move according to the law of conservation of momentum
  • the mold platen 38 moves freely and absorbs the reaction force, and the influence of the reaction force does not reach the outside.
  • the wafer stage controller 78 can be used to adjust the position of the reticle stage RST or the position of the wafer stage WST to cause the exposure apparatus main body 12 to be tilted as a whole.
  • the control response of 4 at several Hz By controlling the control response of 4 at several Hz, a low-frequency position shift in which the movable platen 38 moves in the direction of its inclination is prevented.
  • the wafer stage controller 78 is used to drive the movable platen 38 during the deceleration of the wafer stage (at the time of non-scanning stepping acceleration) which does not affect the synchronous control performance after scan exposure.
  • the movable platen 38 is controlled so as to return to the original position before the stepping.
  • the vibration isolator 20 supporting the gantry 16 is equipped with an air pad for preventing high-frequency vibration such as floor vibration, and a linear actuator for removing low-frequency vibration accompanying the air pad.
  • the equipment may tilt slightly depending on the position of the reticle stage RST and wafer stage WST.
  • the wafer stage controller 78 corrects the inclination of the apparatus by moving the movable platen 38 by a predetermined amount as described above to correct the center of gravity of the entire apparatus. Then, there is no load on Linear Actuy overnight And the life of components such as actuators can be extended.
  • the shape of the movable surface plate 38 and its moving range are changed according to the shape and the moving range of the wafer stage WST, by the solid line and the virtual line in FIG. It has a triangular shape (octagonal shape) without vertices as indicated by the lines.
  • the scanning direction (scanning direction) of the wafer stage WST is the vertical direction on the paper of FIG. 7A.
  • the surface plate 22 is formed in a substantially square shape, and the four vibration isolators 20 supporting the surface plate 22 are arranged in a quadrangular shape to increase rigidity.
  • FIG. 8A shows a plan view of a 9-inch reticle R held on reticle stage RST. As shown in FIG. 8 (A), the reticle R has three reticule alignments along the X direction at one end in the Y direction of the light-shielding band EB that defines the sunset area P. Marks MR1, MR2 S Mr3 are formed.
  • a first reference mark Mrl, Mr2 and a second reference mark Mw are formed in a predetermined positional relationship.
  • reticle alignment and baseline measurement are performed as follows.
  • the main controller 50 moves the reticle stage to a position where the reticle alignment marks MR1 and ME2 can be measured simultaneously by the two reticle microscopes 110 via the reticle stage controller 33, and the reference mark plate
  • the first fiducial marks Mrl and Mr2 on the FM are placed on the wafer stage WST via the wafer stage controller 78 at the same time as the reticle alignment marks MR1 and MR2 to a position that can be measured by the two reticle microscopes 110.
  • FIG. 9 shows a relative positional relationship between the two reticle microscopes 110, the reference mark plate FM, and the alignment sensor ALG.
  • the second reference mark Mw is measured by the alignment sensor ALG.
  • the first reference mark Mrl, Mr2 and the second reference mark Mw are formed on the reference mark plate FM in such a positional relationship, and the positional relationship between the two reticle microscopes 110 and the alignment sensor ALG is determined. Have been. Then, main controller 50 measures the position AW of the center Ma of index Ma of alignment sensor ALG with respect to second fiducial mark Mw using alignment sensor ALG in the state of FIG. The positions ⁇ R of the reticle alignment marks MR1, ME2 with respect to the first fiducial marks Mrl, Mr2 are measured using two reticle microscopes 110.
  • the first area P1 on the reticle R represented by the reticle alignment marks MR1, MR2 is The distance from the projection position to the detection center of the alignment sensor ALG (that is, the center of the index Ma), that is, the base line amount BL can be obtained.
  • main controller 50 calculates the above equation after the measurement of AW, and calculates the projection position of first area P1 on reticle R and the detection center of alignment sensor ALG (that is, index M a Calculate the distance (relative positional relationship) to In the same manner as above, the reticle alignment and the baseline measurement are performed prior to the scanning exposure of the area P2 on the reticle R.
  • reticle alignment marks Mr2 and Mr3 are used for reticle alignment. For example, as shown in FIG. 8 ( ⁇ ), when a light-shielding band ⁇ ⁇ exists at the boundary between the first region ⁇ 1 and the second region ⁇ 2 on the reticle R, the reticle alignment mark is formed.
  • a drive system capable of driving the movable surface plate 38 as the second movable body at a predetermined response frequency is a planar magnetic levitation linear reactor.
  • a wafer stage controller 78 controls the position of the movable platen 38 at a response frequency of several Hz or less via this drive system.
  • the movable surface plate 38 is driven at a low response frequency on the surface plate 22 by the planar magnetic levitation linear actuator 44 and the wafer stage controller 78, and the exposure of the wafer W is performed.
  • a second driving device is configured to position the movable surface plate 38 at a predetermined point on the surface plate 22 based on the output of the position measuring device (linear encoder 45).
  • FIG. 10 shows a slit-shaped illumination area on the wafer (an area conjugate to the illumination area on reticle R; hereafter “illumination slit”) that is inscribed in the effective field PL ′ of the projection optical system PL.
  • illumination slit an area conjugate to the illumination area on reticle R
  • FIG. 10 (B) shows the relationship between the stage movement time t and the stage speed Vy. ing. Note that exposure is actually performed by moving the shot area S1 in the direction opposite to the arrow Y with respect to the illumination slit ST, but here, the stage movement time shown in FIG. In order to correlate with the relation table of the stage speed, the on-wafer illumination slit ST is shown to move with respect to the shot area S1.
  • the center P of the illumination slit ST is positioned at a predetermined distance from the shot end of the shot area S1, and acceleration of the wafer stage WST is started. You. When wafer stage WST approaches a predetermined speed, synchronous control of reticle R and wafer W is started.
  • the time t1 from the start of the acceleration of the wafer stage to the start of the synchronous control is called the acceleration time.
  • tracking control by the reticle stage RST is performed until the displacement error between the wafer and the reticle has a predetermined relationship, and exposure is started.
  • the time t2 until the start of exposure is called a settling time.
  • the time from the start of acceleration to the start of exposure (t1 + t2) is called the prescan time. If the average acceleration in the acceleration time t 1 a, the settling time and t 2, the moving distance at the time Pris-scan (1/2). A. T 1 2 + a. T 1.
  • the transfer of the reticle pattern to the shot area S1 ends.
  • the step-and-scan method normally scans the reticle R alternately (reciprocating scan).
  • the reticle R Since the exposure for the next shot is performed sequentially, the reticle R is further moved from the end of the exposure by the same distance as the movement distance in the pre-scan, and the reticle R starts scanning for the next shot exposure. It is necessary to return to the position (therefore, the wafer W is also moved in the scanning direction correspondingly).
  • the time required for this is the constant speed per scan time t4, the deceleration over scan time t5, and (t 4 + t 5) is the over per scan time as a whole. Assuming that the deceleration at the deceleration bar scan time t5 is b, the travel distance during this bar scan time is 1 (1/2) 'b' t5 2 — b.t5-t4.
  • FIG. 10 (C) shows the wafer peripheral shot S and the extension of the moving mirror length when the wafer stage WST (substrate table TB) scans in the arrow Y direction to expose the short area S around the wafer. L 0, L 1 + L 2, L 3).
  • the lengths of the reflecting surfaces 60a and 60c when the extension lines of the interferometer beams RIX1 and RIX2 intersect the outer periphery of the wafer W are the minimum necessary reflecting surface lengths.
  • the cutoff virtual shot length is L3
  • the distance required for the prescan and overscan described above is 1 + L2
  • the interferometer beam When two are measured beams in the XY plane, the center position of these two measured beams (dotted line), the distance to the center of each measured beam, each beam radius, and a predetermined margin
  • the total length of the reflecting surface is L0
  • the extension of the reflecting surface is L0 + L1 + L2 + L3
  • the length of the reflecting surface is smaller than the vertex of the triangle of the substrate table TB. Is set. This prevents the inconvenience that the length measuring beam deviates from the reflecting surface during scanning exposure.
  • FIG. 11 ( ⁇ ) shows the locus of the center ⁇ of the on-wafer illumination slit ST passing through each shot when the shots S1, S2 and S3 are sequentially exposed.
  • the wafer stage controller 78 and the synchronous control system 80 use the pre-scan and over scan of the wafer stage WS ⁇ ⁇ ⁇ ⁇ in the scan direction ( ⁇ direction) and the non-scan direction ( (X direction) at the same timing.
  • the moving distance between the shots of the wafer stage WST is shortened, so that the moving time required for this is shortened, and the throughput is improved.
  • the pre-scan time includes the settling time t 2 for causing the reticle R to completely follow the wafer W, the acceleration / deceleration control in the non-scan direction is performed as early as possible at the start of the settling time t 2. It is desirable to have finished.
  • the wafer stage controller 78 and the synchronization control system 80 perform the following steps after the end of the exposure, ie, during the wafer scanning time t4 in the scanning direction of the wafer stage WST.
  • the stage WST starts stepping in the non-scanning direction, and performs control so as to end the acceleration / deceleration control that occurs in the non-scanning direction as early as the constant-speed bus scanning time t 4 minutes.
  • FIG. 11 (B) shows the relationship between the speed Vy in the scanning direction of the wafer stage WST and time in this case
  • FIG. 11 (C) shows the corresponding speed Vx in the non-scanning direction. Time relationships are shown. According to this method of controlling the movement of the wafer stage, control in the non-scanning direction is not required during the settling time t2, and only synchronization control in the scanning direction need be performed.
  • the stepping direction is the X axis
  • the scanning direction is the Y axis
  • the scanning speed at the time of exposure of shot S1 is —V ⁇
  • the maximum speed at the time of stepping is VX
  • the time distribution is specifically described for each axis. To think. First, considering the scan direction, the wafer stage WST is decelerated after the exposure of the shot S1 is completed and the constant velocity scan time t4 is reached (when the speed is in the Y direction in FIG. 11 (A)). Acceleration in the + Y direction).
  • the wafer stage WST advances in the scanning direction by —VY ⁇ t4 during time t4 with respect to point 0 (0, 0) in FIG. 11 (A) as a reference point.
  • — VY. T + (1/2) ⁇ ay ⁇ t 2 changes to one VY. T + (1/2) ⁇ ay ' t 2 ( 21) VY.
  • ax tx 5 As a reference point of the deceleration period of the deceleration start point time after, ax tx 5.
  • the stepping time is all operated in parallel with the pre-scan and the smart scan, and the throughput is improved. That is, according to the scanning exposure method employing the movement control method of the wafer stage WS T (substrate table TB) described with reference to FIGS. 11A to 11C above, the reticle R and the wafer W are scanned. After synchronously moving in the Y direction (first direction), the scan S1 is scanned and exposed, the position of the shot S2 adjacent to the shot S1 in the X direction (non-scanning direction).
  • the acceleration of the wafer W in the scanning direction is started, and the shot S 2 is scanned and exposed in the pattern of the reticle R. Is done.
  • the movement to the shot S2 is started after the exposure of the shot S1 is completed, but the acceleration of the wafer in the scanning direction is started in the middle of the exposure, so that the non-scanning direction to the shot S2 is started.
  • the scanning direction acceleration time for the exposure of the shot S2 can completely overlap with the movement time of the shot S2, and after the wafer W reaches the position of the shot S2, the exposure for the shot S2 can be performed.
  • the throughput can be improved as compared with the conventional example in which the acceleration in the scanning direction is started.
  • the acceleration of the wafer W in the non-scanning direction is started at the time of the constant speed movement in the scanning direction after the end of the scanning exposure of the shot S1. This is intended to end the stepping operation by t4 earlier than the start of the synchronous control at t2, but is not limited to this, and the acceleration of the wafer W in the non-scanning direction is performed during the deceleration of the wafer W. May be started.
  • the wafer W is accelerated along the direction intersecting with the scanning direction before the scanning exposure of the shot S2, and the moving speed in the scanning direction becomes a speed corresponding to the sensitivity characteristic of ⁇ , W. Since it is set, it is easy to control the reticle during exposure by maintaining the speed and controlling the reticle synchronously.
  • the wafer W has a moving speed and a non-moving speed in the scanning direction between the scanning exposure of the shot S 1 and the scanning exposure of the shot S 2. Since the moving speed in the scanning direction is moved so that at least one of them does not become zero, the moving is performed without stopping between the scanning exposure of the shot S1 and the scanning exposure of the shot S2.
  • the wafer W is moved between the scanning exposure of the shot S1 and the scanning exposure of the shot S2 at a point B where the moving speed in the scanning direction becomes zero. Since the position in the X direction has been moved so as to be closer to the shot S2 than the shot S1, as described above, the position of the wafer W between the shot S1 and the shot S2 in the non-scanning direction is changed. Even if the acceleration and the deceleration are equal, the speed in the non-scanning direction is zero before a certain time (t 2 in the above example) before the start of the shot S 2 exposure.
  • the wafer W does not need to be moved along the movement trajectory as shown in FIG. 11A between the scanning exposure of the shot S1 and the scanning exposure of the shot S2.
  • the position in the non-scanning direction (position of the point B in the X direction) at which the velocity component in the scanning direction of the wafer W becomes zero after the end of the scanning exposure in step 1 is shorter than the position in the X direction of the shot S2.
  • the wafer W may be moved obliquely with respect to the scanning direction and the non-scanning direction to scan and expose the shot S2 on the side of the scan S1.
  • the position in the non-scanning direction of the wafer W where the velocity component in the scanning direction after the scanning exposure of the shot S1 becomes zero (point B).
  • the wafer W may be moved such that the position of the shot S1 in the non-scanning direction is between the position of the shot S1 in the non-scanning direction and the position of the shot S2 in the non-scanning direction.
  • the wafer W when the scanning exposure of the shot S1 is completed, the wafer W is moved in the non-scanning direction while reducing the speed in the scanning direction, and the substrate is moved along a curved (or straight) path. It is moved obliquely to the scanning direction and the non-scanning direction. Therefore, the trajectory of the wafer W after the scanning exposure of the shot S1 is completed is shorter than that of the conventional U-shaped path, and the substrate is moved along the path closest to the shortest distance, thereby improving the throughput accordingly. Become. In this case, the movement trajectory of the wafer W may be V-shaped, but it is not necessary to stop the wafer W between the scanning exposure of the shot S1 and the scanning exposure of the shot S2.
  • the trajectory be parabolic (or U-shaped). Further, as is clear from FIGS. 11A and 11C, after the scanning exposure of the shot S1, the wafer W was accelerated in a direction intersecting the scanning direction and the non-scanning direction. Since exposure is started after moving at a constant speed in the scanning direction for a predetermined time (t 2 + a), the speed component of the substrate in the non-scanning direction does not affect the scanning exposure. In this case, the acceleration of the reticle R is started during the movement of the wafer W in the direction intersecting the scanning direction and the non-scanning direction, and before the speed component of the wafer W in the non-scanning direction becomes zero.
  • the time required for the reticle R and the wafer W to be in a constant-speed synchronization state is shorter than when the reticle R starts to accelerate after the wafer moves to the constant speed, and the throughput is improved accordingly.
  • the above-mentioned acceleration and deceleration indicate the average acceleration / deceleration during operation, and the same effect as in the present embodiment is obtained in the acceleration / deceleration map control for smooth acceleration / deceleration. Needless to say.
  • Figs. 19 (A) and (B) show that when t4 + ty5 + ty1 ⁇ tx5 + (tx6) + tx1, the slit width is 8 mm and the shot length is 33 mm. Shot width: 25 mm, number of shots: 65 (the number of wafer shots that can be taken with a 33 x 25 shot size on a 12 inch wafer), and the minimum number of laser pulses required to expose one shot: 32.
  • Modulation frequency of pulse laser for exposure 1 to 2 kHz variable control, beam diameter of interferometer: 5 mm. Double-pass interferometer interval: 19 mm, interferometer interval for laser beam measurement: 35 mm, The actual measurement data of a step-and-scan type projection exposure apparatus using a conventional quadrangular stage is shown.
  • Fig. 19 (B) shows the reticle scan under the same conditions as Fig. 19 (A), which shows the required extension distance of the wafer-side moving mirror when the maximum reticle scan speed Vr is changed.
  • FIG. 20 (A) shows a graph corresponding to FIG. 19 (A), in which the horizontal axis represents the maximum reticle scanning speed and the vertical axis represents the extension distance of the movable mirror, and FIG.
  • the graph corresponding to Fig. 19 (B) shows the maximum speed of the reticle scan on the horizontal axis and the time between single wafer exposures on the vertical axis. It was applied.
  • Vr when Vr is changed under the condition of (4 G, 36 ms), when “is increased to the range of 1600 to 200 Omm / s, the exposure time is 16 5 seconds / wafer, throughput is improved. However, the exposure time is not shortened further with V "of more than 160 Omm / s. On the other hand, the speed Vr is 1600 to 2000 mm When the distance to the moving mirror increases, the moving distance of the moving mirror increases to 61 mm for S OOOmmZs, which means that the required exposure time is as follows when V r is 1500 mm / s and 2000 mm / s.
  • the increase in the stage area is 1 9 At 9 x 2/300, it is about 13%, which greatly affects the controllability.
  • FIG. 12 is a plan view showing the vicinity of the movable platen 38 where the wafer stage WST is located at the opening position for exchanging the wafer W.
  • the interferometers 76 X 1, 76 Y, and 76 X 2 for monitoring the position of the wafer stage WST on the XY coordinate system (stage coordinate system) are viewed in plan.
  • Each has two length measuring beams, and each of these two length measuring beams is used as two independent light beams for the shoring measurement by using the three reflecting surfaces 60a, 60b, and 60c of the substrate table TB.
  • Irradiation interference measurement long beam for tilt direction measurement is not shown.
  • One of the measuring beams emitted from the first and third interferometers 76 X 1 and 76 X 2 (the measuring beams of the first measuring axis R 1 X11 and the third measuring axis R 1 X21).
  • the optical axis of the projection optical system PL is located at the position where the extension of the center line of the two measuring beams emitted from the second interferometer 76Y intersects with the extension of the projection optical system PL.
  • the detection center of the alignment optical system ALG is located at a position where the extension lines of the center lines of the two measurement beams emitted from the second interferometer 76Y intersect.
  • the wafer stage controller 78 always calculates the average value (y1 + y2) / 2 of the measured values y1 and y2 of the position in the Y-axis direction by the two measurement beams emitted from the interferometer 76Y. Is calculated as the Y position of the substrate table TB.
  • the substantial length measurement axis of the interferometer 76 is the Y axis passing through the optical axis of the projection optical system PL and the detection center of the alignment optical system ALG.
  • the two measuring beams emitted from the interferometer 76 Y can be used at any time, that is, regardless of the position of the wafer stage WST in any X direction, the second reflecting surface 60 b of the substrate table TB. So that they do not fall off.
  • the rotation of the substrate table TB can be obtained by using any two measured values of the interferometers 76X1'76X2 and 76Y, but as will be described later, Since one of the measuring beams of the interferometers 76X1 and 76X2 may come off the reflecting surface of the substrate table at the time of loading, the wafer table controller 78 also rotates the substrate table TB with the interferometer 76Y. The calculation is performed based on the difference between y 1 and y 2 of the measured value of the position in the Y-axis direction by the two measurement beams emitted from.
  • the wafer stage controller 78 sets any one of the determined rotation amounts or the arbitrary rotation amount.
  • the rotation may be obtained by using two or three addition averages.
  • the first interferometer 76 X 1 has an interferometer beam RIX 1 in a direction inclined at a predetermined angle ⁇ ⁇ ( ⁇ ⁇ is ⁇ 6 ° here) with respect to the Y-axis direction.
  • the first interferometer 76X2 irradiates the first reflecting surface 60a perpendicularly, and the third interferometer 76X2 emits an interferometer beam RIX2 in a direction inclined at a predetermined angle 02 (02 is + 60 ° here) with respect to the Y-axis direction. Irradiate the reflecting surface 60c vertically.
  • the wafer stage is calculated by the following equation (1).
  • the X coordinate position of WST can be obtained.
  • the X position of the wafer stage WST can be obtained.
  • the wafer stage controller 78 emits light from the interferometers 76X1 and 76X2 toward the optical axis of the projection optical system PL during exposure.
  • the X position of the wafer stage WST is calculated by the above equation (1) ', and at the time of alignment, the interferometers 76X1 and 76X2 are used.
  • the X position of the wafer stage WST is calculated by the above equation (1) 'using the measured values of the measurement beams of the second and fourth measurement axes respectively emitted toward the detection center of the alignment optical system ALG. It is like that.
  • the inclination of the first and third reflecting surfaces 60a and 60c may be shifted to predetermined angles (01 + 90 ° :) and (02-90 °).
  • the inclination of the first and third reflecting surfaces 60a and 60c is adjusted in advance so as to be the same, and then the reticle alignment using the reference mark plate FM on the wafer stage WST is performed.
  • each interferometer light flux is located at a rotation position separated by 120 ° from each other, so that air conditioning for the interferometer light path is performed from one direction. At least one interferometer beam is hidden behind the wafer stage WST, making air conditioning difficult. For this reason, of the three interferometer luminous fluxes, at least two air vents are provided to independently air-condition the two interferometer luminous fluxes. It has a configuration that allows it.
  • air-blowing methods There are two types of air-blowing methods: a parallel beam air-conditioning method that sends air from the interferometer to the stage, and a beam orthogonal air-conditioning method that sends air from the top to the bottom.
  • the heat source comes downwind according to the position of the heat source
  • the air conditioning method may be selected independently for each axis.
  • all the measuring beams from all the interferometers of the interferometer system 76 are designed to irradiate the respective reflecting surfaces of the substrate table TB. This is because the reticle alignment and the baseline measurement as described in relation to FIG. 9 are performed simultaneously with the wafer replacement. That is, at the position of the wafer stage WST shown in FIG.
  • the fiducial mark plate FM is disposed at one end of the triangular vertex of the substrate table TB, so that the first fiducial marks Mrl, M on the fiducial mark plate FM
  • the second fiducial mark M w can be observed with the alignment optical system (offaxis alignment sensor) ALG.
  • the measuring axis is not deviated from each reflecting surface of the substrate table TB.
  • shots that can be exposed in one scan on the wafer W are drawn with a solid square frame, and the dashed line in the square frame moves the wafer stage WST in prescan and smart bar scan. Indicates the position that must be done.
  • the wafer stage WST is in the order indicated by, for example, the arrow () written on the wafer W in FIG.
  • Alignment marks are detected by the alignment optical system ALG, and the positions of multiple representative marks are measured using the measured value of the long axis of the interference measurement for the alignment optical system at each mark detection position. EGA (Enhanced / Global) measurement is performed.
  • EGA measurement is disclosed in, for example, Japanese Patent Application Laid-Open No. 61-44429 and the corresponding US Patent No. 4,780,617. To the extent permitted by the national laws of the designated State, their disclosure will be incorporated and incorporated as part of the text.
  • the measurement order of the alignment marks is determined as follows as an example. That is, taking into account factors such as a reading position and whether the total exposure shot line is an even number line or an odd number line, the most throughput is determined.
  • the wafer stage controller 78 determines the measurement order of the alignment marks with high efficiency such that the process is completed and (the processing time is short).
  • the wafer axis of the interferometer used for position measurement of the wafer stage WST is changed by the wafer stage controller 78 to the exposure interferometer optical axis (length measuring beam R 1 X 1 1, the first and third measurement axes by the measurement beam R 1 X21), and then transfer the first area P 1 on the reticle R to the multiple shot areas on the wafer W.
  • Step-and-scan exposure is started.
  • the total exposure row is an even row
  • scan exposure is performed sequentially and alternately.
  • the first line is exposed in the order of left and right
  • the next line is stepped alternately from right to left, and finally when the upper left exposure ends, as shown in Figure 15, the reticle
  • the scanning exposure for the transfer of the first area P1 on R (first scanning exposure) is completed.
  • a preparation operation for transferring the second area P2 on the reticle R is performed.
  • the preparatory operations include the same operations as those described in (1) to (3) described above, that is, the reticle alignment and baseline measurement described above, and after the exposure of the first area P2 is completed, the exposure of the second area is completed.
  • Y interferometer for reset operation of Y and, if necessary, for alignment optical system ALG whose measuring beam deviates from the reflecting surface (moving mirror) at the time of first area exposure O
  • the change of the lighting condition is included.
  • the change of the lighting condition is as follows. If the pattern is a contact hole or an isolated pattern, the optimal illumination conditions differ for each pattern, so rotate the illumination system aperture stop plate 18H in Fig. Lighting system N.A.
  • the change of the illumination condition means that the intensity distribution of the slit-shaped illumination light (illumination beam) for irradiating the reticle R, in other words, the illumination source (formed by the fly-eye lens) that emits the illumination light. Change the shape and / or size of the secondary light source.
  • the wafer stage WST is moved along the reverse path of the first scanning exposure, and the step and step for pattern transfer of the second area P2 is performed.
  • a scanning exposure is performed by a scanning method.
  • the second pattern of the second area P2 is transferred (overprinted) onto all the shot areas on the wafer W where the first pattern of the first area P1 has already been transferred.
  • wafer stage WST moves to the wafer exchange position in FIG. 12, and thereafter, the same operation is repeated for the next wafer.
  • the reticle stage RST only repeats the reciprocating movement in the scanning direction.
  • the efficient stepping control described above is performed on the wafer stage WST, as shown in FIGS. 14 and 1.
  • the reticle R and the wafer W are synchronously moved, and the step and step of sequentially transferring the pattern of the reticle R to a plurality of shot areas S 1, S 2, S 3,.
  • any two shot areas on the wafer W to which the pattern of the reticle R is transferred by the reciprocating movement of the reticle R for example, the wafer W between the scanning exposures of the shots S1 and S2. It is desirable to move without stopping.
  • the wafer W since the wafer W does not stop between the adjacent areas on the wafer W where the pattern of the reticle R is sequentially transferred, for example, between the scanning exposures of the shots S1 and S2, the portion is further improved. O In this sense, the wafer W is moved in the scanning direction of the wafer W until the last shot area on the wafer W to which the pattern of the reticle R is to be transferred is completed. It is more preferable that at least one velocity component in the scanning direction is moved so as not to become zero. In such a case, as a result, since the wafer does not stop while scanning exposure of the step shot scan method is performed on all of the plurality of shot areas, throughput is improved most.
  • the illumination condition is changed between the transfer of the first area on the reticle to each shot and the transfer of the second area to each shot.
  • the illumination conditions are not changed depending on the patterns of the first area and the second area, for example, only the numerical aperture of the projection optical system PL is changed. Alone, or both the illumination conditions and the numerical aperture of the projection optical system PL may be changed.
  • the flow of the normal double exposure operation in the scanning exposure apparatus 10 of the present embodiment is as described above, but is not limited thereto, and the following irregular double exposure is also possible. . That is, the first pattern of the first area P1 on the reticle R and the second pattern of the second area P2 are sequentially transferred onto the same shot area S1, and then transferred to the next shot area S1. 2 is a case of double exposure in which the second pattern of the second area P2 and the first pattern of the first area P1 are superposed and exposed in the reverse order. In this case, during the exposure of the same short shot area S, the reticle stage RST is turned on while the wafer stage WST reciprocates in the scanning direction (Y direction).
  • FIG. 16 (A) shows the movement trajectory of reticle R (reticle stage RST) in this case. Note that exposure is actually performed by moving the reticle R with respect to the illumination slit ST in the opposite direction from the gauge 3 or T, but here, for convenience of explanation, the illumination slit ST ( The center Q) is shown moving relative to reticle R. The movement along this path is performed by controlling the speed of the reticle stage RST in the scanning direction and the non-scanning direction in the same manner as the control of the wafer stage WST described in (B) and (C) of FIG.
  • the reticle Y interferometer 30 Y falls into an unmeasurable state as described above.
  • the first area P When switching from 1 to P2 (or vice versa), the change in the scanning direction position of the reticle stage in each case is measured and stored in the memory as correction data. Then, at the time of actual exposure, the measured value of the reticle Y interferometer 30Y before the area switching is stored, and the Y coordinate of the reticle stage RST at the moment when the reticle Y interferometer 30Y is reset after the area switching is obtained.
  • the position measurement in the scanning direction is performed as a value obtained from the measured value of the reticle Y interferometer 30 Y before the area switching and the correction value.
  • a Y-direction L / S pattern having a predetermined pitch for example, 2> m
  • a 0.25 m L / S pattern is finally formed on the wafer W, assuming that the reduction magnification of the projection optical system PL is 1Z4.
  • the next pattern is transferred to the next shot area S2 in the reverse order to the previous one.
  • the movement of the reticle stage RST is controlled so that the trajectory becomes parabolic after illuminating the second area P2 on the reticle R with illumination light. Then, the reticle stage RST may be driven without stopping between the scanning exposures of the two shot areas.
  • the first pattern and the second pattern on the reticle R are transferred to the respective shot areas on the wafer W in the same order, and the scanning exposure of the last shot area on the wafer W is performed.
  • the reticle stage RST does not stop until it ends.
  • a wafer stage is set between two adjacent shot areas. The movement of the wafer stage WS # may be controlled so that the movement trajectory of the WST is parabolic, and the wafer stage WS # may be driven between the two shots without stopping.
  • the patterns of the first region ⁇ 1 and the second region ⁇ 2 on the reticle R are transferred to adjacent regions in the non-scanning direction on the wafer W, respectively. Exposure (stitching exposure) is also possible.
  • a large-area pattern formed by joining the patterns of the first region # 1 and the second region # 2 on the reticle R is formed on the wafer W.
  • the reticle stage RS # after irradiating the first region # 1 on the reticle R with the illumination light, or during the deceleration of the reticle stage RS # in the scanning direction ( ⁇ direction).
  • reticle stage RS ⁇ may be moved obliquely to the scanning direction.
  • the reticle stage RST is not a U-shaped path but a shorter path. Since it is moved along the road, the throughput can be improved by shortening the moving time.
  • the reticle stage RS ⁇ is moved in the scanning direction and the non-scanning direction so that the second region ⁇ 2 on the reticle R approaches the illumination light. May be accelerated in a direction intersecting with. Or, before the stepping operation of the reticle stage RST in the non-scanning direction (X direction) is completed, or after the first region on the reticle R is irradiated with the illumination light, The acceleration of the reticle stage RS in the scanning direction may be started before the velocity component becomes zero.
  • the movement of the reticle stage RST it is preferable to control the movement of the reticle stage RST so that the movement trajectory is parabolic (or U-shaped).
  • the movement trajectory of the wafer stage WST is not always the shortest, but since the wafer stage WST does not stop, the wafer stage WST does not stop.
  • the reticle stage RST is set to "( If the stage WST is driven without stopping, the time between the end of the first scanning exposure and the start of the second scanning exposure becomes the shortest. If this c it is desired to accelerate the di WST in a direction crossing the scanning direction and the non-scanning direction, as described wafer stage WST accelerated is. more while proceeds oblique to the scanning direction and the non-scanning direction, the According to the scanning exposure apparatus 10 according to the embodiment, The position of the RST in the non-scanning direction is measured by at least one of the interferometers 30X1 and 30X2.
  • three corner cubes 31 ⁇ 1, 31 ⁇ 2, and 31 ⁇ 3 One of them is irradiated with a measuring beam I ⁇ in the scanning direction, and by receiving the reflected light, the position of reticle stage RS ⁇ ⁇ in the scanning direction is measured by interferometer 30 ⁇ , and the position of reticle stage RS ⁇ in the scanning direction is measured.
  • the position can be managed by the interferometer 30 mm. Therefore, if the position of the reticle stage RS in the non-scanning direction is at the position where one of the corner-cube is selected, the reticle stage is controlled while controlling the position of the reticle stage RS in the scanning direction with an interferometer 30 mm.
  • the reticle R By moving the wafer stage WS ⁇ in the scanning direction in synchronization with RS ⁇ , the reticle R can be transferred onto the wafer W via the projection optical system PL, and the reticle R can be transferred. It is possible to transfer a pattern of a plurality of partial areas on the reticle R or a pattern of a plurality of areas on the reticle R onto the wafer W via the projection optical system PL without changing the pattern.
  • the position of reticle stage R ST in the scanning direction is measured via a corner cube irradiated with measurement beam I ⁇ from interferometer 30 ⁇ , so that reticle stage RS ⁇ and wafer W stage WS Prior to (or during) the synchronous movement in the scanning direction with ⁇ , even if the reticle stage RST is rotationally controlled in the same manner as before, the returning light beam from the corner-cube is the reference light beam from the fixed mirror side. Therefore, position control of the reticle stage RS # in the scanning direction during scanning exposure can be performed accurately.
  • Reticle stage RS ⁇ can be accurately positioned in the first direction (scanning direction). Therefore, stitching using a large reticle can realize a large area exposure and improve throughput, and high efficiency can be achieved by controlling the rotation of reticle stage RS.
  • the corner cubes 3 1 Y 1, 3 1 Y 2, and 3 1 Y 3 are provided corresponding to the areas P 1, P 2, and P 3 on the reticle R, respectively. Therefore, when transferring the pattern in any area, the position of the reticle stage RST in the scanning direction is reliably and accurately managed by the interferometer 30 Y using the corners and cubes corresponding to that area. Is possible.
  • the reticle stage controller 33 has a function of resetting the interferometer 30Y in accordance with the position information of the reticle stage RST in the non-scanning direction. At the moment when the measuring axis of the interferometer 30Y hits any corner cube during the movement in the scanning direction, the interferometer 30Y is reset according to the position information of the reticle stage WST in the non-scanning direction.
  • a first reflecting surface 84a and a second reflecting surface 84b are provided on both side surfaces of the reticle stage RST in the non-scanning direction, and an interferometer 30X1, 30 X 2 is provided, and the reticle stage controller 33 uses the measurement value of the interferometers 30 X 1 and 30 X 2 that uses the shorter measurement beam to the reflective surface.
  • the position of the stage RST in the non-scanning direction is calculated. Therefore, reticle stage RS The influence of the rotation of T on the measured value is small, and the position of the reticle stage RS can be determined more accurately in the non-scanning direction.
  • a reference mark plate FM is arranged on the wafer stage WS, and the main control device is used to reset the interferometer 30 according to the position of the reticle stage RS in the non-scanning direction.
  • Reference numeral 50 denotes a reticle microscope and the alignment optical system ALG measures the reference mark on the reference mark plate FM by using the ALG to measure the positional relationship between the reticle R and the substrate stage WST. Therefore, during normal exposure and normal double exposure, different regions on reticle R are exposed, and even if reticle stage RST is moved in the non-scanning direction, the alignment accuracy of reticle and wafer W will deteriorate. There is no inconvenience.
  • actuating units 74 R and 74 L for applying a force corresponding to the reaction force generated by the movement of the reticle stage RST to the reticle stage RST or the second frame (26, 28). Since the frame 72 is provided, a force corresponding to the reaction force generated by the movement of the reticle stage RST is applied to the reticle stage RST or the second gantry by the actuators 74 R and 74 L, so that the reticle stage RST Vibration during acceleration / deceleration can be prevented from being transmitted to the gantry 16 via the second gantry.
  • a drive control system (this is a reticle stage controller 33, a synchronous control system 8 constituting a stage control system) is used to transfer the pattern of the reticle R onto the wafer W. 0), the reticle stage RST is reciprocated at least once along the scanning direction, and the reticle stage RST is moved along the non-scanning direction during the reciprocating movement. 2 corners / cube 3 1 Y 1, 3 Since 1 Y 2 is arranged, the position of reticle stage RS ⁇ ⁇ ⁇ is reliably controlled by interferometer 30 ⁇ ⁇ ⁇ both before and after the movement, and reticle stage R
  • the scanning exposure apparatus 10 of the present embodiment when the first exposure method, the third exposure method, or the normal scanning exposure is performed, the reticle R and the wafer W (the acceleration time ), And stepping in the non-scanning direction (non-scanning direction) of the wafer to expose the next shot in synchronism with the overscan by the constant speed movement time and the deceleration time after exposure of the wafer.
  • the pre-scan and over-scan times before and after scanning exposure are set to the next shot.
  • Stepping time can be completely overlapped with the stepping time, and pre-scanning, over-scanning operation and scanning to the next shot can be performed.
  • the throughput can be improved as compared with the conventional example in which the stepping operation for stepping is performed separately.
  • the settling time can be shortened as a result, and the throughput can be improved accordingly.
  • the non-scanning direction acceleration of the portion corresponding to the master scan due to the constant speed movement time and the deceleration time after the exposure of the wafer is the non-scanning portion of the portion corresponding to the prescan by the wafer advance (acceleration time). Since control is also possible in which the absolute value is greater than the negative acceleration in the direction, the deflection of the body due to high acceleration can be completely attenuated before the settling time for synchronous control. It is possible to improve throughput. Further, according to the scanning type exposure apparatus 10 and the stage apparatus 1 according to the present embodiment, the position of the wafer W in the non-scanning direction during the exposure is set to ⁇ 1, 02 with respect to the Y axis which is the scanning direction.
  • the position of the wafer W in the scanning direction is determined in the Y-axis direction. Since the length measurement is performed by the second interferometer 76Y having the length measurement axis, the shape of the substrate table TB (therefore, the wafer stage WST) can be made into a triangular shape (a regular triangular shape in the above embodiment). It becomes possible. As a result, as shown in FIG. 17, the weight of the wafer stage WST can be reduced as compared with the conventional rectangular stage st3.
  • Stage weight reduction will be more effective in the future, even if the acceleration / deceleration of the wafer stage is large or if the maximum speed is increased. In the triangular stage, the footprint can be reduced and the throughput can be improved.
  • Figure 17 shows a moving mirror to prevent the optical axis of the interferometer, which is indicated by the arrow ( ⁇ ) in the figure, from being deviated by multi-axis interferometer, pre-scan, and bar scan. Due to the distances D x and D y, the square stage st 3 is significantly ⁇ larger than the square stage st 1, which is the minimum size required to hold the wafer.
  • the stage WST of the embodiment shows that the stage shape is much smaller than that of the square stage st3 even if the supplementary distances of DX and Dy are the same.
  • the bowing of the wafer stage WST is calculated based on the measurement value of the second interferometer 76 Y that measures the position of the wafer stage WST in the scanning direction, the amount of the bowing is calculated based on the measured value of the wafer during exposure. Since the stage rotation error can be corrected on the reticle stage holding the reticle R, a rotation control mechanism is not required for the wafer stage WST, and the wafer stage can be lightened accordingly.
  • each of the first and third interferometers 76 X 1 and 76 X 2 has one optical axis (measuring beam R 1 X11 (first measuring axis), and one measuring beam R 1 X21 (third beam).
  • the extended intersection of the measurement axis)) coincides with the optical axis of the projection optical system PL, and the other optical axis (the measurement beam RIX (second measurement axis) and the measurement beam R 1 X22 (the fourth measurement axis), respectively) Since the extended intersection of the axes ()) coincides with the detection center of the alignment optical system ALG, it is possible to measure the stage position without Abbe error during exposure and alignment, and to improve the overlay accuracy o
  • the first, second, and third interferometers 76X1, 76X2, and 76Y have reflecting surfaces 60a, 60b, and 60 formed on different side surfaces of the wafer stage WST that holds the wafer W.
  • each interferometer Measures the distance from c, and sets the exposure to the reticle R and the wafer W during the relative scanning between the reticle R and the wafer W during the exposure around the wafer stage.
  • the optical axis of each interferometer is different from that of the wafer stage WST depending on the prescan distance according to time, and the uniform moving time and deceleration time after exposure of the wafer W. Since the acceleration, maximum speed, and settling time of the wafer stage WST are determined so as not to deviate from the above, there is no need to extend the reflective surface extra.
  • the reflecting surface can be set within the range of the three side surfaces of the wafer stage (substrate table ⁇ ⁇ ), so that the balance of the wafer stage WS ⁇ is improved and the stage rigidity can be increased.
  • the force and tilt control response of the stage can be improved.
  • the optical axes of the first, second and third interferometers 76 ⁇ 1, 76X2, 76 ⁇ ⁇ ⁇ do not deviate from the respective different reflecting surfaces 60a, 60b, 60c of the wafer stage.
  • the reference mark plate FM and aerial image detector KES which perform baseline measurement, imaging characteristic measurement, and irradiation dose measurement, are placed at the position of, so the reference mark plate FM and the aerial image detector KES Moving mirror (or reflection) Eliminating the need to extend the surface also leads to a reduction in the weight of the wafer stage WST.
  • the movable surface plate 38 provided with a drive system for driving the wafer stage WS ⁇ is configured to move according to the reaction force accompanying the acceleration / deceleration when the wafer stage WS ⁇ is moved.
  • the offset load due to the shift of the center of gravity of WS ⁇ can be canceled by the shift of the center of gravity of the movable platen 38, thereby reducing the load on the vibration isolator 20 and reducing the distortion of the body due to the offset load.
  • the positioning accuracy between the reticle R and the wafer W can be improved.
  • the movable surface plate 38 can be driven and controlled at a response frequency of several ⁇ , and is driven and controlled so as to cancel the reaction force at the time of acceleration and deceleration at the time of movement of the wafer stage WS.
  • the response frequency can be controlled so that the movable platen 38 does not move in an arbitrary direction by the stage posture (eccentric load), so that the reticle position can be changed and the imbalanced load due to environmental changes can be prevented.
  • the movable platen 38 is used for acceleration and deceleration when the wafer stage WS ⁇ is moved.
  • the moving distance according to the accompanying reaction force becomes less than 1/10 of the moving distance of the wafer stage WS ⁇ , and the necessary moving range of the movable platen 38 can be set small.
  • the response frequency of the movable surface plate 38 before exposure and alignment, which requires position control accuracy, and the other response frequencies are variable, and the movable surface plate 38 has linear encoders in two directions.
  • the position of the movable surface plate 38 is monitored at a predetermined position during driving operations other than exposure and alignment that require position control
  • the distance that the movable platen 38 moves in the opposite direction due to the reaction at the time of wafer acceleration / deceleration can be reduced by one digit or more. That is, in addition to being able to control with high precision at the time of exposure and alignment, it is possible to reset the position of the movable platen 38 to an arbitrary position under other conditions. The bird can be made smaller.
  • the corner cube is used as a mirror for measuring the position of the reticle stage RST in the scanning direction has been described.However, in order to realize the scanning exposure method according to the present invention, However, the present invention is not limited to this, and a short reflecting surface (such as a plane mirror) may be used.
  • the manufacturing is easier than in the case of the conventional flat mirror, so that the cost can be reduced accordingly.
  • the reticle for double exposure in which the two regions of the first region and the second region exist on the reticle R has been described.
  • the present invention is not limited to this. Therefore, it goes without saying that the present invention can be similarly applied to a case where three or more elongated regions (or divided regions) exist on the reticle R.
  • the reticles R 1 and R 2 are arranged along the non-scanning direction, and the reticle R 1 May be formed with a first pattern in the first region P1, and the reticle R2 may be formed with a second pattern in the second region P2.
  • the operation of the above-described embodiment is exerted as it is. Note that, in the above embodiment, the method of moving the wafer stage WST is shown in FIGS.
  • scanning is performed while the wafer W is decelerated until the moving speed of the wafer W in the scanning direction becomes zero after the scanning exposure of the shot S1 is completed.
  • the wafer W may be moved in a direction intersecting the scanning direction while accelerating the wafer W before scanning exposure of the shot S2. In this way, after the scanning exposure of the shot S1, the wafer W is moved along the V-shaped path, so that the wafer W is moved along the path closest to the shortest distance, and the throughput is accordingly reduced. Improvement is possible.
  • an equilateral triangular stage is used as the wafer stage WST, and three first, second, and third interferometers that measure the position of the wafer stage WST from three different directions in accordance with the stage.
  • the interferometer system 76 consisting of 76 X 1, 76 ⁇ and 76 X 2 is employed has been described, this is intended to more effectively achieve the throughput improvement that is the object of the present invention.
  • the present invention is not limited to this. That is, the present invention can be suitably applied to a normal square or rectangular wafer stage similarly to the above-described embodiment, and the effect of improving the throughput can be sufficiently obtained to some extent. is there.
  • the wafer stage WST includes the moving stage 52, the repeller driving mechanism, the substrate table TB, and the like has been described.
  • the present invention is not limited to this.
  • a member having the shape of a circle may be used as the substrate stage. Even if such a plate-like member is used, a so-called two-dimensional planar motor (with a Z drive coil) or the like can be used for tilting drive and Z-direction drive with respect to the XY plane.
  • the wafer stage WST as the first movable body has all of the first, second, and third reflecting surfaces (60a, 60b, 60c), and
  • the interferometer has been described with respect to the stage apparatus 1 including the first, second, and third interferometers and the scanning exposure apparatus 10 including the same, but the present invention is not limited to this.
  • the first movable body may include only the first reflecting surface or only the third reflecting surface, or either of them and the second reflecting surface. Only, or only the third interferometer, or any of these and the second interferometer.
  • the wafer stage controller 78 as an arithmetic unit may perform such calculation.
  • the wafer stage WST as the first movable body includes the moving stage 52, the leveling drive mechanism, the substrate table TB, and the like has been described.
  • a simple plate-shaped member may be used as the first movable body.
  • a so-called two-dimensional planar motor or the like can be used to perform tilt drive and Z-direction drive with respect to the XY plane.
  • the first, second, and third interferometers (76 X) when performing the tilt drive with respect to the XY plane, the first, second, and third interferometers (76 X).
  • a driving force in the Z direction is given by a coil corresponding to at least one of three predetermined points near each apex angle of one movable body. This makes it possible to drive near the three vertices far from the position of the center of gravity to perform the tilt adjustment, so that a high control response (tilt drive control response) can be obtained.
  • the present invention is not limited to this, and it is a matter of course that any one or two of them may be constituted by a reflecting surface of a moving mirror composed of a plane mirror.
  • the reticle (interferometer 30) as the first interferometer, the reticle X interferometer 30 as the second and third interferometers 30 and 30 are all mounted on the second mount.
  • the case where the interferometer is provided above has been described. ⁇ One of them may be provided in another frame such as the first frame 40 as shown in FIG.
  • a case has been described in which, as the projection optical system PL, a reduction projection lens composed of only a refractive optical element (lens) using quartz or fluorite as an optical glass material is used.
  • the projection optical system PL a reduction projection lens composed of only a refractive optical element (lens) using quartz or fluorite as an optical glass material is used.
  • the projection optical system PL a reduction projection lens composed of only a refractive optical element (lens) using quartz or fluorite as an optical glass material is used.
  • the projection optical system PL a reduction projection lens composed of only a refractive optical element (lens) using quartz or flu
  • FIG. 18 (A) shows a reduced projection optical system that combines a refractive optical element (lens system) GS 1 to GS 4, a concave mirror MR s, and a beam splitter PBS. Is reflected by the concave mirror MRs via the large beam splitter PBS and returned to the beam splitter PBS again, and the refraction lens system GS 4 is used to increase the reduction rate and form an image on the projection image plane PF 3 (wafer W).
  • the details are disclosed in Japanese Patent Application Laid-Open No. 3-282527 and U.S. Pat.No. 5,220,454. I do. Fig.
  • FIG. 18 (B) shows a reduced projection optical system that combines a refractive optical element (lens system) GS1 to GS4, a small mirror MRa, and a concave mirror MRs.
  • the imaging light beam is composed of the first imaging system PL 1 of approximately equal magnification consisting of the lens systems GS 1 and GS 2 and the concave mirror MR s, the small mirror MR a with eccentric arrangement, and the lens systems GS 3 and GS 4.
  • An image is formed on a projection image plane PF3 (wafer W) through a second imaging system PL2 having a substantially desired reduction ratio.
  • the projection optical system PL is placed at a position where the extension of the center line of the two light beams (measuring beam) emitted from the interferometers 76X1 and 76X2 intersects.
  • the wafer stage shoring is determined based on the average value of the difference between the results of measurements of all three biaxial luminous fluxes, the shoring measurement accuracy will be improved to 1/3.
  • the double fly-eye lens system is used.
  • only one fly-eye lens (or rod-type integret) may be used, or a fly-eye lens and a rod-type integret may be used. May be used in combination.
  • the rod-type integrator in order to change its ⁇ value and realize deformed illumination such as annular illumination, for example, at least one of the light-integrators disposed closer to the light source than the load-type integrator is mounted.
  • the intensity distribution of the illumination light on the incident surface of the rod-type integrator may be changed.
  • K r F excimer monodentate and A r F excimer laser monodentate as exposure illumination light, for example it may be used
  • F 2 excimer a scanning exposure apparatus according to one laser light source, together with the reflection refraction optical system is employed as a projection optical system, the optical elements used in the illumination optical system or the projection optical system (Le Nzuremento) Ya reticle All It becomes fluorite and the air in the illumination optical system and projection optical system is replaced by helium gas.
  • the oscillation spectrum has one of 248 nm, 193 nm N and 157 nm.
  • a harmonic of a solid-state laser such as a YAG laser may be used.
  • excimer laser light having a wavelength of 100 nm or more is used as the illuminating light for exposure has been described, but it goes without saying that the present invention is not limited to this.
  • EUV Extreme Ultra Violet
  • EUV Extreme Ultra Violet
  • the stage drive system is a magnetic levitation reactor, assuming that the inside of the chamber accommodating the EUV exposure apparatus is evacuated, and the chuck system uses an electrostatic suction method.
  • a vacuum may be used for the stage drive system and suction by air flow.
  • the present inventor provided two wafer stages (substrate stages) mainly from the viewpoint of improving the throughput during double exposure, and during the exposure operation on the wafer on one of the wafer stages, An exposure apparatus that performs other operations such as wafer exchange and alignment on the other wafer stage in parallel has been previously proposed (Japanese Unexamined Patent Publication No.
  • FIG. 21 shows a schematic configuration of an exposure apparatus 110 according to the second embodiment.
  • the exposure apparatus 110 is a so-called step-and-scan type scanning exposure type projection exposure apparatus.
  • the exposure apparatus 110 includes two square wafer stages WST1 and WST2 as first movable bodies that independently hold and hold the wafers W1 and W2 and move in a two-dimensional direction independently.
  • a stage device 101 provided with a projection optical system P disposed above the stage device 101, and a reticle R as a mask above the projection optical system PL is mainly used in a predetermined scanning direction, here Y
  • a reticle drive mechanism that drives in the axial direction (perpendicular to the paper plane in Fig. 21), an illumination optical system 1 that illuminates the reticle R from above 8 and a control system for controlling these components.
  • the stage device 101 includes a surface plate 22 constituting the pedestal portion (first column) 16 and a rectangle as a second movable body supported on the surface plate 22 so as to be relatively movable in the XY plane.
  • the movable surface plate 138 has the same configuration as the movable surface plate 38 of the first embodiment described above. In addition, this movable surface plate 1
  • the wafer stages WST 1 and WST 2 are provided with a planar magnetic levitation linear actuator 42 a as a driving device provided on the movable table 13 below the projection optical system PL.
  • each of them is levitated and supported by 42b, and is independently driven in an XY two-dimensional plane orthogonal to the optical axis AX of the projection optical system PL.
  • the movable surface plate 138 is levitated and supported by a planar magnetic levitation linear actuator 44 as a second drive device provided on the surface plate 22, similarly to the wafer stages WS T1 and WS T2. In addition, it can be driven freely in a two-dimensional XY plane.
  • the planar magnetic levitation linear actuators 42a and 42b.44 are controlled by the stage controller 160 shown in FIG. Wafers W1 and W2 are fixed on the wafer stages WS T1 and WST 2 via a wafer holder (not shown) by electrostatic suction or vacuum suction.
  • the wafer holder is minutely driven in a Z-axis direction and a 0-direction (a rotation direction around the Z-axis) orthogonal to the XY plane by a Z Z0 drive mechanism (not shown).
  • a base with various reference marks formed on the upper surface of wafer stage WST 1 and WST 2.
  • the quasi-mark plates FM1 and FM2 are installed so that they are almost the same height as the wafers W1 and W2. These reference mark plates FM1 and FM2 are used, for example, when detecting the reference position of each wafer stage.
  • a surface on one side of the wafer stage WST 1 in the X-axis direction (the left side surface in FIG.
  • the other side of the wafer stage WST 2 in the X-axis direction (the right side in FIG. 21) 122 and the one side in the Y-axis direction 123 is a mirror-finished reflective surface.
  • the interferometer beams of the respective measurement axes (BI 1X, BI 2X, etc.) that constitute the interferometer system described later are projected onto these reflecting surfaces, and the reflected light is received by each interferometer, whereby
  • the displacement from the reference position of the reflection surface (generally, a fixed mirror is arranged on the side of the projection optical system and the side of the alignment optical system, which is used as the reference surface) is measured.
  • the two-dimensional position of WST 2 is measured separately.
  • the configuration of the measurement axis of the interferometer system will be described later in detail. On both sides of the projection optical system PL in the X-axis direction, as shown in FIG.
  • off-axis type alignment systems 124a and 124b having the same function are provided on the projection optical system PL. They are installed at the same distance from the optical axis center (coincident with the projection center of the reticle pattern image).
  • These alignment systems 124a and 124b have three types of alignment sensors: LSA (Laser Step Alignment), FIA (Filed Image Alignment), and LIA (Laser Interface Alignment). It is possible to measure the position of the reference mark on the mark plate and the alignment mark on the wafer in the X and Y two-dimensional directions.
  • the LSA system irradiates a laser beam to the mark and uses the diffracted and scattered light.
  • the FIA system is a sensor that measures the mark position by illuminating the mark with broadband (broad band) light such as a halogen lamp and processing this mark image, and is used effectively for asymmetric marks on the aluminum layer or wafer surface. Used.
  • the LIA system irradiates a laser beam with a slightly changed frequency on the diffraction grating mark from two directions, interferes the two generated diffraction lights, and detects the position information of the mark from its phase This sensor is effectively used for low step and rough surface wafers.
  • these three types of alignment sensors are properly used according to the purpose, and a so-called sensor that detects the positions of three one-dimensional marks on the wafer and measures the approximate position of the wafer. It is designed to perform single alignment and fine alignment for accurate position measurement of each shot area on a wafer.
  • the alignment system 124a is used for measuring the position of an alignment mark on the wafer W1 held on the wafer stage WST1 and a fiducial mark formed on the fiducial mark plate FM1.
  • the alignment system 124b is used for measuring the alignment mark on the wafer W2 held on the wafer stage WST2 and the position of the reference mark formed on the reference mark plate FM2. Used.
  • each of the projection optical system PL and the alignment systems 124a and 124b is disclosed in the above-mentioned Japanese Patent Application Laid-Open No. H10-163998.
  • AF / AL smart focus and smart leveling
  • the reticle drive mechanism includes a reticle stage RST that can move in the two-dimensional XY direction while holding a reticle R on a reticle base surface plate 28, and a linear motor (not shown) that drives the reticle stage RST. It has a drive system 29 and a reticle laser interferometer 30 that measures the position of the reticle stage RST via a movable mirror 31 fixed to the reticle stage RST. More specifically, as shown in FIG. 22, the reticle stage RST has two reticles R 1 and R 2 that can be set in series in the scanning direction (Y-axis direction). The reticle stage RST is levitated and supported on a reticle base surface plate 28 via an air bearing (not shown) or the like.
  • the drive system 29 is a mechanism using a linear motor as a drive source, but is shown as a simple block in FIG. 21 for convenience of illustration and description.
  • the reticles R 1 and R 2 on the reticle stage RST are selectively used, for example, during double exposure, so that both reticles can be scanned synchronously with the wafer side.
  • a parallel plate moving mirror 31 X made of the same material (for example, ceramic) as the reticle stage RST is placed on the Y-axis.
  • the movable mirror 31 X has a reflecting surface formed on the other surface in the X-axis direction by mirror finishing.
  • An interferometer beam from an interferometer (not shown) indicated by a measuring axis BI 6 X is emitted toward the reflecting surface of the movable mirror 31 X, and the interferometer receives the reflected light and outputs a reference surface. By measuring the relative displacement with respect to, the position of the reticle stage RST is measured.
  • the interferometer having the measurement axis BI 6 X actually has two interferometer optical axes that can be measured independently, and measures the position of the reticle stage in the X-axis direction and the amount of joing. Can be measured.
  • the measured values of the interferometer having this measuring axis BI 6 X are obtained from the wafer stage WST 1 from the interferometers 1 16 and 1 18 having the measuring axes BI 1 X and BI 2 X on the wafer stage described later. It is used to control the rotation of the reticle stage RST in the direction to cancel the relative rotation (rotation error) between the reticle and wafer based on the operating information and X position information of WST 2, and to perform X-direction synchronization control. .
  • a pair of corner-cube mirrors—31 yl and 31 12 are installed on the other side of the reticle stage RST in the Y-axis direction, which is the scanning direction (scan direction) (on the front side of the paper in FIG. 21 ). ing. Then, from a pair of double-pass interferometers (not shown), interferometer beams indicated by the measurement axes ⁇ I 7 ⁇ and ⁇ I 8 ⁇ in FIG.
  • the interferometer indicated by the measuring axis BI 6 X and the pair of double-pass interferometers indicated by the measuring axes BI 7 ⁇ and BI 8 Y have a total of three interferometers.
  • a reticle laser interferometer 30 shown in FIG. an interferometer system for controlling the positions of the wafer stages WS T1 and WS T2 will be described with reference to FIGS.
  • the surface is irradiated with an interferometer beam indicated by the measurement axis BI 1 X from the interferometer 1 16 in FIG. 21.
  • the other side of the wafer stage WST 2 in the X-axis direction is irradiated.
  • the surface is irradiated with an interferometer beam indicated by the measurement axis BI 2X from the interferometer 1 18 in FIG.
  • the interferometers 1 16 and 1 18 receive the reflected light to measure the relative displacement of each reflection surface from the reference position, and the X-axis direction of the wafer stages WST 1 and WST 2 are measured. It measures the position.
  • the interferometers 1 16 and 1 18 are three-axis interferometers each having three optical axes, and are arranged in the X-axis direction of the wafer stages WST 1 and WST 2. In addition to measurement, tilt measurement and zero measurement are possible. In this case, a wafer stage WST1 and a wafer stage WST2 that perform zero rotation and a Z stage (not shown) that performs minute drive and tilt drive in the Z-axis direction.
  • the wafer stages WS T1 and WS T2 are used in both cases of exposure using the projection optical system PL and use of the alignment systems 124a and 124b. Is managed based on the measured values of the measuring axes BI 1 X and BI 2 X. As shown in FIGS.
  • an interferometer 132 having a measurement axis BI 3 Y perpendicular to the X axis at the projection center of the projection optical system PL, an alignment system 124 a, 124 Interferometers 131 and 133 having length measuring axes B 14 ⁇ and BI 5 Y respectively perpendicularly intersecting the X axis at the respective detection centers of b are provided.
  • the Y-direction position measurement of the wafer stages WST1 and WST2 during exposure using the projection optical system PL includes the projection center of the projection optical system PL, that is, the length measurement axis BI passing through the optical axis AX.
  • the measured value of the Y interferometer 1 32 is used, and the position of the wafer stage WST 1 in the Y direction when the alignment system 124a is used is determined by the detection center of the alignment system 124a, that is, the optical axis.
  • the measurement value of the interferometer 131 of the measuring axis BI 4 Y passing through the SX is used, and the alignment system 124 b is detected to measure the Y-direction position of the wafer stage W ST 2 when the alignment system 1 24 b is used.
  • the center that is, the measurement value of the interferometer 133 of the measurement axis BI5Y passing through the optical axis SX is used.
  • the long axis of the interference measurement in the Y-axis direction may deviate from the reflection surface of the wafer stage WST 1 or WST 2, but at least one length measurement axis, that is, the length measurement axis BI 1 X Since the BI 2 X does not deviate from the reflecting surface of each of the wafer stages WS T 1 and WS T 2, the optical axis of the interferometer used enters the reflecting surface as appropriate.
  • the Y-side interferometer can be reset at an appropriate position.
  • the ⁇ length measuring axes ⁇ 13 ⁇ , ⁇ ⁇ 4 ⁇ , ⁇ ⁇ 5 ⁇ ⁇ interferometers 1 32, 1 31, and 133 above are two-axis interferometers each having two optical axes.
  • tilt measurement is possible.
  • the system is configured. Further, main controller 190 shown in FIG.
  • a conditional expression for example, interference condition
  • the wafer stage WS T 1 and WS T 2 are controlled by the stage controller 160 in accordance with the command of the main controller 190 based on the output value of each interferometer so that the two stages do not interfere with each other. Is controlled.
  • the division of the movable platen 138 and a control method thereof will be briefly described.
  • the movable surface plate 138 basically has the same role as the movable surface plate 38 of the first embodiment described above, and is similarly controlled by the stage control device 160. That is, on the upper surface of the movable platen 138, together with the permanent magnets (not shown) provided on the lower surface of the wafer stages WST1 and WST2, a planar magnetic levitation linear actuator is mounted. —A plurality of coils (not shown) that make up the evening 42a and 42b are stretched in the XY two-dimensional direction.
  • the wafer stages WS T 1 and WS T 2 are floated above the movable platen 138 by the planar magnetic levitation linear actuators 42 a and 42 b, and at the same time, The wafer stages WS T1 and WS T2 are independently driven in an arbitrary two-dimensional direction by controlling the current flowing through the coil at the opposing portion.
  • the movable surface plate 138 is supported above the surface plate 22 by a planar magnetic levitation linear actuator 44 in the same manner as the movable surface plate 38 of the first embodiment, and It is configured to be driven in any two-dimensional direction by controlling the current flowing through the coil.
  • the wafer stages WS T 1 and WS T 2 and the movable platen 1 38, and the movable platen 1 38 and the platen 22 are not in contact with each other, so that the friction between them becomes extremely small.
  • the momentum conservation law is satisfied for the whole system including the wafer stages WS T 1, WS T 2, and the movable surface plate 138. That is, when one of the wafer stages WST1 and WST2 moves, the operation is exactly the same as in the first embodiment.
  • the movable platen 138 moves due to the reaction force against the resultant force of the driving force of these stages.
  • the wafer stages WS T 1 and WST 2 are used in order to suppress the deterioration of the wafer stage acceleration, maximum speed and foot print to one digit or less. So that the ratio of the mass m of the movable platen 138 to the mass M of the movable platen 138 is m: M2 1: 9 or less, that is, the weight of the wafer stages WS T 1 and WS T 2 is reduced to the weight of the movable platen 138 It is set to be 1/9 or less. Also, in order to reduce the required stroke of the movable table 13, the stage controller 16 0 controls the control response to the planar magnetic levitation linear actuator 44 for driving the movable table 13.
  • the wafer stage WST 1 or WST 2 and the reticle stage RST move in synchronization with each other, but the control response of the planar magnetic levitation linear drive for driving the movable platen 13 Is controlled by a few Hz, the wafer stage WST 1 and WST 2 can be controlled by several tens of Hz.
  • the movable platen 1338 moves freely and absorbs the reaction force according to the law of conservation of momentum, and the reaction force does not reach the outside.
  • the stage controller 160 can be used to adjust the position of the reticle stage RST and the position of the wafer stages WST1 and WST2 to cause the exposure apparatus main body 12 to be tilted as a whole.
  • the stage controller 160 responds to the response of the planar magnetic levitation linear actuator 44 for driving the movable platen 138 by the feedback control in the same manner as in the first embodiment.
  • the control system mainly includes a main controller 190 that controls the entire apparatus as a whole, and includes an exposure controller 170, a stage controller 160, and the like under the main controller 190. I have.
  • the operation of the exposure apparatus 110 of the present embodiment at the time of exposure will be described focusing on the operation of each of the components of the control system.
  • the exposure control device 170 Prior to the start of the synchronous scanning of the reticle R and the wafer (VH or W 2), the exposure control device 170 is connected to a not-shown illumination optical system 18 via a shirt drive unit (not shown). Open the shutter.
  • the stage controller 160 synchronously scans the reticle R and the wafer (W1 or W2), ie, the reticle stage RST and the wafer stage (WST1 or WST2), according to the instruction of the main controller 190. Scan control) is started. This synchronous scanning is performed by measuring the length measuring axes BI3Y and BI1X or BI2X of the interferometer system described above and the measuring axes BI7Y and BI8Y of the reticle laser interferometer 30. While monitoring the measured value of the axis BI 6 X, the stage controller 160 controls the reticle drive unit 29 and the drive system of the wafer stage (planar magnetic levitation linear actuator 42 a or 42 b).
  • the exposure controller 170 starts pulse emission of the excimer laser.
  • the illumination light from the illumination optical system 18 illuminates the rectangular illumination area IA of the reticle R on which the pattern is chromium-deposited on the lower surface, and an image of the pattern in the illumination area is provided. Is reduced by a factor of 1/4 (or 1/5) by the projection optical system PL, and projected onto a wafer (W1 or W2) having a surface coated with a photoresist.
  • the slit width in the scanning direction of the illumination area IA is narrower than the pattern area on the reticle R, and as described above, the reticle R and the wafer (W 1 or W 2) Are synchronously scanned, whereby an image of the entire surface of the pattern is sequentially formed in the shot area on the wafer.
  • the exposure controller 170 drives the vibration mirror 18D so that the pattern area on the reticle R completely illuminates the illumination area IA (see FIG. 22). Until the image passes, that is, until an image of the entire surface of the pattern is formed in the shot area on the wafer, this control is continuously performed to reduce the unevenness of interference fringes generated by the two fly-eye lenses.
  • the movable blind 18 M is driven in synchronization with the scanning of the reticle R and the wafer W so that the illumination light does not leak outside the light-shielding area on the reticle at the shot edge during the above scanning exposure.
  • the drive is controlled by 43, and a series of these synchronous operations are managed by the stage controller 160.
  • the main controller 190 or the main controller 190 is controlled so that the integrated exposure amount corresponds to the resist sensitivity.
  • the exposure control device 170 performs all calculations on the irradiation energy and the variable amount of the oscillation frequency, and varies the irradiation energy and the oscillation frequency by controlling the dimming system provided in the light source unit. It is configured to control shirts and vibration mirrors. Further, in the main controller 190, for example, a synchronous scanning is performed at the time of scanning exposure. When correcting the movement start position (synchronous position) of the tickle stage and the wafer stage, the stage controller 160 for controlling the movement of each stage is instructed to correct the stage position according to the correction amount.
  • a first transfer system for exchanging a wafer with wafer stage WST 1 and a second transfer system for exchanging a wafer with wafer stage WST 2 are provided. And two transport systems. As shown in FIG. 24, the first transfer system exchanges wafers with the wafer stage WST 1 located at the left-hand side of the wafer opening as described later.
  • the first transport system comprises a first loading guide 182 extending in the Y-axis direction, a first slider 1886 moving along the loading guide 182, and a second Slider 1 87, 1st door 1800 attached to the first slider 1 86, 1st door 1800 attached to the second slider 1 87 8 and a first center loader 18 1 provided on the wafer stage WST 1 and including three vertically movable members.
  • first loading guide 182 extending in the Y-axis direction
  • first slider 1886 moving along the loading guide 182
  • the operation of wafer exchange by the first transfer system will be briefly described.
  • the wafer W 1 ′ on the wafer stage WST 1 located at the left side of the wafer opening is replaced with the wafer W 1 carried by the
  • main controller 190 turns off the vacuum of a wafer holder (not shown) on wafer stage WST1 via a switch (not shown), and releases the suction of wafer W1 '.
  • the main controller 190 drives the center-up 18 1 upward by a predetermined amount via a center-up drive system (not shown).
  • main controller 190 instructs a wafer port controller (not shown) to move first unload arm 184.
  • the first slider 186 is driven and controlled by the wafer opening controller, and the first unlocking door 184 is moved along the loading guide 182 to the wafer stage WST. 1 and is located just below wafer W 1 ′.
  • the main controller 190 drives the center up 18 1 down and down to a predetermined position.
  • the wafer W 1 ′ is transferred to the first door opening door 184, so that the main controller 1900 sends the first wafer to the wafer loader controller. Instruct the user to start vacuuming 1 8 4 vacuum.
  • the wafer W 1 ′ is sucked and held by the first door opening door 184.
  • the main controller 190 instructs the wafer loader controller to retreat the first door-door room 184 and start moving the first door-door room 188.
  • the first unlocked arm 184 starts moving in the Y direction in FIG.
  • the second slider 187 moves the wafer W1.
  • the movement in the + Y direction is started integrally with the held first mouth arm 188.
  • the second slider 187 is stopped by the wafer loader control device and the first load arm 188 is moved. Vacuum is released.
  • the main controller 190 drives the center up 18 1 upward, and the center up 18 1 lifts the wafer W 1 from below.
  • the main control The apparatus 190 instructs the wafer loader controller to retract the load arm.
  • the second slider 187 starts moving in the Y direction integrally with the first load arm 188, and the first load arm 188 is retracted.
  • the main controller 190 starts the center-up 181 lowering drive and transfers the wafer W1 to a wafer holder (not shown) on the wafer stage WST1.
  • the wafer holder is placed, and the vacuum of the wafer holder is turned on.
  • the second transfer system exchanges wafers with the wafer stage WST2 located at the right side of the wafer opening position in the same manner as described above.
  • the second transport system includes a second loading guide 192 extending in the Y-axis direction, a third slider 1996 moving along the second loading guide 1992, and a second loading guide 192.
  • FIG. 24 shows that the wafer stage WST1 and the first stage are connected at the left loading position as described above during the exposure operation of the wafer W2 on the wafer stage WST2 via the projection optical system PL.
  • FIG. 3 is a plan view showing a state where a wafer is exchanged with a transfer system. In this case, an alignment operation is performed on wafer stage WST 1 following the wafer exchange as described later.
  • FIG. 24 shows that the wafer stage WST1 and the first stage are connected at the left loading position as described above during the exposure operation of the wafer W2 on the wafer stage WST2 via the projection optical system PL.
  • FIG. 3 is a plan view showing a state where a wafer is exchanged with a transfer system. In this case, an alignment operation is performed on wafer stage WST 1 following the wafer exchange as described later.
  • the position control of the wafer stage WST2 during the exposure operation is performed by the interferometer system.
  • the position control of the wafer stage WST1, where wafer exchange and alignment operations are performed, is performed based on the measurement values of the measurement axes BI2X and BI3Y, and the measurement axis BI1X of the interferometer system. It is based on BI 4 Y measurements.
  • the reference mark on the reference mark plate FM 1 of the wafer stage WST 1 is arranged immediately below the alignment system 124 a.
  • the main control unit 190 sets the interferometer system BI 4 Y interferometer BI 4 Y before measuring the fiducial mark on the fiducial mark plate FM 1 by the alignment system 124 a.
  • a reset has been performed.
  • search alignment is performed.
  • the search alignment performed after the wafer exchange is a briar alignment performed again on the wafer stage WST 1 because the positional error is large only in the briar alignment performed during the transfer of the wafer W1. is there. More specifically, the positions of three search alignment marks (not shown) formed on the wafer W1 placed on the stage WST1 are determined by the alignment-based LSA-based sensor. etc.
  • the interferometer systems (measuring axes BI1X, BI4Y) control the position of the wafer stage WST1 while designing the shot array data (alignment mark position data). While moving the wafer stage WST 1 sequentially, the alignment mark position of a predetermined sample shot on the wafer W 1 is All shot array data is calculated by the least squares method based on the measurement results and the shot array design coordinate data.
  • each unit at the time of this EGA is controlled by main controller 190, and the above calculation is performed by main controller 190. It is desirable that the result of this operation be converted into a coordinate system based on the reference mark position of the reference mark plate FM1.
  • the same AF / AL mechanism measurement and control as at the time of exposure are performed, and the first and second levels are executed by control. While the alignment mark is being measured, the offset (error) due to the posture of the stage can be prevented from occurring between the alignment and the exposure.
  • the wafer stage WST 2 side is continuously using the two reticles R 1 and R 2 while changing the exposure conditions.
  • Double exposure is performed by the step-and-scan method. Specifically, the fine alignment by EGA is performed in advance in the same manner as the wafer W1 described above, and the shot array data on the wafer W2 obtained as a result (the reference mark plate FM2) Based on the fiducial mark), the movement of the wafer W2 between shots to adjacent shots (stepping) is sequentially performed, and each shot area on the wafer W2 is sequentially performed.
  • the above-described scan exposure is performed.
  • movement control of wafer stage WST2 is performed in the same manner as that described in the first embodiment with reference to FIGS. 11A to 11C. Exposure to all shot areas on wafer W2 is not affected even after reticle replacement. It is performed continuously.
  • the specific order of the double exposure is, for example, to sequentially scan each shot area of the wafer W1 using the reticle R2 and then move the reticle stage RST in the scanning direction by a predetermined amount to perform the reticle R After setting 1 to the exposure position, scan exposure is performed in the reverse order.
  • each part of the wafer W2 during the double exposure is also controlled by the main controller 190.
  • the exposure sequence and the wafer exchange alignment sequence performed in parallel on the two wafer stages WST 1 and WST 2 shown in FIG. 24 described above are such that the previously completed wafer stage is in a waiting state, When the operation is completed, the movement of wafer stages WST 1 and WST 2 is controlled to the position shown in FIG.
  • the wafer W2 on the wafer stage WST2 after the exposure sequence is replaced at the right-side opening position, and the wafer W1 on the wafer stage WST1 after the alignment sequence is replaced with the projection optical system PL.
  • the exposure sequence is performed under In the right-side opening position shown in Fig. 25, as in the left-side opening position, the reference mark on the reference mark plate FM2 is arranged below the alignment system 1 2 4b.
  • the above-described wafer exchange operation and alignment sequence are executed.
  • the reset operation of the interferometer of the measuring axis BI5Y of the interferometer system is executed prior to the mark detection on the reference mark plate FM2 by the alignment system 124b.
  • the planar magnetic levitation linear actuator is used.
  • the wafer stages (WST 1 or WST 2) is driven by the touch panel 42a or 42b, the movable platen 138 moves by the reaction force of the driving force, and the wafer stage (WS The eccentric load due to the movement of the center of gravity of T1 or WST 2) can be canceled by the movement of the center of gravity of the movable platen 138.
  • the wafer stages WST1 and WST2 are simultaneously driven by the surface magnetic levitation linear actuators 42a and 42b, the wafer stages WST1 and WST2 are driven by the reaction force corresponding to the resultant force of the driving forces.
  • the eccentric load caused by the movement of the center of gravity Is movable surface plate 1 38 to cancel moved by heart movement, consequently it can hold stage device 1 01 of the center of gravity of the whole in place. Therefore, it is not necessary to adjust the operation between the wafer stages so that one of the operations of the wafer stages WST 1 and WST 2 does not act as a disturbance on the other, so that the control load is reduced. In addition, maintain high position controllability of each wafer stage. Can be.
  • each processing time is set to T 1 (wafer replacement time), T 2 (Segmentation time), T3 (Fine alignment time), and T4 (Single exposure time), do not process T1, ⁇ 2, ⁇ 3 and ⁇ 4 in parallel.
  • the exposure time is longer in the case of an 8-inch wafer because the exposure time is longer, so that the overall throughput is determined by the constraint condition.
  • the exposure time T4 can be shortened by shortening the movement time between shots of the stages WST1 and WS-2, thereby realizing double exposure with a high throughput almost equal to that of ordinary single exposure. it can.
  • the present invention can also be applied to stitching, which is a similar technique.
  • stitching which is a similar technique.
  • the application range of the stage device according to the present invention is not limited to this, and the present invention can be suitably applied to the case of performing exposure by a single exposure method.
  • the present invention is not limited to this.
  • a wafer exchange A sequence such as calibration performed every time the exposure is performed may be similarly processed in parallel with the exposure operation.
  • the present invention is not limited to this.
  • the same triangular wafer stages WS T3 and WS T4 as in the first embodiment may be arranged on the movable platen 138 on the platen 22.
  • the interferometer system for measuring the positions of the wafer stages WST3 and WST4 is connected to the projection optical system P and the alignment optical systems 124a and 124b, as shown in Fig. 26.
  • the interferometers 21 1, 212, 213, 214, 215, and 16 may be constituted by a total of six interferometers 21 1, 212, 213, 214, 215 and 16 each having a length measurement axis inclined at a predetermined angle with respect to the XY axis intersecting at the center. Further, in the second embodiment, the case where the wafer stages WS T 1 and WS T 2 as the first movable bodies constituting the stage device 101 are driven by the planar magnetic levitation linear actuator is described. However, the stage device according to the present invention is not limited to this, and the driving device for driving each first movable body may be a normal linear motor or the like.
  • the application range of the stage device according to the present invention is not limited to this, and the step-and-repeat method is used.
  • a projection exposure apparatus such as a steno that performs stationary exposure, a proximity exposure apparatus that transfers a mask pattern onto a substrate by bringing the mask into close contact with the substrate without using a projection optical system, an EB exposure apparatus, and an X-ray exposure apparatus It goes without saying that the same can be applied to such cases.
  • the movement trajectory of the wafer stage WST during the movement between shots is set in a U-shape as shown in FIG. 11 (A) using FIGS. 11 (B) and (C).
  • the speed control method is explained.
  • the wafer stage WST (and reticle stage RST) is accelerated in the scanning direction at a constant acceleration to the target scanning speed (scan speed), and the scanning exposure at the target scanning speed is performed.
  • the case of deceleration at a constant acceleration was described (see FIGS. 10 (B) and 11 (B)), but the acceleration of the reticle stage RST and wafer stage WST in the scanning direction
  • the throughput can be further improved by changing the control method.
  • the stage control when sequentially exposing the adjacent shots S1, S2, and S3 shown in (A) by alternate scanning using the scanning exposure apparatus 10 of the first embodiment will be described.
  • a method of controlling the acceleration of the stage by the system will be described with reference to FIGS. 27 and 28.
  • the acceleration capability of the reticle stage is a constraint. So here we think, reticle
  • FIG. 27 ( ⁇ ) shows a speed command in the scanning direction ( ⁇ direction) of the reticle stage RST when the first acceleration control method is employed in the scanning exposure for each of the above shots in the scanning exposure method of the present invention.
  • the time change of the value is shown.
  • FIG. 27 ( ⁇ ) shows, as a comparative example, a time change of the speed command value in the scanning direction ( ⁇ direction) of the reticle stage RST corresponding to FIG. 11 ( ⁇ ) described above.
  • FIG. 27 (C) shows the time of the speed command value of the reticle stage RST in the scanning direction ( ⁇ direction) when the second acceleration control method is employed in the scanning exposure for each of the above shots.
  • the horizontal axis represents time
  • the vertical axis represents the speed command value Vry in the ⁇ direction of the reticle stage.
  • the acceleration time from zero to the target scanning speed Vr is Ta
  • the reticle and wafer synchronization settling time is T s
  • the exposure time is Te
  • the adjustment time, i.e. The deceleration time from the target scanning speed Vr to zero is defined as Td.
  • the first acceleration control method as shown in Fig. 27 (A)
  • the equal acceleration control based on the maximum acceleration that can be generated by the maximum thrust that can be generated by the linear motor that constitutes the drive system 29 in Fig.
  • the acceleration The reticle stage RST is accelerated in the synchronous movement direction (Y direction) from zero speed to the target scanning speed Vr based on an acceleration change curve that gradually converges to zero.
  • a quadratic curve (parabola) or a higher-order curve is used as the acceleration change curve.
  • the reticle R gradually increases its acceleration prior to the synchronous movement of the reticle R and the wafer W.
  • FIGS. 28 (A) and (B) show the time change of the position error with respect to the target position of reticle stage RST near settling time T s corresponding to FIGS. 27 (A) and 27 (B), respectively. Although the target and the position naturally change with time, FIGS. 28A and 28B show the position error based on the target position (0 in the figure) at each time point. As is clear from comparison of FIGS.
  • the acceleration time Ta itself tends to be longer than that in the case of constant acceleration, but the shortening of the synchronous settling time Ts compensates for the increase in acceleration time.
  • the pre-scan time (Ta + T s) is more than At 1 when the above first acceleration control method is adopted. Just getting shorter.
  • the constant-speed intelligent bar scan time Tw is also shorter in Fig. 27 (A), and the control is Very easy acceleration In the case shown in Fig.
  • the total time from the start of acceleration of the reticle stage RST to the end of deceleration for one shot exposure Can be reduced by 2 At 1, and the throughput can be improved accordingly.
  • the first acceleration control method since the control method is very simple, the case where the speed change on the acceleration side and the speed change on the deceleration side are set symmetrically has been described. There is no settling time, so there is no problem even if the acceleration is suddenly changed during deceleration. Therefore, in the second acceleration control method, focusing on this point, as shown in FIG.
  • the reticle stage RST is moved at a constant acceleration (negative acceleration) corresponding to the maximum acceleration. It is to be decelerated.
  • the constant scan speed bar scan time T w is longer than the case of the first acceleration control method in FIG. 27A, but the deceleration time T d is much shorter.
  • the bus scan time (Tw + T d) is shorter than the first acceleration control method by the time ⁇ t 2 (see FIGS. 27A and 27C). Therefore, in scanning exposure for the shots S1, S2, and S3, the total time from the start of acceleration of the reticle R to the end of deceleration can be further reduced.
  • reticle stage RST can be correctly stopped at the scanning start position of the next shot.
  • the first and second acceleration control methods described above can be similarly applied to the wafer stage side, and the first and second acceleration control methods are applied to both the reticle stage and the wafer stage. However, it is most preferable in terms of improving throughput.
  • a U-shaped (or V-shaped) transfer as shown in FIG. 11A is performed between the scanning exposure for the shot S1 shown in FIG. 11A and the scanning exposure for the shot S2.
  • the first and second acceleration control methods described above may be used for controlling the acceleration in the scanning direction (Y direction) when moving the wafer stage WST along the moving trajectory.
  • the wafer W is moved along a path that is shortest as a result, so that the throughput can be further improved in conjunction with the shortening of the settling time described above. It is. Further, when the second acceleration control method is employed, the deceleration time can be further reduced, so that the throughput can be further improved.
  • the first and second acceleration controls can be performed by obtaining a control amount of the acceleration by a predetermined calculation based on an interferometer measurement value or the like each time the scanning exposure of each shot is performed.
  • a control map may be prepared in advance, and execution may be performed based on time using the acceleration control map.
  • the first and second acceleration control methods described above can be similarly applied to the exposure device 110 of the second embodiment described above, and the effect of improving the throughput can be similarly obtained. Needless to say.
  • the present invention is not limited to this.
  • the present invention may be applied to a rectangular glass plate with a liquid crystal.
  • the present invention can be applied to an exposure apparatus for manufacturing an image pickup device (such as a CCD) to an exposure apparatus for a liquid crystal for exposing a display element pattern, an exposure apparatus for transferring a thin film magnetic head onto a ceramic wafer, and a reticle or a reticle.
  • the method is applicable to quartz glass, fluorine-doped quartz glass, or fluorite, and EUV (Extreme Ultra Violet) light in the soft X-ray region, hard X-ray, electron beam, etc.
  • the exposure illumination light of the exposure apparatus of the above embodiments K r F excimer laser light (248 nm), not limited to A r F excimer one laser light (1 93 nm), F 2, single laser light ( 157 nm) as well as g-line (436 nm), i-line (365 nm), X-ray and electron beam.
  • K r F excimer laser light 248 nm
  • a r F excimer one laser light 1 93 nm
  • F 2 single laser light
  • g-line (436 nm) i-line (365 nm)
  • X-ray and electron beam X-ray and electron beam.
  • a thermionic emission type lanthanum hexaborite (LaB 6 ) tantalum (Ta) can be used as an electron gun.
  • a step-and-repeat type projection exposure apparatus for transferring a reticle pattern onto a wafer via a projection optical system while the reticle and the wafer are almost stationary, and a mirror projection system.
  • the present invention is also applicable to aligners and proximity type exposure apparatuses (for example, a scanning type X-ray exposure apparatus that moves a mask and a wafer integrally with respect to an arc-shaped illumination area irradiated with X-rays). Can be applied.
  • the present invention can be applied to various devices other than the exposure device used in the photolithography process, for example, a laser repair device, an inspection device, and the like.
  • the projection optical system may use not only a reduction system but also an equal magnification system.
  • the glass material of a projection optical system it is necessary to selectively use the illumination light, using a material which transmits far ultraviolet rays such as quartz and fluorite as the glass material when using a far ultraviolet such as an excimer laser, F 2 laser or X
  • a beam use a catadioptric or reflective optical system (use a reflective type reticle).
  • an electron beam use an electron optics consisting of an electron lens and deflector as the optical system. Use a system. It goes without saying that the optical path through which the electron beam passes is in a vacuum state.
  • an illumination optical system and a projection optical system composed of multiple lenses are incorporated in the exposure apparatus body to perform optical adjustment, and a reticle stage and a wafer stage consisting of many mechanical parts are attached to the exposure apparatus body to perform wiring and piping. Connect and adjust further
  • the exposure apparatus of each of the above embodiments can be manufactured. It is desirable that the exposure apparatus be manufactured in a clean room in which the temperature and cleanliness are controlled.
  • a step of performing a function design of a device a step of manufacturing a reticle based on the design step, a step of manufacturing a wafer from a silicon material, and a step of forming a reticle pattern by the exposure apparatus of the above-described embodiment It is manufactured through the steps of transferring to wafers, device assembling steps (including dicing, bonding, and packaging processes) and inspection steps.
  • the scanning exposure apparatus and the scanning exposure method of the present invention the movement of the substrate (substrate stage) from the end of exposure of one partitioned area on the substrate to the start of exposure of another partitioned area.
  • the throughput can be improved.
  • the control load can be reduced, and the position controllability of each first movable body that holds the substrate can be both kept high.
  • the stage apparatus itself can be reduced in size and weight, it is suitable for a substrate stage of a scanning exposure apparatus, and is particularly useful for a scanning exposure apparatus that exposes a large wafer of 9 inches or more.

Description

明細書
ステージ装置、 走査型露光装置及び方法、 並びにそれから製造されたデバイス 技術分野
本発明は、 半導体回路素子や液晶表示素子等の回路デバイスをリソグラフィェ 程で製造する際に用いられる走査型露光装置及び走査露光方法に関し、 さらに詳 細には、 9ィンチ以上の大型マスクを用いた走査露光に好適な走査型露光装置及 び露光方法並びにそれにより製造されたデバイスに関する。 特に、 本発明は、 後述する発明の共通目的の下、 概ね次の 5つの概念を包含す る。 第 1の概念は、 大型のパターンまたは区画された複数のパターンを有するマ スクと基板と同期移動して、 大型のパターンを基板上につなぎ合わせてあるいは 該複数のバタ―ンを基板の同一のェリアに重ねて転写する走査露光方法及び装置 に関し、 第 2の概念は、 ステージの移動方向に対して斜めに延在する反射鏡とそ れに測長ビームを送光する干渉計を有するステージ装置、 例えば三角形状のステ ージ及びそれを含む走査露光装置に関し、 第 3の概念は、 定盤上に、 第 2可動体 及び第 1可動体をそれぞれ浮上させて支持する偏荷重防止ステージ装置及びそれ を含む走査露光装置に関し、 第 4の概念は、 マスクと基板を同期して移動する走 査露光において、 基板上に区画されたある領域を走査露光した後であって次の区 画領域を走査露光するときに、 走査方向と直交する方向における基板のステップ 移動 (ステッピング) と走査方向における基板のスキャンニング移動のタイミン グ制御に関し、 第 5の概念は、 走査露光においてマスクと基板を同期させるため の整定時間を短縮するためにマスクまたは基板移動時の加速度を制御することに 関する。 これらの概念の具体的な説明を、 本書の 「発明を実施するための最良の 形態」 の欄の冒頭に記載した。 背景技術
現在、 半導体デバイスの製造現場では、 波長 3 6 5 n mの水銀ランプの i線を 照明光とした縮小投影露光装置、所謂ステッパーを使って最小線幅が 0 . 3〜0 . 3 5 At m程度の回路デバイス (6 4 M (メガ) ビッ トの D— R A M等) を量産 製造している。 同時に、 2 5 6 Mビッ ト、 1 G (ギガ) ビット D— R A Mクラス の集積度を有し、 最小線幅が 0 . 2 5 m以下の次世代の回路デバイスを量産 製造するための露光装置の導入が始まつている。 その次世代の回路デバイス製造用の露光装置として、 K r Fエキシマレ—ザ光 源からの波長 2 4 8 n mの紫外パルスレーザ光、 或いは A r Fエキシマレ一ザ光 源からの波長 1 9 3 n mの紫外パルスレーザ光を照明光とし、 回路パターンが描 画されたマスク又はレチクル (以下、 「レチクル」 と総称する) と感応基板とし てのウェハを縮小投影光学系の投影視野に対して相対的に 1次元走査することで、 ウェハ上の 1つのショッ卜領域内にレチクルの回路パターン全体を転写する走査 露光動作と、 ショット間ステッピング動作とを繰り返す、 ステップアンドスキヤ ン方式の走査型露光装置が有力視されている。 かかるステップアンドスキャン方式の走査型露光装置としては、 屈折光学素子 (レンズ素子) と反射光学素子 (凹面鏡等) とで構成される縮小投影光学系を搭 載したパーキンエルマ一社のマイクラ ·スキャン露光装置が、最初に製品化され、 市販されている。 そのマイクラ ·スキャン露光装置は、 例えば 1 9 8 9年の S P I E , V o l . 1 0 8 8の p 4 2 4〜4 3 3に詳細に説明されているように、 円 弧スリッ卜状に制限された実効投影領域を介してレチクルのバタ一ンの一部をゥ ェハ上に投影しつつ、 レチクルとウェハとを投影倍率 ( 1 /4縮小) に応じた速 度比で相対移動させることで、 ウェハ上のショッ卜領域を露光するものである。 またステップアンドスキャン方式の投影露光方式として、 エキシマレ一ザ光を 照明光とし、円形の投影視野を有する縮'」、投影光学系の実効投影領域を多角形 (六 角形) に制限し、 その実効投影領域の非走査方向の両端を部分的に才―バ—ラッ プさせる方法、 所謂スキャン &スティツチング法を組合わせたものが、 例えば特 開平 2—2 2 9 4 2 3号公報及びそれに対応する米国特許第 4 , 9 2 4 , 2 5 7 号に開示されている。また、そのような走査露光方式を採用した投影露光装置は、 例えば、 特開平 4一 1 9 6 5 1 3号公報及びこれに対応する米国特許第 5 , 4 7 3 , 4 1 0号、特開平 4— 2 7 7 6 1 2号公報及びこれに対応する米国特許第 5 , 1 9 4 , 8 9 3号、 特開平 4— 3 0 7 7 2 0号公報及びこれに対応する米国特許 第 5 , 5 0 6 , 6 8 4号等にも開示されている。 上述したような従来の走査型露光装置では、 6ィンチ以下のレチクルが用いら れており、 デバイスル一ルも 0 . 2 At m L / S (ライン 'アンド 'スペース) 以上であったため、 1 /4の投影倍率を有する投影光学系を介して走査露光を行 なっていた。 しかるに、 ステップアンドスキャン方式の走査型露光装置では、 露光はレチク ルステージ及びウェハステ一ジを所定の走査速度で移動させながら行うため、 露 光開始前にプリスキャン (目標速度 (露光時の走査速度) までの加速 +加速終了 後に速度が所定の誤差範囲で目標速度に収束するまでの整定動作)が必要である。 また、 ステップアンドスキャン方式の走査型露光装置では、 ウェハ上の複数のシ ョッ 卜領域 (以下、 適宜 「ショッ 卜」 という) にレチクルのパターンを順次転写 する場合、 スループヅ卜向上のため、 通常レチクルを交互スキャン (往復スキヤ ン) させることで、 順次次のショッ 卜に対する露光を行なう。 このため、 1つの ショッ卜に対するレチクルパターンの転写が終了した後、 露光開始前のプリスキ ヤン時の移動距離と同じ距離だけ、 露光終了時点から更にレチクルを移動して、 レチクルを次ショッ卜露光のための走査開始位置まで戻す動作 (才一バースキヤ ン) が必要である。 従って、 ステップアンドリピー卜方式等の一括露光装置のシ ョッ卜サイズと同等の大きさのショッ 卜領域を露光する場合、 走査露光 (スキヤ ン露光) 前後の上記プリスキャン及び才—バースキャンのために、 一括露光装置 に比べてスループッ卜が低下する可能性がある。 走査型露光装置では、 プリスキャン及びオーバ—スキャンに加えて、 ウェハの 露光エリアを次のショッ卜 (前記 1つのショッ卜の非走査方向に隣接する別のシ ヨッ ト) へ移動する動作、 いわゆる、 ステッピングも必要となる。 かかるウェハのショッ 卜間の移動動作は、 従来、 次の①〜③の手順で行われ ていた。①露光終了後にウェハステージ (基板ステージ) を次ショッ 卜の走査 開始位置と同一の走査方向の座標位置に一旦移動後、 ②次ショッ 卜の走査開始 位置まで非走査方向にステッピングし、 ③次ショッ 卜の露光のための走査を開 始する。 従って、 ウェハは、 コの字の経路に沿って移動されていた。 ところで、 露光装置にとってスループッ卜 (処理能力) の向上は最も重要な課 題の一つであり、 これを達成する必要から走査露光時のレチクルの加減速度が例 えば 0 . 5 G→4 G、 最高速度も 3 5 0 m m/ s - 1 5 0 0 m m/ sのように 大きくなつており、 これに伴ってウェハステージの走査露光時の加減速度、 最高 速度も投影倍率 1 / nに比例した大きさとなる。 このため、 露光の前後に必要と なる、 プリスキャン時及び才一バースキャン時の移動距離もこれに応じて延ばす 必要がある。 しかしながら、 プリスキャン時及び才一バースキャン時の移動距離 においては走査露光は行われておらず、 この距離が延びることによって却ってス ループッ卜を悪化させるという不都合があった。 上述した従来の走査型露光装置では、ウェハ側のステージとして 2次元平面( X Y平面) 内を移動する四角形の X Yステージが使用されていた。 そして、 この X Yステージの X Y座標系上の位置計測は、 X Yステージの直交する 2辺に沿って 設けられた反射面 (移動鏡とも呼ばれる) に対し、 垂直に測長ビームをそれぞれ 照射する干渉計を用いて行われていた。近年のウェハサイズは 8インチから 1 2 , 1 4 , 1 6インチというように大型化する傾向にあり、 これに伴い、 それを保持 するウェハステージが大型化、 重量化する傾向にある。前述のプリスキャン時及 び才一バースキヤン時の移動距離の増加によつても干渉計測長軸が移動鏡反射面 から外れないようにする必要があることから、 移動鏡が大型化し、 これに伴って ウェハステージが必然的に大型化、 重量化する傾向がある。 このようなウェハス テージの大型化、 重量化は、 必然的にウェハステージの位置制御応答性を悪化さ せ、 このため整定時間が長〈なって、 本来スループッ卜を向上させようとの観点 から加減速度、 最高速度を増加したにもかかわらず結果的に却ってスループッ 卜 を悪化させるという不都合もあった。 更には、 ウェハステージの位置制御精度を向上させようとの観点からステージ の回転を計測するため、 干渉計の測長軸を複数化し、 2軸以上の測長軸を有する 干渉計がウェハステージの位置制御用として用いられるようになつている。また、 ウェハステージの回転によって干渉計測長に支障が生じないようにいわゆるダブ ルパス化が行われている。 これらの干渉計測長軸の複数化やダブルパス化は、 い ずれも移動鏡長の延長に必然的につながり、 ますますステージが大型化、 重量化 する傾向にある。 また、 ウェハステージの大型化はウェハステージ移動面積の増 加、 ひいては装置設置面積の増加 (フッ 卜プリン卜の増加) 等の不都合を招くお それがあった。 上記のようなステージの大型化に伴う不都合は、 露光装置に限ら ず位置決め用の移動ステージを備えた装置や機器であれば、 同様に生じ得る。 また、 近年におけるデバイスルールは 0. 2 mL/S以下であり、 このよ うなパターンをウェハ上に十分な精度で転写するためには、 照明光源として K r Fエキシマレーザ又は A r Fエキシマレーザが用いられる。 しかし、 デバイスル —ルは、 将来は更に小さくなることは確実であり、 そのようなデバイスル一ルに 対応可能な次世代露光装置の候補として X線露光装置、 E B露光装置 (電子線露 光装置) 等が考案されているが、 技術的ハードルの高さ、 光露光装置に比べてス ループッ卜が著しく低い等の問題より導入し難いという背景がある。 さらに、 デバイスに於いても、 平坦化技術が導入されるようになり、 パターン 段差やレジス卜厚が極めて薄〈なってきているため、 同一ウェハに 2回露光を行 なうことで焦点深度を増やす二重露光法を K r F又は A r F露光装置に用い、 0. 1 um L/Sまで露光しょうという試みがなされている。 しかしながら、 二重 露光法は、 複数のレチクルを使って露光処理を複数回行う必要があるため、 従来 の装置に比べて露光処理に要する時間が倍以上になり、 スループッ卜が大幅に劣 化するという不都合がある。 この反面、 二重露光法を K r F又は A r F露光装置に用い、 0. 1 m Sまでの露光を実現することが、 256Mビッ卜〜 4Gビッ卜の DRAMの量産 を目的とする次世代機の開発の有力な選択肢であることは疑いなく、 このための ネックとなる二重露光法の最大の欠点であるスループッ卜の向上のため新技 ¾5の 開発が急務である。 図 29 ( A ) には、 投影光学系 P Lの有効フィ一ルド内の矩形の照明スリッ 卜 部 ST (斜線部) の長手方向スリット長とレチクル R 6の非走査方向パターン長 がほぼ同じ従来の走査型露光装置のレチクルステージ R S T' の平面図が示さ れている。 この装置では、 レチクルステージ R S T' の走査方向 (矢印 Y) 方 向に直交する非走査方向の一端には、 平面鏡から成る移動鏡 1 5 8が走査方向に 沿って延設されており、 走査方向の一端中央部には、 コーナ—キューブから成る 移動鏡 1 5 9が設けられている。 そして、 移動鏡 1 5 8に 2軸の測長ビ一厶 R I Xを照射しその反射光を受光する干渉計 1 5 7 Xによって、 レチクルステージ R S T ' の非走査方向の位置が計測され、 移動鏡 1 5 9に測長ビーム R I Yを照 射しその反射光を受光する干渉計 1 5 7 Yによってレチクルステージ R S T ' の走査方向の位置が計測されるようになっている。 この場合、 走査方向 (スキヤ ン) 方向の位置計測用の移動鏡 1 5 9として、 コーナーキューブが用いられ、 干 渉計 1 5 7 Yから移動鏡 1 5 9に照射された測長ビーム R I Yは移動鏡 1 5 9、 反射鏡 1 6 0、移動鏡 1 5 9で順次反射され、その移動鏡 1 5 9からの戻り光が、 入射光路とほぼ同一の光路を反対向きに戻るいわゆるダブルパス構成が採用され ている。 これは、 レチクルステージ R S T ' に面内の回転があっても走査方向 については正確な測長ができるようにするためである。 この場合、 走査方向につ いては、 干渉計 1 5 7 Yからの出射光の光路と戻り光の光路とが殆どずれない。 即ち、 反射鏡 1 6 0上では変位 (= 2 x l_ x 0 ) が発生するが、 同じ光路を戻 るためしが長〈ても受光面でのレファレンス光束と戻り光束がずれることはない。 また、非走査方向については測長ビーム R I Xの距離 L 1を極力短くすることで、 戻り光束とレファレンス光束のずれ量 2 L 0を所定以下にすることができる。 ところで、 先に説明した k r Fエキシマレ一ザ又は A r Fエキシマレーザを露 光光源とする走査型露光装置で二重露光法を用いて 0 . 1 / m L / Sまでの露 光 (以下、 適宜 「次世代露光」 という) を実現し、 その際のスループッ卜を向上 させるための有力な手段として、 先に述べた 9インチレチクルを利用した大面積 露光のためのスティツチング技術や、 D O F向上のための二重露光を行うことが 考えられる。 すなわち、 かかる場合には、 レチクルを交換する時間がいらないた め、 従来の露光装置を用いたスティツチングゃ二重露光法に比べスループッ卜の 向上を図ることができると考えられる。 図 29図 (B) には、 9インチレチクル R 9を用いて上記次世代露光を実現す る場合を想定したレチクルステージ R S Tの平面図が示されている。 この図 29 (B) では、 ステイッチングゃ二重露光のために、 前記 9インチレチクル R 9の パターン領域 Pを非走査方向に隣接する 1 0 Ommx 20 Ommの面積を有す る分割パターン領域 P 1 , P 2に分割した状態が示されている。 それぞれの分割 パターン領域 P 1 , P 2の非走査方向の長さと投影光学系 PLの有効フィールド 内の矩形照明スリッ卜部 s t (斜線部) の長手方向スリッ 卜長とがほぼ同じにな つている。 この図 29 (B) では、 非走査方向の位置計測用の移動鏡 (反射面) 1 58 Xのみではなく、 それぞれの分割パターン領域 P 1 , P 2の露光を行うた めに、 レチクルステージ R S Tが非走査方向にも移動する必要があることから、 走査方向の位置計測用の移動鏡 1 58Y' も平面鏡となっている。 しかしながら、 この図 29 (B) の場合には、 ウェハの回転角度に応じてレチ クルステージ R S Tを回転させたり、 レチクル R 9をレチクルステージ R S Tに 搭載後、回転方向補正をレチクルステージ R S T側で行う場合、干渉計 1 57 X、 1 57 Yからの測長ビーム R I X、 R I Yが移動鏡 1 58 X、 移動鏡 1 58 Y' のいずれに対しても垂直に照射しなくなり、 レチクルステージ R S Tの位置計測 が、 不正確あるいは困難になるおそれがあるという不都合があった。 すなわち、 図 29 (B) の場合には、 走査方向、 非走査方向のいずれの移動鏡も平面鏡が用 いられており、 また、 従来装置と比べてレチクルステージ R STの移動距離 (ス 卜ローク) が長〈なる分、 測長ビーム R I X、 1丫の長さ1_ 2、 L 3が長くな り、 移動鏡 1 58X、 移動鏡 1 58 Y' からの反射光 (戻り光) が大きく傾い てしまうおそれがあるからである。 このような場合、 ビーム幅 <測長ビームの光 軸の受光面での変位 (=2 XL 2 X0)、 ビーム幅 <測長ビームの光軸の受光面 での変位 (= 2 X L 3 X 0 ) となり、 レチクルステージ R S T側で回転制御を 行なうことは困難となる。 また、 平面鏡はその長さが長くなると、 精度良く加工するには、 大変な手間が 掛かり、 コストアップの要因ともなつていた。 本発明は、 以上のような従来技術の不都合を解消するため達成されたものであ り、 その第 1の目的は微細パターンを用いた高精度な露光を高スループッ卜で実 現することができる走査型露光装置及び走査露光方法を提供することにある。 本発明の第 2の目的は、 多重露光に好適な走査型露光装置及び走査露光方法を 提供することにある。
本発明の第 3の目的は、 ステージの小型■軽量化を図ることができるステージ 装置を提供することにある。
本発明の第 4の目的は、 小型軽量化されたステージを備えた露光装置を提供す と 1 ¾) 0
本発明の第 5の目的は、 露光装置のステージを小型軽量化することができる露 光方法を提供することにある。
本発明の第 6の目的は、 新規な走査型露光装置を製造する方法を提供すること
(<- fc o
本発明の第 7の目的は、 本発明の露光装置及び露光方法を用いてマイクロデバ イスを提供することにある。 発明の開示
本発明の第 Aの態様に従う走査型露光装置は、 マスク (R ) と基板 (W ) とを 同期移動して、 前記マスクのパターンを投影光学系 (P L ) を介して前記基板に 転写する走査型露光装置であって、 前記投影光学系の物体面側に配置されるマス クステージ(R S T )と;前記投影光学系の像面側に配置される基板ステージ(W S T ) と;前記マスクステージに設けられ、 前記マスクが同期移動される第 1方 向と直交する第 2方向に沿って配置される複数のコーナ一キューブ (3 1 Y 1、 3 1 Y 2、 3 1 Υ 3 ) と;前記第 1方向に沿って測長ビ一厶 ( I Υ ) を前記マス クステージに向けて照射するとともに、 前記マスクステージの前記第 2方向の位 置に応じて選択される前記複数のコーナ一キュ一ブの 1つで反射される測長ビ一 厶を受光する第 1の干渉計 (3 0 Υ ) とを備える。 この露光装置によれば、マスクステージにマスクが同期移動される第 1方向 (走 査方向) と直交する第 2方向 (非走査方向) に沿って複数のコーナ一キューブが 配置され、 第 1方向に沿って測長ビームをマスクステージに向けて照射するとと もに、 マスクステージの第 2方向の位置に応じて選択される複数のコーナ一キュ —ブの 1つで反射される測長ビ一厶を受光する第 1の干渉計を備えていることか ら、 マスクステージの第 2方向の位置に応じて選択される複数のコーナーキュー ブの 1つからの反射光に基づいてマスクステージの第 1方向の位置を第 1の干渉 計により管理することが可能になる。 このため、 マスクステージの第 2方向の位 置が複数のミラ一のいずれかが選択される位置にあれば、 第 1の干渉計でマスク ステージの第 1方向の位置を管理しつつマスクステージと同期して基板ステージ を第 1方向に移動させることによりマスクのバタ一ンを投影光学系を介して基板 上に転写することが可能になり、 マスクを交換することなく、 マスク上の複数の 部分領域あるいはマスク上の複数領域のバターンを投影光学系を介して基板上に 転写することが可能になる。 また、 この場合、 マスクステージの第 1方向の位置 は第 1の干渉計から測長ビームが照射されるコーナ一キューブを介して計測され るので、マスクステージと基板ステージとの第 1方向への同期移動に先立つて(あ るいはその同期移動中に)、 従来と同様にマスクステージを回転制御しても、 コ —ナ一キューブからの戻り光束は固定鏡側からの参照光束と常に重なるため、 正 確に走査露光中のマスクステージの第 1方向 (走査方向) の位置管理が可能にな る。 従って、 大型マスクを用いることにより大面積な露光をスティツチングによ り実現してスループットの向上を図ることができ、 また、 マスクステージの回転 制御を行なうことにより高精度な露光が可能となる。 また、 二重露光等の多重露 光を行う場合にも、マスク交換が不要であるという点からもスループッ卜の向上、 焦点深度の向上による露光精度の向上が可能になる。 本国際出願において、 用語 「コーナ一キューブ」 とは、 少な〈とも 2つの反斜 面を有し、 その 2つの反射面のなす角度が互いに直角に定められた反射部材を意 味し、 「コーナ一リフレクタ一」 あるいは、 「コ一ナーキュープリフレクタ一」 と もいう。本出願人は、 特開昭 6 2— 1 5 0 1 0 6号 (及びこれに対応する米国特 許出願) にコーナ一キューブを用いた露光装置を開示しており、 指定国の国内法 令が許す範囲においてこれらの開示を援用して本文の記載の一部とする。 第 Aの態様の走査型露光装置において、 前記マスク (R ) のパターンを前記基 板 (W ) に転写するために、 前記マスクステージ (R S T ) を前記第 1方向に沿 つて少な〈とも 1回往復させるとともに、 前記往復移動の間に前記マスクステ一 ジを前記第 2方向に沿って移動させる駆動制御系 (3 3, 8 0 ) とを更に備え、 前記複数のコーナ—キューブ (3 1 Y 1、 3 1 Υ 2、 3 1 Υ 3 ) の内の 2つは、 前記マスクステージの前記第 2方向の移動量に応じた距離だけ離れて配置される ことが望ましい。 かかる場合には、 マスクのパターンを基板に転写するために、 駆動制御系により、 マスクステージが第 1方向に沿って少なくとも 1回往復され るとともに、 その往復移動の間にマスクステージが第 2方向に沿って移動される が、 この移動量に応じた距離だけ第 2方向へ離れて複数のコーナ—キューブの内 の 2つが配置されているので、 移動の前後いずれの位置においても第 1の干渉計 によるマスクステージの位置管理が確実に行われ、 マスクステージの 1回の往復 移動の間にマスク上の異なる領域のパターンを基板上の同一領域又は異なる領域 に転写することが可能になる。 この場合もマスクの交換が不要である。 なお、 2 つのコーナ一キューブの第 2方向の間隔は、 マスクステージの第 2方向の移動量 と同じである必要はなく、 そのマスクステージの移動前後でその 2つのコーナー キューブにそれぞれ第 1の干渉計の測長ビームが照射されるような距離であれば よい。 本発明の第 Bの態様に従う露光装置は、 マスク (R) と基板 (W) とを同期し て第 1方向に相対移動しつつ、前記マスクに形成されたパターンを投影光学系( P L) を介して前記基板上に転写する走査型露光装置であって、 前記マスクを保持 して 2次元移動可能なマスクステージ (R S T) と;前記基板を保持して前記第 1方向に移動可能な基板ステージ(WST) と;前記マスクステージに設けられ、 前記第 1方向に延びる第 1反射面(84 a) と;前記マスクステージに設けられ、 前記第 1方向に直交する第 2方向に所定間隔で配置された複数のコーナ一キュー ブ (31 Y 1、 31 Υ 2、 31 Υ 3) と;前記マスクステージの第 2方向の位置 に応じ、前記複数のコーナ一キューブの 1つに前記第 1方向の測長ビ―厶( I Υ ) を照射し、 その反射光を受光することにより前記マスクステージの前記第 1方向 の位置を計測する第 1の干渉計 ( 30 Υ ) と;前記第 1反射面に前記第 2方向の 測長ビ一厶 (1 X 1 ) を照射し、 その反射光を受光することにより前記マスクス テージの前記第 2方向の位置を計測する第 2の干渉計 (30X 1 ) とを備える。 第 Βの態様の装置によれば、 マスクステージの第 2方向の位置が第 2の干渉計 により計測され、 この位置に応じて第 1の干渉計から複数のコーナ—キューブの 1つに第 1方向の測長ビームが照射され、 その反射光を受光することによりマス クステージの第 1方向の位置が第 1の干渉計により計測される。従って、 マスク を交換することなく、 マスク上の複数の部分領域あるいはマスク上の複数領域の バタ一ンを投影光学系を介して基板上に転写することが可能になり、 走査露光中 のマスクステージの第 1方向 (走査方向) の正確な位置管理が可能になる。 従つ て、 大型マスクを用いるスティツチングにより大面積な露光を実現してスループ ットの向上を図ることができ、 また、 マスクステージの回転制御を行なうことに より高精度な露光が可能となる。 また、 二重露光等の多重露光を行う場合にも、 マスク交換が不要であるという点からもスループッ卜の向上、 焦点深度の向上に よる露光精度の向上が可能になる。 ここで、 「第 2方向に所定間隔で配置された」 とは、 複数のコーナ—キューブ が第 2方向の同一直線上に所定間隔で配列している場合のみならず、 複数のコ一 ナ一キューブの第 2方向における位置座標成分が互いに異なる場合をも含むこと を意味する。例えば、 2つのコーナ一キュ—ブの位置を、 第 1方向としての X軸 及び第 2方向としての Y軸による X— Y座標 ( X 1 - Y 1 ) ( X 2 - Y 2 ) でそ れぞれ表したときに、 X 1 ≠X 2であれば足り、 Y 1 = Y 2または Y 1 ≠ Y 2 でも構わない。 また、 所定間隔とは、 予め定めた任意の間隔でよい。 すなわち、 マスクステージが矩形であり 2つのコーナーキューブが第 2方向に延在するステ ージ側面に配置されていてもよく、 あるいは、 一方のコーナ一キューブがステ一 ジ側面に配置され、 他方がステージ面内に配置されていてもよい。 複数のコーナ—キューブの配置は、 種々考えられるが、 前記複数のコーナ一 キューブ (3 1 Y 1、 3 1 Y 2 ) は、 前言己マスク上に第 2方向に沿って配置され た複数領域 (P 1、 P 2 ) のそれぞれに対応して設けられていても良い。 かかる 場合には、 いずれの領域のパターンを転写するときにも、 その領域に対応するコ —ナ一キューブを用いて第 1の干渉計により確実にマスクステージの第 1位置の 管理を正確に行うことが可能である。 この場合において、 前記複数のコーナ一キューブは、 前記マスクの第 2方向の 中央部に配置されたコーナ一キューブ (31 Y 3) を更に含むことが望ましい。 かかる場合には、 マスク上に単一のパターン領域が形成された通常のマスク (レ チクル) を用いた通常露光、 マスク上に複数のパターン領域が配置されたマスク を用いた二重露光等の多重露光ゃスティツチングのいずれにも好適となり、 しか も多重露光をマスク交換なく実現することができる。 第 Bの態様に従う装置において、 前記マスクステージ (R S T) の第 2方向の 位置情報に応じて前記第 1の干渉計 ( 30 Y ) をリセッ トするリセッ ト装置 ( 3 3) を更に備えていても良い。 かかる場合には、 マスクステージの第 2方向の移 動中に第 1の干渉計の測長軸がいずれかのコーナーキューブに当たった瞬間に、 マスクステージの第 2方向の位置情報に応じて前記第 1の干渉計をリセットする ことが可能となる。 ここで、 リセットとは、 干渉計の計測値を必ずしも零点に戻 すこと意味せず、 零以外の所定の値に戻しても良い趣旨である。 第 Bの態様に従う装置において、 前記第 2の干渉計 (30X 1 ) の反対側から 前記マスクステージ (R S T) に前記第 2方向の測長ビ一厶 (1 X 2) を照射す る第 3の干渉計 (30X 2) が更に設けられ、 前記マスクステージが前記第 3の 干渉計からの測長ビームが照射される前記第 1反射面 (84a) と平行な第 2反 射面 (84 b) を更に有し、 前記マスクステージの第 2方向の位置を、 前記第 2 及び第 3の干渉計の計測値の少なくとも一方に基づいて演算する演算装置 (3 3) を更に備えていることがより望ましい。 かかる場合には、 演算装置により、 マスクステージの第 2方向の位置が第 2及び第 3の干渉計の計測値の少なくとも 一方に基づいて演算されるので、 演算装置では、 第 2、 第 3の干渉計の内、 それ それの反射面までの測長ビームがより短くなる方の計測値を用いてマスクステ一 ジの第 2方向の位置を演算することができ、 マスクステージの回転が計測値に与 える影響が小さくなり、 第 2方向についてもマスクステージの位置をより正確に 求めることが可能である。 また、 演算装置では、 第 2、 第 3の干渉計からそれぞ れの反射面までの測長ビームの長さがほぼ同一である場合には、 第 2、 第 3の干 渉計の差の 1 / 2に基づいてマスクステージの第 2方向の位置を求めることによ り、 平均化効果により正確に求めることが可能になる。 さらに、 前記演算装置 (33) は、 前記マスクステージ (R ST) の第 2方向 の位置情報の一種である前記投影光学系 (P L) の投影視野に対向して位置する 前記マスク (R) 上の領域 (P 1、 P 2) の情報に応じて、 前記第 2及び第 3の 干渉計の計測値の一方又は両方に基づいて前記マスクステージの第 2方向の位置 を求めるようにしても良い。 第 A及び Bの態様の装置において、 前記基板ステージ (WST) 上に所定の基 準マ一ク (Mrl、 Mr2) を配置し、 前記マスクステージ (R ST) の第 2方向の 位置に応じて前記第 1の干渉計 (30Υ) をリセッ卜するために、 前記基準マ一 クを用いて前記マスク (R) と前記基板ステージ (WS T) との位置関係を計測 する計測装置 (50, 1 1 0) を更に備えていても良い。 かかる場合には、 計測 装置によりマスクステージの第 2方向の位置に応じて第 1の干渉計をリセッ卜す る際に、 基準マークを用いてマスクと基板ステージとの位置関係が計測されるの で、 マスク上の異なる領域を露光するためマスクステージを第 2方向に移動して もマスクと基板の重ね合せ精度が悪化するような不都合が無い。 第 Α及び Βの態様の装置において、 前記投影光学系 (P L) を支持する第 1架 台 ( 1 6) と;前記マスクステージ (R ST) が配置される第 2架台 (26, 2 8 ) と;前記第 1架台を支持する防振装置 (20) と;前記防振装置が配置きれ る床上に設けられるとともに、 前記マスクステージの移動によって生じる反力に 応じた力を前記マスクステージ又は前記第 2架台に与えるァクチユエ一夕 (74 R、 74 L) を有するフレーム (72) とを更に備えていても良い。 かかる場合 には、 マスクステージの移動によって生じる反力に応じた力がァクチユエ一夕に よりマスクステージ又は第 2架台に与えられるので、 マスクステージの加減速時 の振動が第 2架台を介して第 1架台に伝わるのを防止することができる。 この場 合において、 前記防振装置が載置されるべ一スプレー卜と;前記べ一スプレー卜 と前記フレームとを接続する弾性体とを更に備えていることが望ましい。 かかる 場合には、 弾性体により第 1架台とフレームとの相互間で振動が伝達されるのを 防止することができるからである。 また、 第 A及び Bの態様の装置において、 前記第 1の干渉計 (30Y) の測長 ビームは、その延長線が前記投影光学系(P L)の光軸と交わることが望ましい。 かかる場合には、 マスクステージの第 1方向の位置をいわゆるアッベの誤差なく 正確に計測できるからである。 第 Bの態様の装置において、 前記第 2の干渉計 (30X 1 ) は、 前記第 2方向 に沿って 2本の測長ビ一厶 (1 X11, 1 X12) を前記第 1反射面 (84 a) に照 射し、 前記 2本の測長ビームの 1つはその延長線が前記投影光学系 (P L) の光 軸と交わることが望ましい。 かかる場合には、 その延長線が前記投影光学系の光 軸と交わる方の測長ビームによりマスクステ一ジの第 2方向の位置をいわゆるァ ッベの誤差なく正確に計測でき、 2本の測長ビームにより独立して位置計測を行 し、、これらの結果に基づいてマスクステ一ジの回転を計測することが可能になる。 また、 第 Bの態様の装置において、 第 1反射面 (84a) はマスクステージ上 に平面鏡から成る移動鏡を設けその反射面を利用しても勿論良いが、 前記第 1反 射面 (84 a) は、 前記マスクステージ (R ST) の側面に形成されていること が望ましい。かかる場合には、その分マスクステージを軽量化できるからである。 本発明の第 Cの態様に従う方法は、マスク( R )を保持するマスクステージ(R S T ) と基板 (W ) を保持する基板ステージ (W S T ) とを同期して所定の第 1 方向に相対移動させつつ、 前記マスクに形成されたパターンを前記基板に転写す る走査露光方法であって、 前記マスクステージに前記第 1方向に沿って延設され た第 1反射面 ( 8 4 a ) に測長ビ一厶 (1 X 1 ) を照射しその反射光を受光して 前記マスクステ一ジの第 2方向の位置を管理するとともに、 前記マスクステージ に設けられた第 1のコーナ一キューブ (3 1 Y 1 ) に測長ビ一厶 (I Y ) を照射 しその反射光を受光して前記マスクステージの第 1方向の位置を管理しつつ、 前 記マスク上の第 1領域 (P 1 ) のパターンを前記基板上の所定領域に転写する第 1走査露光工程と;前記第 1反射面に測長ビームを照射しその反射光を受光して 前記マスクステージの第 2方向の位置を管理するとともに、 前記マスクステ一ジ に設けられた前記第 1のコーナ一キューブとは異なる第 2のコーナ一キューブ ( 3 1 Y 2 ) に測長ビ一厶 (I Y ) を照射しその反射光を受光して前記マスクス テ—ジの第 1方向の位置を管理しつつ、 前記マスク上の前記第 1領域の第 2方向 に隣接された第 2領域 (P 2 ) のパターンを前記基板上の前記所定領域に転写す る第 2走査露光工程とを含む。 この方法によれば、 第 1走査露光工程では、 第 1反射面からの測長ビームの反 射光に基づいてマスクステージの第 2方向の位置を管理し、 第 1のコーナーキュ —ブからの測長ビームの反射光に基づいてマスクステージの第 1方向の位置を管 理しつつ、 マスク上の第 1領域のパターンが基板上の所定領域に転写される。 こ のため、 マスクステージに回転等が存在しても第 1走査露光工程でのマスクステ ージの位置管理は正確に行われる。 そして、 第 2走査露光工程では、 第 1反射面 からの測長ビームの反射光に基づいてマスクステ一ジの第 2方向の位置を管理し、
I 7 第 1のコーナーキューブとは異なる第 2のコーナ一キューブからの測長ビームの 反射光に基づいてマスクステージの第 1方向の位置を管理しつつ、 マスク上の第 1領域の第 2方向に隣接された第 2領域のパターンが基板上の前記所定領域に転 写される。 このため、 マスクステージに回転等が存在しても第 2走査露光工程で のマスクステージの位置管理は正確に行われる。従って、 マスク交換を行うこと な〈大型マスクを用いることによりスティツチングゃ二重露光を実現する場合に もスループッ 卜の向上を図ることができ、 また、 マスクステージの回転制御によ る重ね合わせ精度の向上、 焦点深度の向上による露光精度の向上が可能になる。 本発明の第 Dの態様に従う方法は、 マスク (R) と基板 (W) とを同期移動し て、 前記マスクのパターンを投影光学系 (P L) を介して前記基板に転写する走 査型露光装置を製造する方法であって、
投影光学系 (P L) を設け;
前記投影光学系の物体面側に配置されるマスクステージ (R ST) を設け; 前記投影光学系の像面側に配置される基板ステージ (WST) を設け; 前記マスクステージに、 前記マスクが同期移動される第 1方向と直交する第 2 方向に沿って配置される複数のコーナーキュ—ブ (31 Y 1、 31 Υ 2、 31 Υ
3 ) を設け;
前記第 1方向に沿って測長ビ一厶 (Ι Υ) を前記マスクステージに向けて照射 するとともに、 前記マスクステージの前記第 2方向の位置に応じて選択される前 記複数のコーナ一キューブの 1つで反射される測長ビ一厶を受光する第 1の干渉 計 (30Υ) を設けることを含むことを特徴とする。 この方法において、 前記マ スク (R) のパターンを前記基板 (W) に転写するために、 前記マスクステージ
(R ST) を前記第 1方向に沿って少な〈とも 1回往復させるとともに、 前記往 復移動の間に前記マスクステージを前記第 2方向に沿って移動させる駆動制御系
(33, 80) とを更に設け、 前記複数のコーナ—キューブ (31 Υ 1、 31 Υ 2、 31 Y 3 ) の内の 2つは、 前記マスクステージの前記第 2方向の移動量に応 じた距離だけ離れて配置することが望ましい。 本発明の第 Εの態様に従う方法は、 マスク (R) と基板 (W) とを同期して第 1方向に相対移動しつつ、前記マスクに形成されたバターンを投影光学系(PL) を介して前記基板上に転写する走査型露光装置を製造する方法であって、 投影光学系 (P L) を設け;
前記マスクを保持して 2次元移動可能なマスクステージ (R ST) を設け; 前記基板を保持して前記第 1方向に移動可能な基板ステージ(W S T )を設け; 前記マスクステージに、 前記第 1方向に延びる第 1反射面 (84a) を設け; 前記マスクステージに、 前記第 1方向に直交する第 2方向に所定間隔で配置さ れた複数のコーナ一キューブ (31 Y 1、 31 Y 2s 31 Y3) を設け; 前記マスクステージの第 2方向の位置に応じ、 前記複数のコーナ一キューブの
1つに前記第 1方向の測長ビーム (Ι Υ) を照射し、 その反射光を受光すること により前記マスクステージの前記第 1方向の位置を計測する第 1の干渉計 (30
Υ ) を設け;
前記第 1反射面に前記第 2方向の測長ビーム (1X 1 ) を照射し、 その反射光 を受光することにより前記マスクステージの前記第 2方向の位置を計測する第 2 の干渉計(30X 1 )を設けることを含む方法が提供される。この方法において、 前記複数のコーナ一キューブは、 前記マスク上に第 2方向に沿って配置された複 数領域のそれぞれに対応して設けることが望ましい。 本発明の第 Fの態様に従う方法は、 マスク (R) を第 1方向に往復移動して、 前 記マスク上の前記第 1方向と直交する第 2方向に沿って並ぶ第 1及び第 2領域 (Ρ 1及び Ρ 2) をそれぞれ照明光で照射するとともに、 前記マスクの移動に同 期して基板 (W) を移動することにより、 前記マスクのパターンを前記基板上に 転写する走査露光方法において、 前記マスク上の第 1領域 (P 1 ) を前記照明光 で照射するために、 前記マスクを保持するマスクステージ (R S T ) に設けられ る第 1 ミラ一 (3 1 Y 1 ) に測長ビームを照射する干渉計 (3 0 Y ) の出力に基 づいて前記マスクステージを前記第 1方向に駆動し、前記マスク上の第 2領域(P 2 ) を前記照明光で照射するために、 前記マスクステージに設けられる第 1 ミラ —と異なる第 2ミラ一 (3 1 Y 2 ) に前言己干渉計の測長ビ一厶を照射しながら前 記マスクステージを前記第 1方向に駆動することを特徴とする。 第 Fの態様の方法によれば、 マスク上の第 1領域を照明光で照射する際には、 マスクを保持するマスクステージに設けられる第 1 ミラ一に測長ビームを照射す る干渉計の出力に基づいてマスクステージを第 1方向に駆動し、 マスク上の第 2 領域を照明光で照射する際には、 マスクステージに設けられる第 1 ミラ一と異な る第 2ミラーに干渉計の測長ビームを照射しながらマスクステージを前記第 1方 向に駆動する。 このように、 第 1領域の転写に際しても、 第 2領域の転写に際し ても同一の干渉計によりマスクステージの第 1方向の位置を管理しつつマスクス テ一ジが第 1方向に駆動され、 マスクステージと同期して基板が駆動されること により、 マスク上の第 1領域と第 2領域とが基板上に転写される。 この発明によ れば、 マスクステージの第 1方向 (走査方向) の位置を計測するためのミラ一と して単一の長い反射面 (平面鏡) でなく、 短い反射面 (平面鏡等) を用いること ができるので、 その分コストの低減が可能になる。 この場合、 第 1 ミラー、 第 2 ミラ一としてコ一ナ一キューブを用いても良い。 かかる場合には、 マスクステ一 ジの第 1方向の位置は干渉計から測長ビームが照射されるコ一ナ一キューブを介 して計測されるので、 マスクステージと基板ステージとの第 1方向への同期移動 に先立って (あるいはその同期移動中に)、 従来と同様にマスクステージを回転 制御しても、 コーナ一キューブからの戻り光束は固定鏡側からの参照光束と常に 重なるため、 正確に走査露光中のマスクステージの第 1方向 (走査方向) の位置 管理が可能になる。従って、 大型マスクを用いることによりスティツチングによ る大面積露光や二重露光等の多重露光を実現してスループッ卜の向上を図ること ができ、 また、 マスクステージの回転制御を行なうことにより高精度な露光が可 肯 gとなる。 第 Fの態様の方法において、 前記マスク (R ) 上の第 1領域 (P 1 ) が転写さ れる前記基板(W )上の区画領域(例えば S 1 )に、前記マスク上の第 2領域( P2 ) を重ねて合わせて転写して、 前記第 1領域内の第 1パターンと前記第 2領域 内の第 2パターンとの合成パターンを前記区画領域に形成するようにしても良い c かかる場合には、 マスク交換をすることなく、 二重露光を実現することができ、 スループッ卜の向上、 焦点深度の向上による露光精度の向上が可能になる。 また、第 Fの態様の方法において、前記基板(W )上の複数の区画領域(S 1 、 S 2、 S 3、 ···) に前記マスク (R ) のパターンを順次転写するために、 前記 マスク上の第 1領域 (P 1 ) を前記複数の区画領域に順次転写し、 かつ前記マス クを前記第 2方向に移動した後で、 前記マスク上の第 2領域 (P 2 ) を前記複数 の区画領域に順次転写するようにしても良い。 この場合において、 前記マスク ( R ) 上の第 2領域 (P 2 ) を前記複数の区画領域 (S 1 、 S 2、 S 3、 ···) に転写する前に、 前記照明光の強度分布、 即ち照明光を射出する照明源 (例えば 2次光源)の形状と大きさの少なくとも一方を変更しても良い。かかる場合には、 マスク上の第 1領域のバタ一ンと第 2領域のバタ一ンの露光に適した照明条件が 異なる場合にも、 それぞれのバタ一ンに合わせて適切な照明条件を設定すること ができるので、 一層露光精度が向上する。 第 Fの態様の方法において、 前記マスク (R ) 上の第 1領域 (P 1 ) を前記照 明光で照射した後の前記マスクステージ (R S T ) の減速中 (又はマスクステ一 ジの第 1方向の速度成分が零となる前) に、 前記マスクステージを前記第 1方向 に対して斜めに移動しても良い。 このようにすると、 第 1領域内のパターンの転 写に続いて第 2領域内のパタ一ンを基板上に転写するに際して、 マスクステージ がコの字状の経路でなく、 これより短い経路に沿って移動されるので、 移動時間 の短縮によりスループッ卜の向上が可能となる。 第 Fの態様の方法において、 前記マスク (R ) 上の第 2領域 (P 2 ) を前記照 明光で照射する前に、 前記マスク上の第 2領域が前記照明光に近づ〈ように、 前 記マスクステージ (R S T ) を前記第 1及び第 2方向と交差する方向に加速させ ても良い。又は、マスクステ一ジの第 2方向へのステツピング動作が終了する前、 あるいはマスク上の第 1領域 (P 1 ) を照明光で照射した後のマスクステージの 第 2方向の速度成分が零となる前に、 マスクステージの第 1方向への加速を開始 するようにしても良い。 なお、 スループッ卜の点からマスクステージの移動軌跡 が放物線状 (又は U字状) となるようにその移動を制御することが好ましい。 第 Fの態様の方法において、 前記マスク (R ) 上の第 1領域 (P 1 ) への前記 照明光の照射と、 前記マスク上の第 2領域 (P 2 ) への前記照明光の照射との間 で、 前記マスクステージ (R S T ) を停止させることなく駆動することが望まし し、。 かかる場合には、 マスクステージが停止することがないので、 マスクステ一 ジの移動に要する時間はほぼ最短になる。 第 Fの態様の方法において、 前記マスク (R ) 上の第 1領域 (P 1 ) を前記基 板 (W ) 上の第 1区画領域に転写する第 1走査露光と、 前記マスク上の第 2領域 ( P 2 ) を前記基板上の前記第 1区画領域と隣接する第 2区画領域に転写する第 2走査露光との間で、 前記基板を保持する基板ステージ (W S T ) を停止させる ことな〈駆動することが望ましい。 かかる場合には、 基板ステージの移動軌跡は 必ずしも最短 (例えば V字状) とはならないが、 基板ステージが停止することが ないので、 基板ステージの隣接領域間の移動 (ステッピング) に要する時間がほ ぼ最短になるからである。特に、 ステイッチングにおいて、 マスクステ一ジが停 止することなく、 かつ基板ステージが停止することな〈駆動される場合には、 第
1走査露光の終了から第 2走査露光の開始までの間の時間が最短となる。 第 Fの態様の方法において、 前記第 2走査露光前に、 前記基板ステージ (W S T ) を前記第 1及び第 2方向と交差する方向に加速させることが望ましい。 かか る場合には、 第 1及び第 2方向に対し斜めに進みながら基板ステージが加速され る o 第 Fの態様の方法において、 前記マスクは、 前記第 2方向に沿って配列される 第 1及び第 2マスクを含み、 前記第 1マスクは前記第 1領域内の第 1パターンが 形成され、 前記第 2マスクは前記第 2領域内の第 2パターンが形成されていても 良い。すなわち、マスクステージ上には、複数のマスクが載置されていても良い。 複数のマスクであってもそれぞれのマスクのパターンを順次基板上に転写する場 合には、 前述した各発明の作用をそのまま奏するからである。 本発明の第 Gの態様に従う方法は、 マスク (R ) を第 1方向に往復移動して、 前記マスク上の前記第 1方向と直交する第 2方向に沿って並ぶ第 1及び第 2領域 ( 1及び? 2 ) をそれぞれ照明光で照射するとともに、 前記マスクの移動に同 期して基板 (W ) を移動することにより、 前記マスクのパターンを前記基板上に 転写する走査露光方法において、 前記マスク上の第 1領域への前記照明光の照射 と、 前記マスク上の第 2領域への前記照明光の照射との間で、 前記マスクを停止 させることなく移動することを特徴とする。これによれば、マスクの移動軌跡は、 必ずしも最短にはならないが、 マスクが停止することがないので、 マスク上の第 1領域のパターンの転写終了から第 2領域のパターンの転写開始までのマスクの 移動時間がほぼ最短になる。 本発明の第 Hの態様に従う方法は、 基板 (W ) 上に回路パターンを転写する走 査露光方法であつて、 前記回路バタ一ンの第 1及び第 2分解バタ—ンを有するマ スクを第 1方向に移動して、前記第 1分解ノ、"ターンを照明光で照射するとともに、 前記マスクの移動に同期して前記基板を移動し、 前記基板上の区画領域 (例えば S 1 ) に前記第 1分解パターンを転写する第 1工程と;前記第 1方向に沿って前 記マスクを前記第 1工程とは逆向きに移動して、 前記第 2分解ノ 夕一ンを前記照 明光で照射するとともに、 前記マスクの移動に同期して前記基板を移動し、 前記 区画領域に前記第 2分解パターンを転写する第 2工程とを含む。 これによれば、 マスクの 1往復の間に、 基板を 1往復させるだけで、 マスク上の第 1分解パター ンと第 2分解パターンが基板上の同一の区画領域に重ね合わせて転写される二重 露光を実現することができ、 結果的に第 1分解ノ、°ターンと第 2分解ノ \°ターンとか ら成る回路パターンが精度良く転写されることになる。 この場合において、 前記第 1工程と前記第 2工程の間で、 前記マスクは、 前記 第 1方向、 及びそれと直交する第 2方向の速度成分の少なくとも一方が零となら ないように移動されることが望ましい。 本発明の第 Iの態様に従えば、 基板 (W ) を保持して 2次元平面内を移動する第 1可動体 (W S T ) と;前記第 1可動体 (W S T ) に設けられ、 前記 2次元平面 内で所定の第 1軸 (Y軸) 及びこれに直交する第 2軸 (X軸) と交差する方向に 沿って延びる第 1反射面 (6 0 a ) と ;前記第 1反射面に垂直に測長ビームを照 射してその反射光を受光することにより前記第 1可動体の第 3軸方向の位置を計 測する第 1の干渉計 (7 6 X 1 ) と;前記第 1の干渉計の計測値に基づいて前記 第 1可動体の前記第 1軸及び第 2軸で規定される直交座標系上の位置座標を演算 する演算装置 (7 8 ) とを備えるステージ装置が提供される。 本発明のステージ装置によれば、 第 1可動体に 2次元平面内で所定の第 1軸及 びこれに直交する第 2軸と交差する方向に沿って延びる第 1反射面が設けられて おり、 第 1の干渉計ではこの第 1反射面に垂直に測長ビームを照射してその反射 光を受光することにより第 1可動体の前記測長ビームの方向である第 3軸方向の 位置を計測する。例えば、 最初に第 1干渉計の測長ビームを第 1反射面に照射し たときに干渉計をリセッ卜して、 このときのステージ位置を第 1軸 (Y軸) 及び 第 2軸 (X軸) で規定される直交座標系の原点位置 (0 , 0 ) と定める。 ステー ジが移動した後の位置 (X , Y ) は、 第 1干渉計により計測された第 3軸方向の 移動距離と、 第 1反射面が第 1軸または第 2軸と交差する角度とから算出するこ とができる。 すなわち、 演算装置により、 第 1の干渉計の計測値だけを用いて第 1可動体の第 1軸及び第 2軸で規定される直交座標系上の位置座標を演算するこ とが可能になる。 第 1可動体上には、 上記直交座標系上の座標軸に交差する方向 の第 1反射面のみを設ければ足りるので、 直交座標系上の直交軸方向に沿って可 動体上にそれぞれ反射鏡を設けしかも直交軸方向の可動体の位置を複数の干渉計 を用いてそれぞれ計測していた従来例に比べて、干渉計及び反射面の数を減らし、 簡単な構成のステージ装置を実現することができる。 また、 基板の位置計測、 ひ いては位置制御も単純にすることが可能になる。 また、 反射面の配置の自由度が 向上し、 その結果として基板保持する第 1可動体の形状の設計の自由度が向上す る。 この結果、 第 1可動体として正方形又は長方形状等の矩形のステージを用い る必要がなくなり、 例えば、 かかる矩形のステージ上に斜めに反射面を配置した 場合には、 その反射面より外側の部分を取り除くことが可能になる。 従って、 第 1可動体、 すなわち基板を保持して 2次元移動するステージを小型化及び軽量化 することが可能になる。 この場合において、 前記第 1可動体 (WS T) に設けられ、 前記第 2軸方向に 延びる第 2反射面 (60 b) と;前記第 2反射面に垂直に測長ビームを照射して その反射光を受光することにより前記第 1可動体の前記第 1軸方向の位置を計測 する第 2の干渉計 (76Y) とを更に備え、 前記演算装置 (78) が、 前記第 1 の干渉計の計測値に基づいて前記第 1可動体の前記第 2軸方向の位置座標を演算 するようにしても良い。例えば、 第 1可動体の第 1軸方向の位置を第 2干渉計で 計測し、 第 1可動体の第 2軸方向の位置は第 1干渉計及び演算器を用いて求める ことができる。 この場合には、 第 2の反射面は、 必ず第 1軸に直交して配置する 必要があるが、 第 1の反射面の配置は或程度自由であり、 第 1可動体、 すなわち 基板を保持して 2次元移動するステージを小型化することが可能になる。 前記演算装置 (78) が、 前記第 1の干渉計 (76X 1 ) の計測値と前記第 2 の干渉計 ( 76 Y ) の計測値との両者に基づいて前記第 1可動体 ( W S T ) の前 記第 1軸方向の位置及び前記第 2軸方向の位置の少な〈とも一方を演算するよう にしても良い。 このようにすれば、 前記第 2の干渉計 (76Y) の計測値により 前記第 1軸方向の計測を行い、 その結果と第 1の干渉計 ( 76 X 1 ) の第 1軸方 向計測結果との差を求め、 それに応じて前記第 1の干渉計 (76X 1 ) による前 記第 2軸方向の計測誤差を補正する事ができる。 これによりステージの小型化を 行いつつ、 前記第 1及び第 2軸方向の計測を精度良〈行う事ができる。 また、 前記第 1可動体 (WST) に設けられ、 前記 2次元平面内で前記第 1軸 及びこれに直交する第 2軸と交差し、 かつ前記第 1反射面とは異なる方向に延び る第 3反射面 (60 c) と;前記第 3反射面に垂直に測長ビームを照射してその 反射光を受光することにより前記第 1可動体の第 4軸方向の位置を計測する第 3 の干渉計 (76 X 2) とを更に備え、 前記演算装置 (78) が、 前記第 1及び第 3の干渉計の計測値に基づいて前記第 1可動体の前記第 1軸及び第 2軸で規定さ れるステージ座標系上の前記第 2軸方向の位置を演算するようにしても良い。 すなわち、 第 1可動体の第 1軸方向の位置を、第 2の干渉計を用いて計測し、 第 2軸方向の位置は、 第 1軸とは異なる方向から第 1可動体のそれぞれの方向の 位置を計測する第 1、第 3の干渉計の計測値に基づいて演算により求めることが できる。第 1、 第 2、及び第 3の反射面は、 三角形状に配置することができ、 こ の結果、第 1可動体自体も三角形状に形状化することが可能となる。 それゆえ、 従来の矩形のステージに比べて第 1可動体を高い剛性を維持しながら、 かなり小 型化及び軽量化できる。 ステージ装置において、 前記第 1の干渉計 (7 6 X 1 ) は、 2つの測長軸の測 長ビームを、前記第 1反射面 (6 0 a ) に、 前記 2次元平面と直交する方向に互 いに離れるように照射し、 それぞれの反射光を受光することにより各測長軸毎に 前記第 1可動体の第 3軸方向の位置を計測し、前記演算装置 (7 8 ) は、前記第 1の干渉計の前記計測値に基づいて前記第 1可動体(W S T ) の前記 2次元平面 に対する ί頃斜をも算出するようにしても良い。 また、 ステージ装置において、 前記第 1の干渉計 (7 6 Χ 1 ) は、前記 2次元 平面と平行な方向に離れた 2つの測長軸の測長ビームを前記第 1反射面 ( 6 0 a ) に照射し、 それぞれの反射光を受光することにより各測長軸毎に前記前記第 1可動体の第 3軸方向の位置を計測し、前記演算装置 (7 8 ) は、前記第 1の干 渉計の前記計測値に基づいて前記第 1可動体 (W S T ) の前記 2次元平面内での 回転をも算出するようにしても良い。 また、 ステージ装置において、 前記第 2の干渉計 (7 6 Y ) は、 3つの測長軸 の測長ビ―厶を、 前記第 2反射面に、 前記第 2反射面 (60 b) への入射点が第 2反射面上で同一直線上に配列しないように照射し、 それぞれの反射光を受光す ることにより各測長軸毎に前記第 1可動体 (WS T) の前記第 1軸方向の位置を 計測し、 前記演算装置 (78) は、 前記第 2の干渉計の前記計測値に基づいて前 記第 1可動体の前記 2次元平面内での回転及び前記第 1可動体の前記 2次元平面 に対する傾斜をも算出するようにしても良い。 また、 ステージ装置において、 第 1可動体上に反射鏡を配置し、 その反射面を 上記第 1反射面としても良いが、 前記第 1反射面 (60 a) は、 前記第 1可動体 (WST) の端面あるいは側壁に形成されていることが望ましい。 このようにす れば、 第 1可動体を一層軽量化でき、 また、 基板と垂直方向における第 1可動体 の幅を狭〈することができるからである。 さらに、 前記第 1可動体 (WST) は ほぼ三角形状であり、 前記第 2反射面 (60 b) は、 前記第 1可動体の端面に形 成されていても良い。 ステージ装置において、 前記第 1可動体がほぼ三角形状を有する場合に、 3つ のコーナ一の内の少なくとも 1つのコーナ一付近に、 前記第 1、 第 2、 及び第 3 の干渉計の少なくとも 1つの出力に応じて前記 2次元平面に垂直な方向に駆動す る駆動装置を更に備えていても良い。 かかる場合には、 駆動装置により第 1、 第 2、 及び第 3干渉計の少なくとも 1つの出力に応じて、 第 1可動体の各頂角近傍 の所定の 3点の内の少なくとも 1点が 2次元平面に垂直な方向に駆動される。 す なわち、第 1可動体(基板)は 2次元平面に対する傾斜が調整されることになる。 この際に、 第 1可動体の重心位置から遠い 3つの頂点付近を駆動して傾斜調整が なされるので、 高い制御応答 (チル卜駆動制御応答) を得ることができる。 ステージ装置において、 前記各干渉計 (76X 1、 76 Y、 76X 2) が、 対 応する反射面 (60a、 60 b、 60 c) に、 3測長軸の測長ビ一厶を、 入射点 が同一直線状に配列しないようにそれぞれ照射し、 それぞれの反射光を受光して 各測長軸毎に、 前記第 1可動体 (WS T) の各測長軸の方向の位置を計測し、 前 記演算装置(78)が前記第 1、第 2、及び第 3の内の干渉計の任意のいずれか、 又は任意の 2つ又は 3つの干渉計の各測長軸の計測値を用いて前記第 1可動体 (WS T) の前記 2次元平面内の回転及び前記 2次元平面に対する傾斜を演算す るようにしても良い。 この場合において、 前記第 1可動体 (WS T) が、 前記 2次元平面内で移動す る第 2プレー卜 (52) と、 この第 2プレー卜 (52) 上に搭載されたレペリン グ駆動機構 (58) と、 このレべリング駆動機構により支持され前記基板 (W) を保持する第 1プレート (T B) とを有し、 前記第 1プレート (T B) に前記第 1、 第 2、 及び第 3反射面が設けられ、 前記レべリング駆動機構 (58) が、 前 記第 1プレートを前記第 1、 第 2、 及び第 3の干渉計の測長軸のそれぞれのぼぼ 延長線上の異なる 3点で支持するとともに各支持点で前記 2次元平面に垂直な方 向に独立して駆動可能な 3つのァクチユエ一タ (ZACX 1、 ZACY、 Z AC X 2 ) を含み、 前記演算装置 (78) が、 前記第 1、 第 2、 及び第 3の干渉計の 計測値を用いて前記第 1反射面、 第 2反射面、 第 3反射面の前記 2次元平面に対 する傾斜をそれぞれ演算し、 前記演算装置の検算結果に応じて前記 3つのァクチ ユエ一夕を制御するァクチユエ一夕制御装置 (56) を更に備えていても良い。 かかる場合には、 それぞれの干渉計により計測された対応する反射面のチルト角 度に応じてァクチユエ一タを独立に制御できるため、 確実な傾斜調整が効率良く 可能になる。 この場合において、 前記第 1プレー卜 (T B) が正三角形状である 場合には、 前言己 3つのァクチユエ一タは第 1プレ一卜の正三角形状の頂点近傍に それぞれ配置することが望ましい。 このようにすると、 高いチル卜駆動制御応答 を得ることができる。 また、 ステージ装置において、 定盤 (2 2 ) と;前記第 1可動体 (W S T ) が その上部に配置されるとともに、 前記定盤上に配置され、 かつ前記定盤、 及び前 記第 1可動体の夫々に対して相対移動が可能な第 2可動体(3 8 )とを更に備え、 前記第 1可動体の移動によって生じる反力に応じて前記第 2可動体が移動するよ うに構成しても良い。 このようにすれば、 第 1可動体の重心移動による偏荷重を 第 2可動体の重心移動によりキャンセルすることが可能となるので、 ステージ装 置全体の重心を所定位置に保持できるからである。 この場合において、 前記定盤 (2 2 ) 上に設けられた前記第 2可動体 (3 8 ) を所定の応答周波数で駆動可能な駆動系 (4 4 ) と;前記駆動系を介して数 H z 以下の応答周波数で前記第 2可動体を位置制御する制御装置 (7 8 ) とを更に備 えることが望ましい。 このようにすれば、 定盤が傾斜した際等にも、 第 2可動体 がその自重によって勝手に移動しないように、 上記の数 H z以下の応答周波数で 位置制御することが可能となるからである。 本発明の第 Jの態様に従えば、 マスクに形成されたバタ一ンを基板上に転写し て基板を露光する走査型露光装置において、
基板を保持して 2次元平面内を移動する基板ステージ (W S T ) と、 前記基 板ステージに設けられ、 前記 2次元平面内で所定の第 1軸及びこれに直交する第 2軸と交差する方向に沿って延びる第 1反射面と、 前記第 1反射面に垂直に測長 ビームを照射してその反射光を受光することにより前記基板ステージの第 3軸方 向の位置を計測する第 1の干渉計と、 前記第 1の干渉計の計測値に基づいて前記 基板ステージ (W S T ) の前記第 1軸及び第 2軸で規定される直交座標系上の位 置座標を演算する演算装置とを有するステ一ジ装置と;
マスク (R )を保持するマスクステージ(R S T ) と;前記マスクステージ(R ST) と前記ステージ装置を構成する基板ステージ (WST) とを同期して前記 第 1軸方向 (Y方向) に沿って相対移動させるステージ制御系 (33、 78、 8 0) とを備える走査型露光装置が提供される。前記ステージ制御系による前記マ スクステージと前記基板ステ一ジとの相対移動時に前記マスクに形成されたノ \°夕 —ンを前記基板ステージ上の基板 (W) に転写することを特徴とする。 これによ れば、 上記の如く、 基板ステージとして従来の矩形のステージに比べて相当小型 の三角形状のものを使用することが可能となるので、 基板ステ一ジの位置制御応 答性が向上し、 ステージ制御系による第 1軸方向の相対移動時にマスクステージ と基板ステージとの同期整定時間が短縮され、結果的にスループッ卜が向上する。 第 Jの態様において、前記第 1及び第 3の干渉計( 76 X 1及び 76 X 2 )は、 それぞれ 2つの測長軸を有する干渉計であり、前記マスク( R )及び前記基板(W) の夫々と直交する光由を有する投影光学系 (PL) と、 前記投影光学系とは別個 に設けられたァライメント光学系 (ALG) とを更に備える場合には、 前記第 1 及び第 3の干渉計のそれぞれの 1測長軸 (R IX11、 R I X21)の延長した交点 は前記投影光学系中心とほぼ一致し、 それぞれ残りの測長軸 (RIX12、 R I X 22)の延長した交点は前記ァライメン卜光学系中心とぼぼ一致するように前記第 1及び第 3の干渉計の各測長軸が設定されていることが望ましい。 かかる場合に は、 露光時及びァライメン卜時のいずれのときにおいてもいわゆるアッベ誤差の ない状態で基板の位置管理が可能となり、重ね合わせ精度が向上するからである。 また、 第 J態様の走査型露光装置において、 前記基板 (W)の周辺領域の走査 露光時に前記第 1、第 2、及び第 3の干渉計(76RIX 1、 R I丫、 RIX2) の各測長軸が、 前記第 1、 第 2、 及び第 3反射面 (60 a、 60b、 60 c)の 内の対応する反射面からいずれも外れることがないように、 露光の際の前記基板 ステージ (WST)の加速度、 最高速度及び整定時間が決定されていることが望 ましい。 かかる場合には、 基板ステージの 3つの側面の範囲内に反射面を設定で きるので、 基板ステージのバランスが良〈なりその剛性を高めることが可能とな るからで ¾る。 また、 第 J態様の走査型露光装置において、 前記第 1、 第 2、 及び第 3の干渉 計 (76 R I X 1、 R I Y、 R I X 2) の各測長軸が、 前記第 1、 第 2、 及び第 3反射面 (60 a、 60 b、 60 c) の内の対応する反射面からいずれも外れる ことがない前記基板ステージ (WST) 上の所定の位置に、 前記第 1、 第 2、 及 び第 3の干渉計の計測値を用いて露光処理に関連する所定の計測を行うための基 準マーク (FM) 及びセンサ (KES) が配置されていることが望ましい。 かか る場合には、 基準マークを用いた例えばベースライン計測や、 センサを用いた例 えば結像特性計測、 照射量計測のために、 反射面を延長する必要がないので、 こ のことも基板ステージの軽量化につながるからである。 また、 第 J態様の走査型露光装置において、 前記各干渉計が、 それぞれの反射 面上で同一直線状にない 3軸の測長ビ一厶を対応する反射面にそれぞれ照射し、 それぞれの反射光を受光して各測長軸毎に、 前記基板ステージの各測長軸の方向 の位置を計測し、
前記演算装置が前記第 1、 第 2、 及び第 3の干渉計の内の任意のいずれか、 又 は任意の 2つ又は 3つの干渉計の各測長軸の計測値を用いて前記基板ステージの 前記 2次元平面内の回転及び前記 2次元平面に対する傾斜を演算し得る。 あるい は、 前記基板ステージが、 前記 2次元平面内で移動する第 2プレー卜と、 この 第 2プレー卜上に搭載されたレベリング駆動機構と、 このレベリング駆動機構に より支持され前記基板を保持する第 1プレー卜とを有し、 前記第 1プレー卜に前 記第 1、 第 2及び第 3反射面が設けられ、
前記レベリング駆動機構が、 前記第 1プレー卜を前記第 1、 第 2、 及び第 3の 干渉計の測長軸のそれそれのほぼ延長線上の異なる 3点で支持するとともに各支 持点で前記 2次元平面に垂直な方向に独立して駆動可能な 3つのァクチユエ一タ を含み、
前記演算装置が、 前記第 1、 第 2、 及び第 3の干渉計の計測値を用いて前記第 1反射面、 第 2反射面、 第 3反射面の前記 2次元平面に対する傾斜をそれぞれ演 算し、
前記演算装置の演算結果に応じて前記 3つのァクチユエ一夕を制御するァクチ ユエ一タ制御装置を更に備え得る。 これらの場合において、 前記マスクステージ ( R S T )が前記 2次元平面内で回動可能である場合には、前記演算装置(7 8 ) が、 前記第 2干渉計 (R I Y ) の計測値に基づいて前記基板ステージ (W S T ) の前記 2次元平面内の回転ずれ量を演算し、 前記ステージ制御系 (3 3、 7 8、 8 0 ) が、 前言己回転ずれ量が補正されるように前言己マスクステージを回転制御す るようにしても良い。 このようにすれば、 基板ステージ側に回転制御機構を保持 する必要が無くなるので、 その分ステージ装置の軽量化が可能になる。 第 Jの態様の走査型露光装置において、 さらに、 定盤と ;前記基板ステージ がその上部に配置されるとともに、 前記定盤上に配置され、 かつ前記定盤、 及び 前記基板ステージの夫々に対して相対移動が可能な第 2可動体と;前記定盤上に 設けられた前記第 2可動体を所定の応答周波数で駆動可能な駆動系と;前記駆動 系を介して数 H z以下の応答周波数で前記第 2可動体を位置制御する制御装置と を更に備え、 前記基板ステージの移動によって生じる反力に応じて前記第 2可動 体が移動するように構成され得る。 この場合、 前記基板ステージ (W S T ) の重 量が前記第 2可動体 (3 8 ) の重量の 1 / 9以下であり、 前記制御装置 (7 8 ) が、 露光又はァライメン卜前の前記第 2可動体の応答周波数と、 それ以外の応答 周波数を可変とし得るこれによれば、 基板ステージの位置制御精度を必要とする 露光又はァライメン卜のときは基板ステ一ジの加減速時の応答できなし、程度に第 2可動体の応答周波数を低くすれば、 基板ステージの重心移動による偏荷重を第 2可動体の重心移動によりキャンセルすることができ、 しかもそのときその第 2 可動体が逆方向に移動する距離を 1 / 1 0以下にすることができ、 上記の露光及 びァライメン卜以外の駆動動作時には第 2可動体の応答周波数を高〈することに よりこの位置制御が可能となり、 結果的にフッ卜プリントを小さくすることがで きる。 さらに、 この場合において、 前記第 2可動体 (3 8 ) の 2次元位置をモニ 夕する位置計測装置 (4 5 ) を更に備え、 前記制御装置 (7 8 ) は、 露光及びァ ライメン卜以外の前記基板ステージ (W S T ) の移動時に前記位置計測装置の計 測結果に基づいて前記第 2可動体の位置を所定の位置に補正するようにしても良 い。 本発明の第 σの態様に従えば、 エネルギービームが投射された領域に対して、 パターンが形成されたマスクと感応基板とを同期して移動することにより感応基 板を該パターンで暴露する走査暴露装置であって、
前記マスクを載置して移動可能なマスクステージと;
前記感応基板を載置して移動可能な基板ステージであって、 基板ステージの側 壁が少なくとも第 1、 第 2及び第 3の反射面を有し、 第 1〜第 3の反射面または それらの延長線が三角形を形成している基板ステージと;
第 1〜第 3の反射面にそれぞれ測長ビームを送光する干渉計システムとを備え る走査露光装置が提供される。 第 1〜第 3の反射面を形成する三角形は正三角形 にし得る。 エネルギービームは、 可視光、 紫外線、 X線のような任意の波長の光 または電磁波、 電子等の粒子線を用い得る。 本発明の第 Κの態様に従えば、 定盤 ( 2 2 ) と;前記定盤 (2 2 ) に対して相 対移動が可能であるとともに基板を保持する第 1可動体 (W S T ) と;前記第 1 可動体がその上部に配置されるとともに、 前記定盤上に配置され、 かつ前記定盤 と前記第 1可動体との夫々に対して相対移動する第 2可動体 (38) と;前記第 2可動体に設けられ、前記第 1可動体を 2次元平面内で移動する駆動装置(42) とを備え、 前記第 1可動体の移動によって生じる反力に応じて前記第 2移動体が 移動するように構成されていることを特徴とするステージ装置が提供される。 第 K態様のステージ装置によれば、 第 1可動体の重心移動による偏荷重を第 2 可動体の重心移動によりキャンセルすることが可能となるので、 ステージ装置全 体の重心を所定位置に保持できる。 この場合において、前記駆動装置(42)は、 前記第 2可動体上で前記第 1可動体を駆動するリニアァクチユエ一タを有し、 前 記第 1可動体 ( W S T ) 及び前記第 2可動体 (38) はそれぞれ前記第 2可動体 及び前記定盤上で非接触支持されていても良い。 また、 第 K態様のステージ装置において、 前記第 1可動体 (WS T) は、 前記 2次元平面上で直交する第 1及び第 2軸の各々と交差する方向に延びる第 1反射 面 (60a) と、 前記第 2軸方向に延びる第 2反射面 (60 b) と、 前記第 1軸 に関して前記第 1反射面とほぼ対称に配置された第 3反射面( 60 c )とを有し、 前記第 1、第 2及び第 3反射面にそれぞれ測長ビームを照射する 3つの干渉計( 7 6X 1、 76X 2、 76 Y) を更に備えていても良い。 かかる場合には、 2等辺 三角形状の第 1可動体を採用することができるので、 従来の基板ステージに比較 してその' J、型化が可能である。 また、 第 K態様のステージ装置において、 前記第 1可動体 (WST) は、 前記 基板 (W) が載置される第 1プレー卜 (T B) と、 前記第 1プレー卜を前記 2次 元平面と垂直な方向に移動し、 かつ前記 2次元平面に対して相対的に傾ける駆動 機構(58) と;前記駆動機構が載置される第 2プレー卜とを有していても良い。 第 K態様のステージ装置において、 第 1可動体は、 本発明の第 2実施形態に示 したように、 複数の可動部 (W S T 1、 W S T 2 ) を含み得る。 このように、 第 2可動体上に複数の可動部が配置されることにより、 駆動装置によりいずれかの 可動部が駆動された場合には、 その駆動力の反力により第 2可動体が移動して、 可動部の重心移動による偏荷重を第 2可動体の重心移動によりキャンセルするこ とができ、 結果的にステージ装置全体の重心を所定位置に保持できる。 同様に、 駆動装置により可動部が複数同時に駆動された場合には、 その駆動力の合力に対 応する反力により複数の可動部の重心移動による偏荷重を第 2可動体の重心移動 によりキャンセルするように第 2可動体が移動し、 結果的にステージ装置全体の 重心を所定位置に保持できる。従って、 ある可動部の動作が他の可動部に外乱と して作用することがないように可動部同士の動作の調整を行う必要がなくなるの で、 制御負担が軽減されるとともに、 各可動部の位置制御性をともに高く維持す ることができる。 また、 第 Κ態様のステージ装置において、 前記第 1可動体 (W S T ) の質量は 前記第 2可動体 (3 8 ) の質量のほぼ 1 / 9以下であり、 前記定盤 ( 2 2 ) 上で 前記第 2可動体を低応答周波数で駆動する第 2駆動装置 (4 4、 7 8 ) を更に備 えていることが望ましい。 かかる場合には、 第 1可動体の重心移動による偏荷重 は第 2可動体の重心移動によりキャンセルすることができ、 しかもそのときその 第 2可動体が逆方向に移動する距離を 1 / 1 0以下にすることができ、 また、 第 2可動体は第 1可動体の加減速時の反力に対しては応答できない程度の低い応答 周波数で第 2駆動装置により定盤上で駆動されるので、 第 1可動体の動きに影響 を与えることなく第 2可動体を駆動することが可能になる。 本発明の第 Lの態様に従えば、 第 Κ態様に従うステージ装置を備える走査型露 光装置であって、 マスク (R ) を保持するマスクステージ (R S T ) と;前記マ スク ( R )及び前記基板(W)の夫々と直交する光軸を有する投影光学系(PL) と;前記投影光学系を支持するとともに、 前記定盤 (22) が懸架される第 1架 台 ( 1 6) と;前記第 1架台を支持する防振装置 (20) とを備え、 前記マスク ステージと前記ステージ装置とによって前記マスクと前記基板とを同期移動して、 前記マスクのパターンを前記投影光学系を介して前記基板上に転写することを特 徴とする露光装置が提供される。 これによれば、 上記のマスクパターンの転写時 等の基板の第 1可動体の重心移動による偏荷重は第 2可動体の重心移動によりキ ヤンセルすることができ、 これにより防振装置の負荷を軽減するとともに、 偏荷 重による第 1架台部の歪を最小限に抑えることが可能となり、 結果的にマスクと 基板の位置決め精度を向上させることができる。 この走査型露光装置場合において、 前記マスクステージが載置される第 2架台 (26、 28) と;前記防振装置 (20) が配置される床上に設けられるととも に、 前記マスクステージの移動によって生じる反力に応じた力を前記マスクステ —ジ又は前記第 2架台に与えるァクチユエ一タを有するフレーム (72) とを更 に備えていてもよい。 かかる場合には、 マスクステージの移動によって生じる反 力に応じた力がァクチユエ一夕によりマスクステージ又は第 2架台に与えられる ので、 マスクステージの加減速時の振動が第 2架台を介して第 1架台に伝わるの を防止することができる。 また、 ここではマスクステージを移動するァクチユエ 一夕と前記第 2架台に与えるァクチユエ一夕を兼用してもよい。 この場合におい て、 前記防振装置 (20) が載置されるべ一スプレー卜 (BS) と;前記べ一ス プレー卜と前記フレーム (72) とを接続する弾性体 (70) とを更に備えてい ることが望ましい。 かかる場合には、 弾性体により第 1架台とフレームとの相互 間で振動が伝達されるのを防止することができるからである。 第し態様の露光装置において、 前記第 1可動体 (WST) は、 前記 2次元平面 上で前記基板 (w) の走査方向、 及びこれと直交する非走査方向の夫々と交差す る方向に沿って延びる第 1反射面 (70 a) と前記非走査方向に沿って延びる第 2反射面 (70 b) と、 前記走査方向に関して前記第 1反射面とほぼ対称に配置 される第 3反射面 (70 c) とを有し、 前記第 1、 第 2及び第 3反射面にそれそ れ測長ビームを照射する 3組みの干渉計 (76X 1、 76 X 2、 76X3) とを 備えていても良い。 かかる場合には、 2等辺三角形状の第 1可動体を採用するこ とができるので、 従来の基板ステージに比較してその小型化が可能であることか ら、 フットプリン卜をより一層の小さくすることができ、 第 1可動体の位置制御 応答性の向上によりマスクと基板の同期整定時間の短縮化およびこれによるスル —プッ 卜の向上が可能である。 この場合、 位置決め精度の向上により重ね合わせ 精度の向上する。 また、 第 L態様の露光装置において、 前記定盤 (22) に対する前記第 2可動 体 ( 38 ) の相対位置を計測する位置計測装置 (45) と ;前記基板 (W) の露 光動作、 及びァライメン卜動作以外では、 前記位置計測装置の出力に基づいて、 前記第 2可動体を前記定盤上の所定点に位置決めする第 2駆動装置( 44、 78 ) とを更に備えていることが望ましい。 かかる場合には、 第 2駆動装置が基板の露 光動作、 及びァライメン卜動作以外では、 位置計測装置の出力に基づいて、 第 2 可動体を定盤上の所定点に位置決めするので、 結果的にフッ 卜プリントをより小 さくすることが可能になる。 本発明の第 M態様に従えば、 マスク (R) と基板 (W) とを同期移動して、 前 記マスク Rのパターンを前記基板上に転写する走査型露光装置であって、 前記基 板が同期移動される第 1方向、 及びこれに直交する第 2方向とそれぞれ交差する 方向に沿って延びる第 1反射面 (60 a) と、 前記第 2方向に沿って延びる第 2 反射面 (60 b) とを有し、 前記基板を載置する基板ステージ (WS T) と;前 記第 1及び第 2反射面にそれぞれ測長ビームを照射する第 1、 第 2の干渉計 (7 6X 1、 76X 2) とを備えたことを特徴とする露光装置が提供される。 第 M態様の露光装置において、 前記マスク及び前記基板の夫々とほぼ直交する 光軸を有する投影光学系 (P L) を更に備え、 前記第 1及び第 2の干渉計はそれ それ測長軸が前記投影光学系の光軸で交差するように配置されていても良い。 こ の場合において、 前記基板上のマークに光ビームを照射するオファクシス■ァラ ィメン卜センサ (ALG)を更に備え、 前記第 1の干渉計 (76X 1 ) は、 前記 投影光学系 (P L) の光軸と交差する第 1測長軸 (R I X11) と、 前記オファク シス ·ァライメン卜センサの検出中心と交差する第 2測長軸 (R 1X12) とを有 していても良い。 また、 この場合において、 前記第 2の干渉計 (76Y) は、 第 2方向に離れた 2本の測長ビームを前記第 2反射面 (60 b) に照射し、 前記オファクシス■ァ ライメントセンサ (ALG) の検出中心は、 前記 2本の測長ビームによって規定 され、 かつ前記投影光学系 (PL) の光軸を通る前記第 2の干渉計の測長軸上に 配置されていることが望ましい。また、この場合において、前記基板ステ一ジ(W S T ) は、 前記第 1方向に関して前記第 1反射面 (60a) とほぼ対称に配置さ れる第 3反射面 (60 c) を有し、 前記第 3反射面に測長ビームを照射する第 3 の干渉計(76X2)を更に備えていても良い。この場合、前記第 3の干渉計(7 6X 2) は、 前記投影光学系の光軸と交差する第 3測長軸 (R I X21) と、 前記 オファクシス ·ァライメン卜センサの検出中心と交差する第 4測長軸( R I X 22 ) とを有していることが望ましい。 本発明の第 N態様に従えば、 マスク (R) と感応基板 (W) とを同期移動させ ることにより、 前記マスクのパターンを前記感応基板上に転写する露光方法であ つて、 前記感応基板 (W ) の前記同期移動方向及びこれに直交する非走査方向の 内、 少な〈とも非走査方向については、 該非走査方向と異なる方向の測長ビーム を用いて位置制御しつつ露光動作を行うことを特徴とする露光方法が提供される。 これによれば、 少なくとも非走査方向については、 これと異なる方向の測長ビー 厶を用いて位置制御が行われる。 すなわち、 走査方向に対して斜めに交差する方 向の測長ビームを用いて非走査方向の位置制御が行われるので、 前記測長ビーム に直交する方向の反射面を備えたステージであれば如何なる形状のステ—ジでも 感応基板のステージとして採用することが可能であり、 正方形又は長方形状等の 矩形のステージを用いる必要がなく、 ステージの形状の設計の自由度が向上し、 結果的に感応基板のステージを小型化することが可能になる。 本発明の第 0の態様に従えば、 ステージ装置の製造方法であって、
基板を保持して 2次元平面内を移動する第 1可動体を設け;
前記第 1可動体に、 前記 2次元平面内で所定の第 1軸及びこれに直交する第 2 軸と交差する方向に沿って延びる第 1反射面を設け;
前記第 1反射面に垂直に測長ビームを照射してその反射光を受 することによ り前記第 1可動体の第 3軸方向の位置を計測する第 1の干渉計を設け;
前記第 1の干渉計の計測値に基づいて前記第 1可動体の前記第 1軸及び第 2軸 で規定される直交座標系上の位置座標を演算する演算装置を設けることを含むス テ一ジ装置の製造方法が提供される。 本発明の第 Pの態様に従えば、 マスクに形成されたバターンを基板上に転写し て基板を露光する走査型露光装置の製造方法であって、
基板を保持して 2次元平面内を移動する基板ステージと、 前記基板ステージに 設けられ、 前記 2次元平面内で所定の第 1軸及びこれに直交する第 2軸と交差す る方向に沿って延びる第 1反射面と、 前記第 1反射面に垂直に測長ビームを照射 してその反射光を受光することにより前記基板ステージの第 3軸方向の位置を計 測する第 1の干渉計と、 前記第 1の干渉計の計測値に基づいて前記基板ステージ の前記第 1軸及び第 2軸で規定される直交座標系上の位置座標を演算する演算装 置とそれぞれ設けることによってステージ装置を製造し; さらに、
マスクを保持するマスクステ一ジを設け;
前記マスクステージと基板ステージとを同期して前記第 1軸方向に沿って相対 移動させるステージ制御系を設けることを含み;
ここに、 走査型露光装置は、 前記ステージ制御系による前記マスクステージと 前記基板ステージとの相対移動時に前記マスクに形成されたバタ一ンを前記基板 ステージ上の基板に転写する、 走査型露光装置の製造方法が提供される。 本発明の第 Qの態様に従えば、 ステージ装置の製造方法であって、
定盤を設け;
前記定盤に対して相対移動が可能であるとともに基板を保持する第 1可動体を 設け;
前記第 1可動体がその上部に配置され、 かつ前記定盤と前記第 1可動体との 夫々に対して相対移動する第 2可動体を前記定盤上に配置し;
前記第 1可動体を 2次元平面内で移動する駆動装置を前記第 2可動体に設ける ことを含み、
ここに、 ステージ装置は前言己第 1可動体の移動によって生じる反力に応じて前 記第 2移動体が移動するように構成されている、 ステージ装置の製造方法が提供 される。 本発明の第 Rの態様に従えば、 走査型露光装置の製造方法であって、 定盤と;前記定盤に対して相対移動が可能であるとともに基板を保持する第 1 可動体と;前記第 1可動体がその上部に配置されるとともに、 前記定盤上に配置 され、 かつ前記定盤と前記第 1可動体との夫々に対して相対移動する第 2可動体 と;前記第 2可動体に設けられ、 前記第 1可動体を 2次元平面内で移動する駆動 装置とをそれぞれ設けることによって、 前記第 1可動体の移動によつて生じる反 力に応じて前記第 2移動体が移動するように構成されているステージ装置を製造 し;さらに、
マスクを保持するマスクステージを設け;
前記マスク及び前記基板の夫々と直交する光軸を有する投影光学系を設け; 前記投影光学系を支持するとともに、 前記定盤が懸架される第 1架台を設け; 前記第 1架台を支持する防振装置を設けることを含み、
ここに、 走査型露光装置は、 前記マスクステージと前記ステージ装置とによつ て前記マスクと前記基板とを同期移動して、 前記マスクのパターンを前記投影光 学系を介して前記基板上に転写する、 走査型露光装置の製造方法が提供される。 本発明の第 Sの態様に従えば、 マスクと基板とを同期移動して、 前記マスクの パターンを前記基板上に転写する走査型露光装置の製造方法であって、
前記基板を載置する基板ステージを設け
前記基板が同期移動される第 1方向及びこれに直交する第 2方向とそれぞれ交 差する方向に沿って延びる第 1反射面と、 前記第 2方向に沿って延びる第 2反射 面とを前記基板ステージに設け;
前記第 1及び第 2反射面にそれぞれ測長ビームを照射する第 1、第 2の干渉計 とを設けることを含む走査型露光装置の製造方法が提供される。 本発明の第 Tの態様に従えば、 マスク (R ) と感応基板 (W ) とを同期移動さ せることにより、 前記感応基板 (W ) 上の複数のショッ卜領域 (S 1、 S 2等) に前記マスクのパターンを順次転写する走査型露光装置であって、 前記感応基板 (W ) を保持して 2次元平面内を移動する基板ステージ (W S T ) と;前記マス クを保持して移動可能なマスクステージ (R S T ) と;前記基板ステージの露光 終了後の次ショッ卜露光のための助走動作と次ショッ 卜露光のための非走査方向 へのステッピング動作とが同時並行的に行われ、 かつ前記非走査方向へのステツ ビング動作が次ショッ卜露光前の前記両ステージの同期整定期間の前に終了する ように、 前記両ステージを制御するステージ制御系 (3 3、 7 8、 8 0 ) とを備 えている走査型露光装置が提供される。
• これによれば、 感応基板上の複数のショッ 卜領域に順次マスクのパターンを転 写するに際し、 ステージ制御系ではあるショヅ卜の走査露光終了後に、 基板ステ ージの露光終了後の次ショッ 卜露光のための助走動作 (ショッ卜を走査露光する 露光時間の前後のプリスキャン, 才一バ一スキャン) と次ショッ ト露光のための 非走査方向へのステツビング動作とが同時並行的に行われ、 かつ非走査方向への ステッピング動作が次ショッ 卜露光前の両ステージの同期整定期間の前に終了す るように、 両ステージを制御する。 このため、 基板ステージの走査方向について の助走動作と非走査方向についての次ショッ 卜 (非走査方向の隣接ショッ卜) に 対するステッピング動作とが同時並行的に行われ、 前記①〜③の動作から成る 従来の基板ステージのショヅ ト間の移動制御に比べて移動時間が短くなる。勿論、 従来においても走査方向移動ステージと非走査方向移動ステージとから成る 2段 構造の基板ステージであれば、 上記の①と②の動作は同時並行的に行うことは 可能であるが、 この場合、 ステッピングが終了した時点では、 未だ次ショッ卜の 走査は開始されていないので、 ステッピング動作が次ショウ卜露光前の両ステ一 ジの同期整定期間の前に終了する、換言すればステツビングが終了した時点では、 既に③の動作が開始されて加速期間が終了している分、 本発明の方がスループ ッ卜が向上することは明らかである。 また、 本発明によれば、 ステッピングが次 ショッ 卜露光前のマスクステージと基板ステージの同期整定期間の前に終了する ので、 同期整定期間では両ステージの等速同期制御のみに専念できるので、 整定 時間が長くなることもない。 第 Tの態様の露光装置において、前記ステージ制御系(3 3、 7 8、 8 0 )は、 前ショッ卜露光後の前記マスクステージ (R S T ) の等速移動時間と減速時間と から成る才一バスキャン時に対応する前記基板ステージ (W S T ) の非走査方向 の加速度が、 次ショッ 卜の露光開始前の前記マスクステージ (マスクステージ) のプリスキャン時に対応する部分の非走査方向の減速度より絶対値が大きくなる ように前記両ステージを制御することがより望ましい。 かかる場合には、 ステツ ビングの際の高加速時に生じる露光装置本体の揺れ (振動) 等が減速の間に減衰 するので、 ステッピングが終了した時点、 すなわちマスクステージと基板ステー ジとの同期整定期間前には前記振動を完全に減衰させることができ、 その分制御 性が改善され、整定時間が短縮してスループッ卜を向上させることが可能になる。 本発明の第 Uの態様に従えば、 基板 (W ) 上の複数の区画領域 (S 1 、 S 2 ) にマスク (R ) のパターンを順次転写する走査露光方法において、 前記マスクと 前記基板とを同期移動して、 前記複数の区画領域の 1つ (S 1 ) を走査露光し、 前言己基板が同期移動される第 1方向と直交する第 2方向に関して前記 1つの区画 領域と隣接する別の区画領域 (S 2 ) を走査露光するために、 前記 1つの区画領 域 (S 1 ) の走査露光終了後の前記基板の前記第 2方向へのステッピング動作が 終了する前に前記基板の前記第 1方向への加速を開始することを特徴とする方法 が提供される。 これによれば、 マスクと基板とを同期移動して、 複数の区画領域の 1つが走査 露光された後、 第 1方向 (走査方向) と直交する第 2方向 (非走査方向) に関し て 1つの区画領域と隣接する別の区画領域を走査露光するために、 1つの区画領 域の走査露光終了後の基板の第 2方向へのステツビング動作が行われるが、 この ステッピング動作が終了する前に基板の第 1方向への加速が開始される。 すなわ ち、 1つの区画領域の露光の終了後に前記 1つの区画領域の非走査方向の隣接す る別の区画領域への移動が開始されるがこの途中で走査方向につし、ての基板の加 速が開始されるので、 前記の非走査方向の隣接する別の区画領域への移動時間に 隣接領域の露光のための走査方向加速時間を少な〈とも一部才一パーラップさせ ることができ、 隣接する別の区画領域の露光のための非走査方向へのステツピン グ動作が終了してから隣接領域の露光のための走査方向の加速が開始される従来 例に比べてスループッ卜を向上させることが可能である。 この場合において、 前記基板 (W ) は、 前記別の区画領域 (S 2 ) の走査露光 前に、 前記加速によって前記第 1及び第 2方向に対して斜めに移動され、 かつ前 記第 1方向の移動速度が前記基板の感度特性に応じた速度に設定されることが望 ましい。 かかる場合には、 別の区画領域 (S 2 ) の走査露光前に第 1方向の移動 速度が基板の感度特性に応じた速度に設定されるので、 露光中はその速度を維持 しマスクを同期制御すれば良いので、 制御が容易になる。 また、 早期走査露光方 法において、 前記 1つの区画領域 (S 1 ) の走査露光終了後に、 前記別の区画領 域を走査露光するために必要な助走距離だけ前記基板が前記第 1方向に離れるま で、 前記基板を第 1方向については減速させつつ前記第 2方向に移動させても良 い。 また、 上記走査型露光装置において、 前記基板 (W ) は、 前記 1つの区画領域 ( S 1 ) の走査露光と前記別の区画領域 (S 2 ) の走査露光との間で、 前記第 1 方向の速度成分と前記第 2方向の速度成分との少な〈とも一方が零とならないよ うに移動されることが望ましい。 かかる場合には、 1つの区画領域 (S 1 ) の走 査露光と前記別の区画領域 (S 2 ) の走査露光との間で、 基板は停止することな 〈移動が行われるので、 その分スループッ 卜が向上するからである。 また、 上記走査型露光装置において、 前記基板 (W ) は、 前記 1つの区画領域 ( S 1 ) の走査露光と前記別の区画領域 (S 2 ) の走査露光との間で、 前記第 1 方向の移動速度が零となる前記第 2方向の位置が前記 1つの区画領域よりも前記 別の区画領域に近〈なるように移動されるようにしても良い。 かかる場合には、 1つの区画領域の走査露光と別の区画領域の走査露光との間の基板の非走査方向 の加速度と減速度とが等しい場合であつても、 必ず別の区画領域の走査露光の開 始前のある一定時間前には非走査方向の速度がゼロとなっているので、 別の区画 領域の走査露光開始前一定時間の時点では非走査方向の移動が終了する。従って、 1つの区画領域の走査露光と別の区画領域の走査露光との間の基板の非走査方向 の加速後の減速度を大きくする必要がなく、 別の区画領域の走査露光開始時点で この影響が残ることがなく同期整定時間が不要に長〈なることがない。 本発明の第 Vの態様に従えば、 マスク (R ) と基板 (W ) とを同期移動して、 前記基板が同期移動される第 1方向とほぼ直交する第 2方向に沿って配列される 前記基板上の第 1区画領域 ( S 1 ) と第 2区画領域 ( S 2 ) とをそれぞれ前記マ スクのパターンで走査露光する方法において、 前記第 1区画領域の走査露光終了 後に、 前記基板の第 1方向の移動速度が零となるまで、 前記基板を減速させつつ 前記第 2方向に移動し、 かつ前記第 2区画領域の走査露光前に、 前記基板を前記 第 1方向に加速させつつ前記第 2方向に移動することを特徴とする方法が提供さ れる。 これによれば、 前記第 1区画領域の走査露光終了後に、 基板は方物線状の 経路に沿って移動されるので、 最短距離に近い経路で基板が移動され、 その分ス ル一プッ卜の向上が可能となる。 本発明の第 Wの態様に従えば、 マスク (R ) と基板 (W ) とを同期移動して、 前記基板 (W ) が同期移動される第 1方向とほぼ直交する第 2方向に配列される 前記基板上の第 1区画領域 ( S 1 ) と第 2区画領域 ( S 2 ) とにそれぞれ前記マ スクのパターンを転写する走査露光方法において、 前記第 1区画領域 (S 1 ) の 走査露光後に、前記基板をその移動軌跡がほぼ放物線状になるように移動した後、 前記マスクのパターンで前記第 2区画領域 (S 2 ) を走査露光することを特徴と する方法が提供される。 これによれば、 第 1区画領域の走査露光後、 第 2区画領 域の走査露光の開始前に、 基板をその移動軌跡がほぼ放物線状になるように移動 するので、 この移動の終了部分では基板はほぼ第 1方向に沿って移動され、 走査 露光開始後に基板の非走査方向の速度成分が走査露光に影響を与えることがない。 この場合において、 前記マスク (R ) は、 前記基板 (W ) の前記第 2方向の速 度成分が零となる前に加速が開始されることが望ましい。 かかる場合には、 マス クは、 基板の第 2方向の速度成分が零となる前に加速が開始されるので、 基板の 第 2方向の速度成分が零となつてからマスクの加速が開始される場合に比べて、 マスクと基板とが等速同期状態になるまでの時間が短縮され、 その分スループッ 卜の向上が可能だからである。 本発明の第 Xの態様に従えば、 マスク (R ) と基板 (W ) とを同期移動して、 前記基板が同期移動される第 1方向とほぼ直交する第 2方向に配列される前記基 板上の第 1区画領域 ( S 1 ) と第 2区画領域 (S 2 ) とにそれぞれ前記マスクの パターンを転写する走査露光方法において、 前記第 1区画領域 ( S 1 ) の走査露 光終了後の前記基板の減速中、 及び前記第 2区画領域の走査露光前の前記基板の 加速中に、 前記基板を前記第 1及び第 2方向と交差する方向に移動することを特 徴とする方法が提供される。 これによれば、 第 1区画領域の走査露光終了後の基 板の減速中、 及び第 2区画領域の走査露光前の基板の加速中に、 基板を第 1及び 第 2方向と交差する方向に移動するので、 結果的に基板の移動軌跡は従来のコ字 経路に比べて短〈なり、 最短距離に近い経路で基板が移動され、 その分スループ ッ卜の向上が可能となる。 なお、 この場合、 基板の移動軌¾ ^は V字状であっても良いが、 第 1区画領域の 走査露光と第 2区画領域の走査露光との間で基板を停止することなく移動して、 その軌跡を放物線状 (又は U字状) とすることが望ましい。 この場合、 基板の移 動軌跡は最短とはならないが、 基板が停止することがないので、 才一バースキヤ ン、 ステッピング、 及びプリスキャンの総所要時間 (ショヅ卜間での基板の移動 時間) は最も短くなる。 本発明の第 Yの態様に従えば、 マスク (R ) と基板 (W ) とを同期移動して、 前記基板 (W ) が同期移動される第 1方向と直交する第 2方向に沿って配列され る前記基板上の第 1区画領域 (S 1 ) と第 2区画領域 (S 2 ) とに前記マスクの パターンを順次転写する走査露光方法において、 前記第 1区画領域 (S 1 ) の走 査露光終了後、 前記基板の前記第 2方向の位置が前記第 2区画領域 (S 2 ) の前 記第 2方向の位置と一致する前に、 前記第 2区画領域の走査露光のための前記基 板の加速を開始することを特徴とする方法が提供される。 これによれば、 第 1区 画領域の走査露光の終了後、 第 2区画領域へ向けて第 2方向についての基板の移 動が開始されるが、 この途中で第 2区画領域の走査露光のための基板の第 1方向 についての基板の加速が開始されるので、 第 2区画領域の走査露光のための第 2 方向の基板の移動が終了してから第 2区画領域の走査露光のための加速が開始さ れる場合に比べてスループッ卜を向上させることが可能である。 この場合において、前記第 1区画領域(S 1 )の走査露光終了後、前記基板(W ) の前記第 1方向の速度成分が零となる前に前記基板を前言己第 1方向に対して斜め に移動し、 かつ前記基板の加速開始直後は、 前記第 1及び第 2方向の各速度成分 が零とならないように前記基板を移動することが望ましい。 本発明の第 Zの態様に従えば、 マスク (R ) と基板 (W ) とを同期移動して、 前記基板が同期移動される第 1方向と直交する第 2方向に沿って配列される前記 基板上の第 1区画領域 (S 1 ) と第 2区画領域 (S 2 ) とに前記マスクのパター ンを順次転写する走査露光方法において、 前記第 1区画領域 (S 1 ) の走査露光 終了後の前記基板 (W ) の前記第 2方向の速度成分が零となる前に、 前記第 2区 画領域の走査露光のための前記基板の加速を開始することを特徴とする方法が提 供される。 これによれば、 第 1区画領域の走査露光の終了後、 第 2区画領域へ向 けて第 2方向についての基板の移動が行われるが、 この移動が終了して基板の第 2方向の速度成分が零となる前に、 第 2区画領域の走査露光のための基板の加速 が開始されるので、 第 2区画領域の走査露光のための第 2方向の基板の移動が終 了してから第 2区画領域の走査露光のための加速が開始される場合に比べてスル —プッ卜を向上させることが可能である。 この場合において、 前記基板 (W ) は、 前記第 1方向に関して加速され、 かつ 前記第 2方向に関して減速されること、 すなわち、 基板の第 2方向への減速中に 第 1方向への加速が第 2区画領域の走査露光前に行われることが望ましい。 上記第 Zの態様の方法において、 前記第 1区画領域の走査露光終了後の前記基 板の前記第 1方向の速度成分が零となる前に、 前記基板の前記第 2方向への加速 を開始することが望ましい。 本発明の第 αの態様に従えば、 マスク (R ) と基板 (W ) とを同期移動して、 前言己基板が同期移動される第 1方向と直交する第 2方向に沿って配列される前記 基板上の第 1区画領域 ( S 1 ) と第 2区画領域 (S 2 ) とに前記マスクのパター ンを順次転写する走査露光方法において、 前記第 1区画領域 (S 1 ) の走査露光 終了後に前記基板の前記第 1方向の速度成分が零となる前記基板の前記第 2方向 の位置を、 前記第 2区画領域の前記第 2方向の位置よりも前記第 1区画領域側と し、 かつ前記第 2区画領域を走査露光するために、 前言己第 1及び第 2方向に対し て斜めに前記基板を移動することを特徴とする方法が提供される。これによれば、 前記第 1区画領域の走査露光終了後の基板の移動軌跡は従来のコ字経路に比べて 短くなり、 最短距離に近い経路で基板が移動され、 その分スループッ 卜の向上が 可能となる。 なお、 'この場合、 基板の移動軌跡は V字状であっても良いが、 第 1 区画領域の走査露光と第 2区画領域の走査露光との間で基板を停止することなく 移動して、 その軌跡を放物線状 (又は U字状) とすることが望ましい。 本発明の第Sの態様に従えば、 マスク (R ) と基板 (W ) とを同期移動して、 前記基板が同期移動される第 1方向と直交する第 2方向に沿って配列される前記 基板上の第 1区画領域 ( S 1 ) と第 2区画領域 (S 2 ) とに前記マスクのパター ンを順次転写する走査露光方法において、 前記第 1区画領域 (S 1 ) の第 1走査 露光と前記第 2区画領域 (S 2 ) の第 2走査露光とで前記基板を逆向きに移動す るために、 前記第 1走査露光終了後に前記基板の前記第 1方向の速度成分を零と し、 かつ前記第 2走査露光に先立って前記第 1及び第 2方向の各速度成分が零と ならないように前言己基板を加速することを特徴とする方法が提供される。 これに よれば、 基板は第 2走査露光に先立って曲線状 (又は直線状) の経路に沿って第 1及び第 2方向に対し斜めに移動されることとなる。 本発明の第ァの態様に従えば、 マスク (R ) と基板 (W ) とを同期移動して、 前記基板が同期移動される第 1方向と直交する第 2方向に沿って配列される前記 基板上の第 1区画領域 (S 1 ) と第 2区画領域 (S 2 ) とに前記マスクのパター ンを順次転写する走査露光方法において、 前記第 1区画領域 (S 1 ) の第 1走査 露光と前記第 2区画領域 (S 2 ) の第 2走査露光との間、 前記第 1走査露光終了 後の前記第 1方向の速度成分が零となる前記基板の前記第 2方向の位置が、 前記 第 1区画領域の前記第 2方向の位置と前記第 2区画領域の前記第 2方向の位置と の間になるように前記基板を移動することを特徴とする方法が提供される。 これ によれば、 第 1走査露光が終了すると、 基板の第 1方向の速度を減速しつつ第 2 方向への移動が行われ、 この際、 基板の第 1方向の速度成分が零となる基板の第 2方向の位置が、 第 1区画領域の第 2方向の位置と第 2区画領域の第 2方向の位 置との間になるように基板が移動される。 従って、 第 1走査露光が終了すると、 基板は曲線状 (又は直線状) の経路に沿って第 1及び第 2方向に対し斜めに移動 されることとなる。 本発明の第 <5の態様に従えば、 マスク (R ) と基板 (W ) とを同期移動して、 前記基板が同期移動される第 1方向と直交する第 2方向に沿って配列される前記 基板上の第 1区画領域 ( S 1 ) と第 2区画領域 (S 2 ) とに前記マスクのパター ンを順次転写する走査露光方法において、 前記第 1区画領域 (S 1 ) の第 1走査 露光と前記第 2区画領域 (S 2 ) の第 2走査露光との間の前記基板の移動軌跡が ぼぼ放物線状となるように、 前記第 1走査露光後の前記基板の減速中、 及び前記 第 2走査露光前の前記基板の加速中、 前記第 2方向の速度成分を零とすることな 〈前記基板を移動することを特徴とする方法が提供される。 これによれば、 第 1 区画領域の第 1走査露光と前記第 2区画領域の第 2走査露光との間の基板の移動 軌跡がほぼ放物線状となり、 しかも第 2方向の速度成分を零とすることなく基板 が移動されるので、 基板が停止することがなく、 オーバ一スキャン、 ステツピン グ、 及びプリスキャンの総所要時間 (ショッ 卜間での基板の移動時間) はほぼ最 短となる。 この場合において、 前記第 1走査露光の終了直後、 及び前記第 2走査露光の開 始直前は、 前記基板 (W ) の前記第 2方向の速度成分をほぼ零としても良い。 本発明の第 の態様に従えば、 マスク (R ) と基板 (W ) とを同期移動して、 前記基板が同期移動される第 1方向と直交する第 2方向に沿って配列される前記 基板上の第 1区画領域 (S 1 ) と第 2区画領域 (S 2 ) とに前記マスクのパター ンを順次転写する走査露光方法において、 前記第 1区画領域 (S 1 ) の第 1走査 露光と前記第 2区画領域 ( S 2 ) の第 2走査露光との間、 前記第 1走査露光終了 後の前記基板の前記第 1方向の速度成分が零となる前に、 前記基板の前記第 2方 向への加速を開始し、 かつ前言己基板の前記第 2方向の速度成分が零となる前に、 前言己基板の前記第 1方向への加速を開始することを特徴とする方法が提供される c これによれば、 第 1区画領域の第 1走査露光と第 2区画領域の第 2走査露光との 間の基板の移動軌跡は、 U字状あるいはそれに近い経路となる。 この場合におい て、 前記基板の前記第 1方向への加速は、 前記第 2方向に関する前記基板の減速 中に開始されることが望ましい。 また、 前記基板 (W ) の前記第 2方向への加速 は、 前記第 1走査露光終了後の前記基板の減速中に開始されることが望ましい。 上記第 Vの態様〜第 の態様において、 前記基板は、 前記第 1区画領域の走 査露光と前記第 2区画領域の走査露光との間で停止することなく移動されること が望ましい。 本発明の第 7?の態様に従えば、 基板 (W ) 上の区画領域毎にマスク (R ) と 前記基板とを同期移動して、 前記基板上の複数の区画領域 (S 1、 S 2、 S 3、 ……) に前記マスクのパターンを順次転写するステップアンドスキャン方式の 走査露光方法において、 前記マスクの往復移動 (こよって前記マスクのパターンが 転写される前記基板上の 2つの区画領域の走査露光間で前記基板を停止すること なく移動することを特徴とする方法が提供される。 これによれば、 基板上の順次 マスクのパターンが転写される 2つの区画領域 (通常は隣接領域) の走査露光間 で基板が停止することがないので、 その部分に関してはよりスループッ卜が向上 する。 この場合において、 前記基板 (W ) は、 前記マスク (R ) のパターンを転写 すべき前記基板上の最後の区画領域の走査露光が終了するまで、 前記基板が同期 移動される第 1方向、 及びそれと直交する第 2方向の少な〈とも一方の速度成分 が零とならないように移動されることが望ましい。 かかる場合には、 結果的に複 数区画領域の全てにステツプアンドスキャン方式の走査露光が行われる間基板が 停止することがないので、 最もスループッ卜が向上する。 本発明の第 yUの態様に従えば、 マスクのパターンを基板上に転写する露光装置 であって、 定盤と;前言己定盤に対して相対移動が可能であるとともに基板をそれ それ保持する少なくとも 2つの第 1可動体と ;前記各第 1可動体がその上部に配 置されるとともに、 前記定盤上に配置され、 かつ前記定盤及び前記各第 1可動体 のそれぞれに対して相対移動する第 2可動体と;前記第 2可動体に設けられ、 前 記各第 1可動体を 2次元平面内で駆動する駆動装置とを備え、
前記各第 1可動体の駆動の際の反力に応じて前記第 2可動体が移動し、 前記マスクのバタ一ンが転写される基板が前記各第 1可動体に保持されること を特徴とする露光装置が提供される。 この露光装置において、 前記各第 1可動体 の質量は前記第 2可動体の質量のほぼ 1 / 9以下にし得、 前記定盤上で前記第 2 可動体を低応答周波数で駆動する第 2の駆動装置を更に備え得る。 また、 前記マ スクのパターンを前記基板に投影する投影光学系を更に備える場合には、 前記駆 動装置が、 前記各第 1可動体にそれぞれ保持された基板に前記マスクのパターン を転写する際に、 そのパターン転写の対象の基板を保持する前記第 1可動体を前 記マスクと同期して前記投影光学系に対して走査方向に駆動しても良い。 本発明の第 の態様に従えば、 マスクと基板とを同期移動して、 前記基板上 の 1又は 2以上の区画領域に前記マスクのパターンを転写する走査露光方法にお いて、 前記各区画領域に対する走査露光に際して、 前記マスクと前記基板との同 期移動に先立って、 前記マスク及び前記基板の少なくとも一方を、 その加速度が 徐々に零に収束するような加速度変化曲線に基づいて前記同期移動方向に沿って 加速することを特徴とする方法が提供される。 これによれば、 各区画領域に対する走査露光に際して、 マスクと基板との同期 移動に先立って、 マスク及び基板の少な〈とも一方が、 その加速度が徐々に零に 収束するような加速度変化曲線に基づいて同期移動方向に沿って加速されること から、 一定加速度で目標走査速度へ加速する場合のように加速終了時点で加速度 が不連続に、 すなわち急激に変化することがない。従って、 この加速度の急激な 変化に起因するマスク及び基板の少なくとも一方の高周波振動を抑制することが でき、 目標位置 (これは当然に時間的に変化する) に対する位置誤差を速やかに 許容範囲内に収束させることができ、 結果的にマスクと基板の同期整定時間を短 縮することができる。 ここで、 マスク及び基板を上記のような加速度変化曲線に基づいて同期移動方 向に沿って加速する場合が、 整定時間を最も短縮することができるが、 通常、 走 査型露光装置においては、 マスク (マスクステージ) 及び基板 (基板ステージ) の所定の一方の最高加速度等が制約条件になっているので、 この制約条件となつ ている方に上記の加速方法を採用すれば、 十分な効果を得ることができる。 この場合において、 前記基板が同期移動される第 1方向に直交する第 2方向に 沿つて配列される前記基板上の第 1区画領域と第 2区画領域とに前記マスクのパ ターンを順次転写するに際しては、 前記第 1区画領域の走査露光終了後の前記基 板の第 1方向の減速中及び前記第 2区画領域の走査露光前の前記基板の第 1方向 の加速中に、 前記基板を前記第 1及び第 2方向と交差する方向に移動するように しても良い。 かかる場合には、 結果的に最短距離に近い経路で基板が移動される ので、 上記の整定時間の短縮とあいまってスループッ卜の更なる向上が可能であ o 本発明の第 Λ:の態様に従えば、 マスクと基板とを同期移動して、 前記基板が 同期移動される第 1方向に直交する第 2方向に沿って配列される前記基板上の第 1区画領域と第 2区画領域とに前記マスクのパターンを順次転写する走査露光方 法において、 少なくとも前記第 1の区画領域に対する走査露光に際して、 前記マ スク及び前記基板の少なくとも一方を、 前記マスクと前記基板との同期移動に先 立ってその加速度が徐々に零に収束するような加速度変化曲線に基づいて前記第 1方向に沿って加速するとともに、 前記同期移動の終了後に一定減速度で前記第 1方向に沿つて減速することを特徴とする方法が提供される。 これによれば、 第 1の区画領域に対する走査露光に際して、 マスク及び基板の 少なくとも一方を、 マスクと基板との同期移動に先立ってその加速度が徐々に零 に収束するような加速度変化曲線に基づいて第 1方向に沿って加速するとともに、 前記同期移動の終了後に一定減速度で前記第 1方向に沿って減速する。このため、 一定加速度で目標走査速度へ加速する場合のように加速度が急激に変化すること がないので、 マスク及び基板の少な〈とも一方の位置誤差を速やかに許容範囲内 に収束させ、 結果的にマスクと基板の同期整定時間を短縮することができること に加え、 同期移動の終了後の減速時には一定減速度 (通常最大加速度に対応する 減速度) で減速するので、 加速時と対称な加速度曲線に基づいて減速が行われる 場合に比べて減速に要する時間を短縮することができる。 従って、 少なくとも第 1の区画領域に対する走査露光に際しては、 マスク及び基板の少な〈とも一方に つし、ては加速開始から減速終了までの総トー夕ル時間をより短縮することができ る この場合も、 マスク (マスクステージ) 及び基板 (基板ステージ) の内、 両者 あるいは少なくとも制約条件になっている方に上記の加速度制御方法を採用すれ ば、 十分な効果を得ることができる。 上記方法において、 前記第 1区画領域の走 査露光終了後の前記基板の第 1方向の減速中及び前記第 2区画領域の走査露光前 の前記基板の第 1方向の加速中に、 前記基板を前記第 1及び第 2方向と交差する 方向に移動するようにしても良い。 かかる場合には、 結果的に最短距離に近い経 路で基板が移動されるので、 上記の同期整定時間及び減速時間の短縮とあいまつ てスループッ卜のより一層の向上が可能である。 本発明の第 λの態様に従えば、 エネルギー線が照射される領域に対してマスク と基板とを同期して移動して前記基板上に前記マスクのパターンを転写する走査 露光方法において、
マスク及び基板を加速しながら移動を開始し、
マスク及び基板の一方の加速度を連続的に低下させながら、 マスク及び基板を 等速度に至らしめ、
該マスク及び基板が等速度で移動しているときに走査露光を実行することを特 徴とする走査露光方法が提供される。該マスク及び基板が等速度で移動した後に、 マスク及び基板の一方を一定の減速度で減速し得る。 本発明の第レの態様に従えば、 マスクと感応基板とを同期移動させることに より、 前記感応基板上の複数のショッ 卜領域に前記マスクのパターンを順次転写 する走査型露光装置の製造方法であって、
前記感応基板を保持して 2次元平面内を移動する基板ステージを設け; 前記マスクを保持して移動可能なマスクステージを設け;
前記基板ステージの露光終了後の次ショッ卜露光のための助走動作と次ショッ 卜露光のための非走査方向へのステツビング動作とが同時並行的に行われ、 かつ 前記非走査方向へのステツビング動作が次ショッ卜露光前の前記両ステージの同 期整定期間の前に終了するように、 前記両ステージを制御するステージ制御系と を設けることを含むことを特徴とする走査型露光装置の製造方法が提供される。 さらに本発明の第 7Γの態様に従えば、 第 C , F , G及び Hのいずれかの態様 に従う露光方法を用いて製造された、 半導体素子や液晶基板などのマイクロデバ イスが提供される。 さらに本発明の第 Pの態様に従えば、 第 N, U, V , W, X , Υ , Z , α , β , 7 , δ , ,?7, ί及び《のいずれかの態様に従うの露光方法を用いて製造され た半導体素子や液晶基板などのマイクロデバイスが提供される。 図面の簡単な説明
図 1は、 本発明の一実施形態の走査型露光装置を示す斜視図である。
図 2は、 図 1の走査型露光装置の内部構成を概略的に示す図である。
図 3は、 リアクションァクチユエ一夕及びリアクションフレームを説明する ための図である。
図 4の (Α ) はレチクルべ一ス定盤近傍の平面図であり、 (Β ) は (Α ) の 矢印 Β方向から見たレチクルべ一ス定盤近傍の側面図である。
図 5は、 図 2のレーザ干渉計システムをより詳細に説明するための図であつ て、 (Α ) はレーザ干渉計システムを構成する 3つの干渉計からの干渉計ビーム を基板テーブル Τ Βとともに示す平面図であり、 (Β ) は第 2の干渉計からの干 渉計ビームを該干渉計を構成する一部の光学系とともにより詳細に示す図であり、 (C) は第 2の干渉計からの測長ビーム R I Y1 (又は R I Y2 ) と測長ビ一厶 R I Y3 の位置関係を説明するための図である。
図 6は、 基板テーブル上に取り付けられた空間像検出器の構成とそれに関連 した信号処理系の構成を概略的に示す図である。
図 7は、 可動型定盤の役割及びその制御方法について説明するための図であ つて、 (A) は定盤付近の概略平面図、 (B) は (A) の矢印 A方向から見た概略 正面図である。
図 8の (A) は 9インチレチクルの一例を示す平面図であり、 (B) は 9ィ ンチレチクルの他の一例を示す平面図である。
図 9は、 レチクルァライメン卜及びべ一スライン計測を説明するための図で あ 。
図 1 0の (A) は投影光学系の有効フィールドに内接するウェハ上のスリツ 卜状の照明領域とショッ卜領域 S 1 との関係を示す平面図であり、 (B) はステ ージ移動時間とステージ速度との関係を示す線図であり、 (C) はウェハ周辺の ショッ卜領域 Sを露光する場合のウェハ周辺ショッ卜 Sと移動鏡長延長分との関 係を説明するための図である。
図 1 1の (A) はショット S 1 , S 2, S 3を順次露光する場合のウェハ上 照明スリッ ト S Tの中心 Pが各ショッ 卜上を通過する軌跡を示す図であり、 (B) は (A) の場合のウェハステージのスキャン方向の速度と時間の関係を示 す線図であり、 (C) はそれに対応した非スキャン方向の速度と時間の関係を示 す線図である。
図 1 2は、 ウェハ Wを交換するための口一ディングポジションにウェハステ ージが位置するときの可動型定盤近傍の平面図である。
図 1 3は、 ァライメン卜計測の際のウェハステージの移動の様子を示す可動 型定盤近傍の平面図である。
図 1 4は、 露光開始時の位置にウェハステージが位置するときの可動型定盤 近傍の平面図である。
図 1 5は、 第 1領域の露光終了時の位置にウェハステージが位置するときの 可動型定盤近傍の平面図である。
図 1 6の (A) は変則的な二重露光を行う際のレチクル R (レチクルステー ジ R ST) の移動記載を示す図であり、 (B) は変則的な二重露光に最適なレチ クル上の第 1領域と第 2領域のパターンの一例を示す図である。
図 1 7は、 本実施形態の効果を説明するための図であって、 干渉計多軸化及 びプリスキャン、 才一パースキャンに起因する移動鏡距離悪化分があっても、 本 実施形態のウェハステージが従来の四角形形状ステージに比べ、 小型にできるこ とを示す図である。
図 1 8の (A) は投影光学系を反射屈折光学系とした一例を示す図であり、 ( B ) は投影光学系を反射屈折光学系としたその他の例を示す図である。
図 1 9の (A) は投影倍率を 1/4倍として, (レチクル加速度 A r, 整定 時間 t 2) = (3G, 22ms)、 (4G, 36ms)、 (4G, 22ms) の 3条 件で、 レチクルスキャン最高速度 V rを変化させたときに必要となるウェハ側移 動鏡の延長距離を示す図表であり、 (B) は (A) と同じ条件下でレチクルスキ ヤン最高速度 V rを変化させたときにウェハ 1枚露光する間の時間を示す図表で る。
図 20の (A) は図 1 9 (A) に対応するグラフを横軸をレチクルスキャン 最高速度、 縦軸を移動鏡の延長距離として示す図であり、 図 20の (B) は図 1 9 (B) に対応するグラフを横軸をレチクルスキャン最高速度、 縦軸をウェハ 1 枚露光する間の時間として示す図である
図 21は、 第 2の実施形態の露光装置の概略構成を示す図である。
図 22は、 2つのウェハステージとレチクルステージと投影光学系とァライ メン卜系の位置関係を示す斜視図である。
図 23は、 図 21の装置における定盤近傍を示す概略平面図である。 図 2 4は、 2つのウェハステージを使ってウェハ交換 ·ァライメン卜シーケ ンスと露光シーケンスとが行われている状態を示す平面図である。
図 2 5は、 図 2 4のウェハ交換 ·ァライメン卜シーケンスと露光シーケンス との切り換えを行った状態を示す図である。
図 2 6は、 第 2の実施形態の変形例を示す概略平面図であり、 2つの三角形 状のウェハステージを第 1可動体として用いた例である。
図 2 7の (A ) は第 1の加速度制御方法を採用した場合のレチクルステージ の走査方向の速度指令値の時間変化を示す線図、 (B ) は図 1 1 ( B ) に対応す るレチクルステージの走査方向の速度指令値の時間変化を示す線図、 (C ) は第 2の加速度制御方法を採用した場合のレチクルステージの走査方向の速度指令値 の時間変化を示す線図である。
図 2 8の ( A ) 及び ( B ) は、 図 2 7 ( A ) 及び ( B ) にそれぞれ対応して 整定時間 T s近傍のレチクルステージの目標位置に対する位置誤差の時間変化を 示す線図である。
図 2 9は、 9インチレチクルを用いた場合のレチクルステージの平面図であ り、 (A ) は従来例のレチクルステージの平面図であり、 (B ) は発明が解決しよ うとする課題を説明する図である。 発明を実施するための最良の形態
本国際出願に含まれる発明概念は、 前述の本発明の目的を達成する上で共通し ており、 前述のように、 概ね次の 5つの概念に分類することができる。 しかしな がら、 それらの分類は、 本明細書及び請求の範囲に開示した発明群の概要を理解 するための目的であり、 それらの分類により請求項及び発明の態様の範囲が限定 解釈されるものではない。 第 1の概念は、 大型のパターンまたは区画された複数のパターンを有するマス クと基板と同期移動して、 大型のパターンを基板上につなぎ合わせてあるいは該 複数のバターンを基板の同一のェリアに重ねて転写する走査露光方法及び装置に 関する概念であり、 マスクステージの移動方法、 位置制御をするために用いられ る反射鏡の構造及び配置、 二重露光法などに主な特徴を有する。第 1の概念の特 徴は、 例えば、 本発明の前記第 A〜H及び 7Γの態様に見られる。 第 2の概念は、 ステージの移動方向に対して斜めに延在する反射鏡とそれに 測長ビームを送光する干渉計を有するステージ装置に関る概念であり、 反射鏡の 取り付け位置、 ステージ装置の形状及び構造、 測長ビームの照射方法、 ステージ 装置を備えた走査露光装置、 上記のようにして測長ビームを照射することにより ステージ位置制御する走査露光方法、 ステージ及び走査露光装置の製造方法など に特徴を有する。第 2の概念の特徴は、例えば、本発明の第 I、 J、 M、 N、 0、 P、 S、 yo及び σの態様に見られる。 第 3の概念は、 定盤上に、 第 2可動体及び第 1可動体をそれぞれ浮上させて 支持する偏荷重防止ステージ装置及びそれを含む露光装置に関する概念であり、 第 1可動体の移動により生ずる反力を利用した第 2可動体の移動、 第 1及び第 2 可動体の重量比、 Ρ方振装置、 第 1可動体及び第 2可動体の構造、 このステージ装 置を基板ステージとして使用する露光装置、 ステージ装置及び露光装置の製造方 法などに特徴を有する。 第 3の概念の特徴は、 例えば、 本発明の第 K、 L、 Q、 R及び の態様に見られる。 第 4の概念は、 マスクと基板を同期して移動する走査露光において、 基板上 に区画されたある領域を走査露光したあとに次の区画領域を走査露光するときに、 走査方向と直交する方向における基板のステップ移動 (ステッピング) と走査方 向における基板のスキャンニング移動の夕ィミング制御に関する概念であり、 特 に、 移動のタイミングと走査露光の開始または終了のタイミングの関係、 基板の 移動軌跡、 ステッピング移動及びスキャンニング移動の関係に特徴を有する。 第
4の概念の特徴は、 例えば、 本発明の第丁〜 Z及び α、 β , ァ, 5 , ζ , ?7 , 及び yOの態様に見られる。 第 5の概念は、 走査露光において、 マスクと基板を同期させるための整定時 間を短縮するためにマスクまたは基板移動時の加速度を制御する概念に関し、 基 板ステージの加速度を連続的に減少させながら等速度に至らしめる加速方法、 一 定の減速度で減速する方法に特徴を有する。 第 5の概念の特徴は、 例えば、 本発 明の第 、 κ、 λ及び yOの態様に見られる。 以下、 本発明の第 1実施形態を図 1〜図 2 0に基づいて説明する。 本明細書の 構成を理解し易〈するために、 上記第 1〜第 5の概念が主に示されている箇所に は適宜題目を付すこととする。但し、 その題目が付された箇所によって発明概念 の説明を何等拘束するものではない。
[第 1実施形態]
第 1実施形態では、 第 1〜第 4の概念が具体化されている。 図 1には、 本発明 の一実施形態の走査型露光装置 1 0の斜視図が示され、 図 2には、 その内部構成 が概略的に示されている。 この走査型露光装置 1 0は、 半導体素子を製造するリ ソグラフィ装置として現在主流となりつつある、 ステップアンドスキャン方式に より露光動作を行う投影露光装置である。 この走査型露光装置 1 0は、 マスクと してのレチクル R (図 2参照) に描画された回路パターンの一部の像を投影光学 系 P Lを介して基板としてのウェハ W上に投影しつつ、 レチクルとウェハ Wとを 投影光学系 P Lの視野に対して 1次元方向 (ここでは Y方向) に相対走査するこ とによって、 レチクル Rの回路パターンの全体をウェハ W上の複数のショヅ卜領 域の各々にステップアンドスキヤン方式で転写するものである。 この走査型露光装置 1 0は、 図 1に示されるように、 エキシマレ一ザ光源 1 1 と、 露光装置本体 1 2と、 それらを統括制御する主制御システムとしての制御ラ ック 1 4とで構成されている。 エキシマレ一ザ光源 1 1は、 通常露光装置本体 1 2が設置される超クリーンルームから隔離された別の部屋 (クリーン度の低いサ —ビスルーム) に設置される。 また、 露光装置本体 1 2は、 通常、 超クリーンル —厶内に設置され、 内部空間が高度に防塵されるとともに、 高精度な温度制御が なされたエンバイ口メンタル .チャンパに収納されているが、 図 1ではこのチヤ ンバ内に収納された本体構造のみが概略的に示されている。 次に、 これら図 1及び図 2に基づいてエキシマレ一ザ光源 1 1、 露光装置本体 1 2及び制御ラック 1 4の構成について説明する。 前記エキシマレーザ光源 1 1は、 操作パネル 1 1 Aを備えている。 また、 ェキ シマレーザ光源 1 1には、 該操作パネル 1 1 Aとインタ一フェイスされる制御用 コンピュータ 1 1 B (図 1では図示せず、 図 2参照) が内蔵され、 この制御用コ ンピュー夕 1 1 Bは通常の露光動作の間は、 露光装置制御用のミニコンピュータ から成る主制御装置 5 0の指令に応答してエキシマレ—ザ光源 1 1のパルス発光 を制御する。 エキシマレーザ光源 1 1は、 露光光源として用いられるもので、 例えば波長 2 4 8 11 171の r Fエキシマレーザ光、 或いは波長 1 9 3 n mの A r Fエキシマレ —ザ光をパルス発光する。 ここで、 エキシマレ一ザ光源 1 1からの紫外域のパル スレーザ光を露光用照明光として用いるのは、 2 5 6 Mビッ 卜〜 4 Gビッ トクラ ス以上の半導体メモリ素子 (D— R A M ) 相当の集積度と微細度とを持つマイク 口回路デバイスの量産製造に必要とされる最小線幅 0 . 2 5〜0 . 1 程 度のパターン解像力を得るためである。 そのパルスレーザ光 (エキシマレーザ光) の波長幅は、 露光装置の照明系ゃ投 影光学系 P Lを構成する各種の屈折光学素子に起因した色収差が許容範囲内にな るように狭帯化されている。狭帯化すべき中心波長の絶対値や狭帯化幅 (0 . 2 p m〜3 0 0 p mの間)の値は、前記操作パネル 1 1 A上に表示されるとともに、 必要に応じて操作パネル 1 1 Aから微調整できるようになつている。 また操作パ ネル 1 1 Aからはパルス発光のモード(代表的には自励発振、外部卜リガ—発振、 メンテナンス用発振の 3つのモード) が設定できる。 エキシマレ一ザを光源とす る露光装置の例については、 すでに第 1実施形態において説明したので、 その説 明を参照されたい。 前記露光装置本体 1 2は、 第 1架台としての架台部 1 6、 レチクルステージ R S丁、 照明光学系 1 8、 投影光学系 Pし、 結像特性調整装置 (以下、 「L CZM A C系」 と呼ぶ)、 ステージ装置 1、 ウェハ搬送ロボッ卜 1 9及びァライメン卜 系等を備えている。 これを更に詳述すると、 図 1に示されるように、 架台部 (第 1架台) 1 6は、 床面上に 4つの防振装置 2 0を介して支えられている。各防振装置 2 0は、 露光 装置本体 1 2の自重を不図示のエアシリンダ (防振パッド) を介して支えるとと もに、 露光装置本体 1 2全体の傾き、 Z方向の変位、 及び露光装置本体全体の図 1における X , Y方向の変位を、 不図示の制御系によるフィードバック制御ゃフ ィ一ドフ才ヮ一ド制御によりアクティブに補正するためのァクチユエ一夕と各種 のセンサ類とを備えている。 この種のアクティブ防振装置については、 例えば特 開平 9— 7 4 0 6 1号公報及び対応する米国特許出願第 7 0 7 2 1 6号 (1996 年 9月 3日出願) に開示されており、 指定国の国内法令の許す限りにおいてそれ らの開示を援用して本文の記載の一部とする。 架台部 1 6は、 床面に平行な定盤 2 2とこの定盤 2 2に対向して上方に設けら れた支持板部 2 4とを備え、 その形状は内部を空洞にした箱状とされている。 支 持板部 2 4は、 中央に円形の開口部が形成された矩形の板状部材から成り、 この 中央開口部内に投影光学系 P Lが当該支持板部 2 4に直交した状態で挿入されて いる。 そして、 この投影光学系 P Lは、 その外周部の一部に設けられたフランジ 部を介して支持板部 2 4に保持されている。 支持板部 2 4の上面には、 投影光学系 P Lを囲むように 4本の脚部 2 6が立設 されている。 これらの 4本の脚部 2 6の上部には、 当該 4本の脚部 2 6に支持さ れると共にこれらの上端を相互に接続するレチクルべ一ス定盤.2 8が設けられて いる。これら 4本の脚部 2 6とレチクルべ一ス定盤 2 8とによって第 2コラム(第 2架台) が構成されている。 また、 支持板部 2 4の上面には、 図 1では図示が省 略されているが、 実際には、 図 3に示されるような第 2コラムとは別の第 1フレ —厶 4 0が立設されており、 この第 1フレーム 4 0に照明光学系 1 8の一部 (射 出端部の近傍) が支持されている。 この第 1フレーム 4 0の照明光学系 1 8の射 出端部に対向する部分には、 開口部 4 0 aが設けられている。 レチクルべ一ス定盤 2 8の中央部には、 開口 2 8 a (図 2参照) が形成されて いる。 この開口 2 8 aに対向して照明光学系 1 8の射出端部が配置されている。 また、 レチクルべ一ス定盤 2 8上には、 大型マスクとして 9インチサイズのレチ クル Rをバキューム及び静電吸着等により吸着保持して X Y 2次元平面内を自在 に移動するレチクルステージ R S Tが配置されている。 なお、 図 2には、 X方向 (第 2軸方向または第 2方向)、 Y方向 (第 1軸方向または第 1方向) 及び 方 向を示してある。 このレチクルステージ R S T上の不図示の静電チャック等によ る吸着の強さは、 4 Gレベルの加速度が作用してもレチクル Rに全く横シフ卜等 が発生しない程度の強さとなっている。 本実施形態では、 レチクルステージ R S Tは磁気浮上型 2次元平面モータによ つて駆動されるようになっている。但し、 図 2においては、 図示及び説明の便宜 上、この磁気浮上型 2次元平面モ一タが駆動系 2 9として図示されている。なお、 レチクルステ一ジの駆動系はボイスコイルモータ、 ピエゾモ一夕等の任意の駆動 系を用い得る。 この場合、 レチクルステージ R S Tは、 投影光学系 P Lの光軸 A X回りの回転方向 (0方向) にも駆動系 2 9によって微少駆動が可能な構成と なっている。 なお、 レチクルステージ R S Tの位置計測システムは、 本実施形態 の特徴的構成部分であるから、 これについては、 後に詳述する。 前記照明光学系 1 8は、 図 1に示されるように、 ビーム受光系 3 2をその背面 部に収納し、 このビ一厶受光系 3 2とこれに接続された遮光性の管 3 4とから成 る B M U (ビームマッチングユニット) を介してエキシマレーザ光源 1 1に接続 されている。 B M Uを構成するビーム受光系 3 2内には、 管 3 4を介して導かれ たエキシマレ一ザ光源 1 1からのエキシマレ一ザ光が、 照明光学系 1 8の光軸に 対して、 常に所定の位置関係で入射するように、 エキシマレ一ザ光の照明光学系 1 8への入射位置や入射角度を最適に調整する複数の可動反射鏡 (図示せず) が 設けられている。 照明光学系 1 8は、 図 2に示されるように、 可変減光器 1 8 A、 ビーム整形光 学系 1 8 B、 第 1フライアイレンズ系 1 8 C、 振動ミラ一 1 8 D、 集光レンズ系 1 8 E、 照明 N A補正板 1 8 F、 第 2フライアイレンズ系 1 8 G、 照明系開□絞 り板 1 8 H、 ビームスプリッタ 1 8 J、 第 1 リレーレンズ 1 8 K、 固定レチクル ブラインド 1 8し、 可動レチクルプラインド 1 8 M、 第 2リレーレンズ 1 8 N、 照明テレセン補正板 (傾斜可能な石英の平行平板) 1 8 P、 ミラ— 1 8 Q、 及び 主コンデンサーレンズ系 1 8 R等を備えている。 ここで、 この照明光学系 1 8の 上記構成各部について説明する。 可変減光器 1 8 Aは、 エキシマレーザ光のパルス毎の平均エネルギーを調整す るためのもので、 例えば減光率が異なる複数の光学フィルタを切り換え可能に構 成して減光率を段階的に変更するものや、 透過率が連続的に変化する 2枚の光学 フィルタの重なり具合を調整することにより減光率を連続的に可変にするものが 用いられる。 この可変減光器 1 8 Aを構成する光学フィルタは、 主制御装置 5 0 によって制御される駆動機構 3 5によって駆動される。 ビーム整形光学系 1 8 Bは、 可変減光器 1 8 Aによって所定のピーク強度に調 整されたエキシマレ一ザ光の断面形状を該エキシマレ一ザ光の光路後方に設けら れた後述するダブルフライアイレンズ系の入射端を構成する第 1フライアイレン ズ系 1 8 Cの入射端の全体形状と相似になるように整形して該第 1フライアイレ ンズ系 1 8 Cに効率よく入射させるもので、 例えばシリンダレンズゃビ一厶ェキ スパンダ (いずれも図示省略) 等で構成される。 前記ダブルフライアイレンズ系は、 照明光の強度分布を一様化するためのもの で、 ビーム整形光学系 1 8 B後方のエキシマレ—ザ光の光路上に順次配置された 第 1フライアイレンズ系 1 8 Cと、 集光レンズ 1 8 Eと、 第 2フライアイレンズ 系 1 8 Gとから構成される。 この場合、 第 1フライアイレンズ系 1 8 Cと集光レ ンズ 1 8 Eとの間には、 被照射面 (レチクル面又はウェハ面) に生じる干渉縞や 微弱なスペックルを平滑化するための振動ミラ一 1 8 Dが配置されている。 この 振動ミラ— 1 8 Dの振動 (偏向角) は駆動系 3 6を介して主制御装置 5 0によつ て制御されるようになっている。 第 2フライレンズ系 1 8 Gの入射端側には、 照明光の被照射面における開口数 の方向性 (照明 N A差) を調整する照明 N A補正板 1 8 Fが配置されている。 本実施形態のようなダブルフライアイレンズ系と振動ミラ _ 1 8 Dとを組み合 わせた構成については、 例えば特開平 1— 2 3 5 2 8 9号公報及びそれに対応す る米国特許第 5 , 3 0 7 , 2 0 7号並びに、 特開平 7— 1 4 2 3 5 4号公報及び それに対応する米国特許第 5 , 5 3 4, 9 7 0号に詳細に開示されており、 指定 国の国内法令の許す限りにおいてそれらの開示を援用して本文の記載の一部とす o 前記第 2フライアイレンズ系 1 8 Gの射出面の近傍に、 円板状部材から成る照 明系開口絞り板 1 8 Hが配置されている。 この照明系開口絞り板 1 8 Hには、 ほ ぼ等角度間隔で、 例えば通常の円形開口より成る開口絞り、 小さな円形開口より 成りコヒ一レンスファクタである σ値を小さ〈するための開口絞り (小 σ絞り)、 輪帯照明用の輪帯状の開口絞り (輪帯絞り)、 及び変形光源法用に例えば 4つの 開口を偏心させて配置して成る変形開口絞り等が配置されている。 この照明系開 口絞り板 1 8 Ηは、 主制御装置 5 0により制御される不図示のモータ等により回 転されるようになっており、 これによりいずれかの開口絞りがパルス照明光の光 路上に選択的に設定され、 ケーラ一照明における光源面形状が輪帯、 小円形、 大 円形、 或いは 4つ目等に制限される。 照明系開口絞り板 1 8 Η後方のパルス照明光の光路上に、 反射率が大き〈透過 率が小さなビ一ムスプリッタ 1 8 Jが配置され、 更にこの後方の光路上に、 固定 レチクルブラインド 1 8 L及び可動レチクルブラインド 1 8 Mを介在させて第 1 リレーレンズ 1 8 K及び第 2リレーレンズ 1 8 Νから成るリレ一光学系が配置さ れている。 固定レチクルブラインド 1 8 Lは、 レチクル Rのパターン面に対する共役面か ら僅かにデフォーカスした面に配置され、 レチクル R上の照明領域を規定する所 定形状の開口部が形成されている。 本実施形態では、 この開口部が走査露光時の レチクル Rの移動方向 (Υ方向) と直交した X方向に直線的に伸びたスリット状 又は矩形状に形成されているものとする。 また、 固定レチクルブラインド 1 8 Lの近傍に走査方向の位置及び幅が可変の 開口部を有する可動レチクルブラインド 1 8 Μが配置され、 走査露光の開始時及 び終了時にその可動レチクルブラインド 1 8 Μを介して照明領域を更に制限する ことによって、 不要な部分の露光が防止されるようになっている。 この可動レチ クルブラインド 1 8 Μは、 駆動系 4 2を介して主制御装置 5 0によって制御され る ο リレー光学系を構成する第 2リレーレンズ 1 8 Νの出口部分には、 照明テレセ ン補正板 1 8 Ρが配置されており、さらにこの後方のパルス照明光の光路上には、 第 2リレーレンズ 1 8 Ν及び照明テレセン補正板 1 8 Ρを通過したパルス照明光 をレチクル Rに向けて反射するミラ一 1 8 Qが配置され、 このミラ一 1 8 Q後方 のパルス照明光の光路上に主コンデンサ一レンズ系 1 8 Rが配置されている。 ここで、上述のようにして構成された照明光学系 1 8の作用を簡単に説明する。 エキシマレ一ザ光源 1 1からのエキシマレ一ザ光が管 3 4、 ビーム受光系 3 2を 介して照明光学系 1 8内に入射すると、 このエキシマレーザ光は可変減光器 1 8 Αにより所定のピーク強度に調整された後、ビ一厶整形光学系 1 8 Bに入射する。 そして、 このエキシマレーザ光は、 ビーム整形光学系 1 8 Bで後方の第 1フライ アイレンズ系 1 8 Cに効率よ〈入射するようにその断面形状が整形される。 次い で、 このエキシマレ一ザ光が第 1フライアイレンズ系 1 8 Cに入射すると、 第 1 フライアイレンズ系 1 8 Cの射出端側に多数の 2次光源が形成される。 これらの 多数の点光源の各々から発散する紫外パルス光は、 振動ミラ一 1 8 D、 集光レン ズ系 1 8 E、 照明 N A補正板 1 8 Fを介して第 2フライアイレンズ系 1 8 Gに入 射する。 これにより、 第 2フライアイレンズ系 1 8 Gの射出端に多数の微少な光 源像を所定形状の領域内に一様分布させた個々の光源像から成る多数の 2次光源 が形成される。 この多数の 2次光源から射出されたパルス紫外光は、 照明系開口 絞り板 1 8 H上のいずれかの開口絞りを通過した後、 反射率が大きく透過率が小 さなビ一ムスプリッ夕 1 8 Jに至る。 このビ一厶スプリツ夕 1 8 Jで反射された露光光としてのパルス紫外光は、 第 1 リレ一レンズ 1 8 Kによって固定レチクルブラインド 1 8 Lの開口部を一様な 強度分布で照明する。但し、 その強度分布には、 エキシマレ一ザ光源 1 1からの 紫外パルス光の可干渉性に依存した干渉縞や微弱なスぺックルが数%程度のコン 卜ラストで重畳し得る。 そのためウェハ面上には、 干渉縞や微弱なスペックルに よる露光量むらが生じ得るが、 その露光量むらは先に挙げた特開平 7— 1 4 2 3 5 4号公報のように、 走査露光時のレチクル Rやウェハ Wの移動と紫外パルス光 の発振とに同期させて振動ミラ一 1 8 Dを振ることで平滑化される。 こうして固定レチクルブラインド 1 8 Lの開口部を通った紫外パルス光は、 可 動レチクルブラインド 1 8 Mを通過した後、 第 2リレーレンズ 1 8 N及び照明テ レセン補正板 1 8 Pを通過してミラ一 1 8 Qによって光路が垂直下方に折り曲げ られた後、 主コンデンサレンズ系 1 8 Rを経て、 レチクルステージ R S T上に保 持されたレチクル R上の所定の照明領域 (X方向に直線的に伸びたスリツ ト状又 は矩形状の照明領域) を均一な照度分布で照明する。 ここで、 レチクル Rに照射 される矩形スリッ卜状の照明光は、 図 1中の投影光学系 P Lの円形投影視野の中 央に X方向 (非走査方向) に細長〈延びるように設定され、 その照明光の Y方向 (走査方向) の幅はぼぼ一定に設定されている。
—方、 ビ一ムスプリッ夕 1 8 Jを透過したパルス照明光は、 不図示の集光レン ズを介して光電変換素子よりなるインテグレ一タセンサ 4 6に入射し、 そこで光 電変換される。 そして、 このインテグレ一タセンサ 4 6の光電変換信号が、 後述 するピークホールド回路及び A/ D変換器を介して主制御装置 5 0に供給される。 インテグレ一タセンサ 4 6としては、 例えば遠紫外域で感度があり、 且つエキシ マレ一ザ光源 1 1のパルス発光を検出するために高い応答周波数を有する P I N 型のフ才卜ダイ才一ド等が使用できる。このィンテグレ一夕センサ 4 6の出力と、 ウェハ Wの表面上でのパルス紫外光の照度 (露光量) との相関係数は予め求めら れて、 主制御装置 5 0内のメモリに記憶されている。 前記投影光学系 P Lとしては、 ここでは、 物体面 (レチクル R ) 側と像面 (ゥ ェハ W) 側の両方がテレセントリックで円形の投影視野を有し、 石英や螢石を光 学硝材とした屈折光学素子 (レンズ素子) のみから成る 1 /4 (又は 1 / 5 ) 縮 小倍率の屈折光学系が使用されている。 そして、 レチクル R上の回路パターン領 域のうちのパルス紫外光によって照明された部分からの結像光束が、 投影光学系 P Lを介して、 後述するウェハステージ W S T上のホルダに静電吸着 (又は真空 吸着) されたウェハ W上のレジス卜層に 1 / 4又は 1 / 5に縮小されて投影され る o なお、 投影光学系 P Lを特開平 3— 2 8 2 5 2 7号公報及び対応する米国特許 第 5, 2 2 0 , 4 5 4号に開示されているように屈折光学素子と反射光学素子(凹 面鏡やビームスプリッタ等) とを組み合わせたいわゆるカタディオプトリック系 としてもよいことは勿論である。 指定国の国内法令の許す限りにおいてそれらの 開示を援用して本文の記載の一部とする。 第 1概念の説明
次に、 図 4を参照しつつレチクルステージ R S Tの位置計測システムについて 言羊述する。 図 4 (A) には、 レチクルべ一ス定盤 28近傍の平面図が示され、 図 4 (B) には、 矢印 B方向から見たレチクルべ一ス定盤 28近傍の側面図が示さ れている。 図 4 (A) に示されるように、 投影光学系 P Lの視野 S T (レチクルブライン ドで規定される最大限のスリッ 卜状照明領域と一致) は 1 00mmx 32mm (ウェハ W上に投影される視野の 4倍) しかなく、 9インチレチクル R上に非走 査方向 (X方向) に隣接した第 1領域 P 1、 第 2領域 P 2 (これらはともに 1 0 0 mm X 200 mmm) 又は点線で示される中心領域 P 3 ( 1 00 mm x 20 Omm) のいずれか 1つの領域しか 1回のスキャン露光では露光できない。 これらの領域 P 1、 P 2、 P 3の全ての露光(ウェハ W上へのパターンの転写) を行うには、 レチクルステージ R S Tを、 図 4 (A) に示される領域 P 1の露光 位置を基準として 50mm (点線で示される中心領域 P 3の場合) 又は 1 00m m (領域 P 2の場合) だけ、 非スキャン方向にシフトする必要がある。 このため、 レチクルステージ R S Tの Y方向の一端側 (図 4 (A) における下 端側) の側面には、 領域 P 2、 P 3、 P 1のぞれそに対応して第 1 ミラ—として の第 1のコーナ一キューブ 31 Y 1、 第 2ミラ一としての第 2のコーナーキュー ブ 31 Y 2、 第 3のコーナ一キューブ 31 Y 3が設けられている。 この場合、 3 つのコーナ一キューブ 3 1 Y 1、 3 1 Υ 2、 3 1 Υ 3は 5 O m m間隔で X方向に 沿って配置されているが、 これに限らず、 予め定められた間隔 (通常、 この間隔 はレチクルステージ R S T上のレチクルのパターン配置に応じて定められる) で あればどのような間隔でもよい。 レチクルべ一ス定盤 2 8上には、 図 4 ( A ) に示されるように、 上記 3つのコ —ナ—キューブ 3 1 Y 1、 3 1 Y 2 s 3 1 Y 3のいずれか 1つに Y方向の測長ビ —ム I Υを照射し、 その反射光を受光することによりレチクルステージ R S丁の 走査方向の位置を計測する第 1の干渉計としてのレチクル Υ干渉計 3 0 Υが固定 されている。 この場合、 走査方向 (Υ方向) の位置計測用の移動鏡として、 コ一 ナ一キューブ 3 1 Υ 1、 3 1 Υ 2、 3 1 Υ 3が用いられる。 例えば図 4 ( Α ) に 示されている非走査方向 (X方向) 位置にレチクルステージ R S Τが存在してい る状態では、 干渉計 3 0 Υからレチクルステージ R S Τに向けて照射された測長 ビーム I Υは、 コーナ一キューブ 3 1 Υ 1、 反射鏡 8 2、 コーナ一キューブ 3 1 Υ 1で順次反射され、 そのコーナ一キューブ 3 1 Υ 1からの戻り光が、 入射光路 とほぼ同一の光路を反対向きに戻る (いわゆるダブルパス構成)。 このため、 レ チクルステージ R S Τが角度 0だけ回転したとしても、 反射光は確実に干渉計 3 0に戻ることができ、 レチクルステ一ジ R S Τの走査方向における位置を正確 に測定することができる。 この場合、 レチクル Υ干渉計 3 0 Υの測長軸 (コーナ —キューブ 3 1 Υ 1に対する入射光と戻り光の中心位置) は、 投影光学系 P Lの 光軸中心と交わるように位置づけられている。 また、 レチクル Y干渉計 3 0 Yか らの測長ビーム I Yの Z方向の位置はレチクル Rの下面 (パターン形成面) と一 致している。 このため、 レチクル Rの走査方向の位置は、 アッベの誤差なく正確 に計測される。 レチクルステ一ジ R S Tの走査方向 (Y方向) に沿った 2つの側面ま、 鏡面加 ェが施され、 Y方向に延びる第 1反射面 84 a、 第 2反射面 82 bが形成されて いる。 これらの反射面に対向して、 レチクル定盤 28上の非走査方向 (X方向) の両端部には、 第 2の干渉計としてのレチクル X干渉計 30X 1、 第 3の干渉計 としてのレチクル X干渉計 30 X 2がそれぞれ設けられている。 これらのレチク ル X干渉計 30X 1、 30 X 2としては、 ともに 2本の測長ビーム (測長ビーム 1X11, I X12、 及び測長ビーム I X21, I X22) を第 1、 第 2反射面 84 a、 84 bに照射する 2軸干渉計が用いられている。 これらのレチクル X干渉計 30 X 1、 30 X 2の測長軸 (各 2本の測長ビームの中心位置) は、 投影光学系 P L の光軸中心と交わるようになつている。 また、 これらのレチクル X干渉計 30 X 1、 30X 2からの測長ビ一厶 I Yの Z方向の位置はレチクル Rの下面 (パ夕一 ン形成面) と一致している (図 4 (B)参照)。 このため、 非走査方向のレチク ルステージ R S Tの位置もアッベ誤差な〈正確に計測される。 次に、 上記のようにして構成されたレチクルステージ R STの位置計測システ ムの動作を説明する。
(a) まず、 レチクル R上の第 1領域 P 1のパターンをウェハ W上に転写する 走査露光の場合について説明する。 この場合、 図 4 (A) に示されるように、 ― 方のレチクル X干渉計 30 X 1から測長ビーム I XII、 1 X12 をレチクルステ ージ R S Tの第 1反射面 84aに照射し、 それぞれの反射光を受光することによ りそれぞれの測長ビーム I X 11、 1 X12 の照射位置での第 1反射面 84 aの X 方向位置を計測 (測長) し、 その計測値 X 1、 X 2の平均値によりレチクルステ ージ R STの非走査方向の位置が求められる。 この平均値の演算は、 レチクル X 干渉計 30X 1からの出力が入力されるレチクルステージコントローラ 33 (図 2参照) によって求められる。 この場合、 レチクルステージコントローラ 33で は、 X 1、 X 2の差に基づいてレチクルステージ R S Tの 0回転をも求めるこ とができるようになつている。 一方、 レチクルステージ R S Tの走査方向の位置 は、 レチクル Y干渉計 30 Yが第 1のコーナ一キューブ 31 Y 1に測長ビーム I Yを照射し、 その反射光を受光することによって計測される。
(b) また、 レチクル R上の第 2領域 P 2のパターンをウェハ W上に転写する 走査露光の場合には、 レチクルステージ R S Tが駆動系 29によって図 4 (A) の状態から 1 0 Ommだけ +X方向に駆動された状態で走査露光が行われる。 こ の場合、 他方のレチクル X干渉計 30 X 2から測長ビ一厶 I X 21、 1 X22 をレ チクルステージ R S Tの第 2反射面 84 bに照射し、 それぞれの反射光を受光す ることによりそれぞれの測長ビーム 1 X21、 1 X22 の照射位置での第 2反射面 84 bの X方向位置を計測 (測長) する。 その計測値 X 3、 X 4の平均値により レチクルステージ R S Tの非走査方向の位置が、 前述と同様にレチクルステージ コントローラ 33によって求められる。レチクルステージコントローラ 33では、 同様に、 X 3、 X 4の差に基づいてレチクルステージ R S Tの 0回転をも求め ることができる。一方、 レチクルステージ R S Tの走査方向の位置は、 レチクル Y干渉計 30Yが第 2のコーナーキューブ 31 Y 2に測長ビーム I Yを照射し、 その反射光を受光することによって計測される。
(c) また、 レチクル R上の第 3領域 P 3のパターンをウェハ W上に転写する 走査露光の場合には、 レチクルステージ R S Tが駆動系 29によって図 4 (A) の状態から 50 mmだけ +X方向に駆動された状態で走査露光が行われる。 この 場合、 レチクルステージ R S Tの非走査方向の位置は、 レチクル X干渉計 30X 1、 30X 2を用いて計測される。 すなわち、 上記 (a)、 (b) のレチクル X干 渉計 30X 1、 30X 2によるレチクルステージ R S Tの計測を同時に行う。 そ して、 レチクルステージコントローラ 33では、 レチクル X干渉計 30 X 1、 3 0X 2の計測値、 X 1、 X 2、 X 3、 X 4を用いて次式によりレチクルステージ R S Tの非走査方向の位置を求める。
X = [ {(X 1 +X 2) /2} - {(X 3 + X4) /2} ]/2
また、 この場合、 レチクルステージコントローラ 33では、 レチクルステージ
R S Tの回転を求める場合には、測長ビーム XII, X12の間隔、測長ビーム X21,
X22の間隔を Lとして、 次式の演算により求める。
Θ二 {(X 1 -X 2) /L+ (X4-X3) /L} /2
—方、 レチクルステージ R S Tの走査方向の位置は、 レチクル Y干渉計 30 Y が第 3のコーナ一キューブ 31 Y 3に測長ビーム I Yを照射し、 その反射光を受 光することによって計測される。 これまでの説明から明らかなように、 本実施形態では、 レチクル Rのパターン をウェハ W上に転写する走査露光時には、 レチクルステージ R S Tの走査方向の 位置が第 1、 第 2、 及び第 3のコーナ一キューブ 31 Y 1、 31 Υ 2、 31 Υ 3 のいずれか 1つを用いて計測されるので、 レチクルステージ R S Τの 0回転 (あ るいは回転誤差) 等があってもレチクルステージ R S Τの走査方向の位置を正確 に計測することができる。 また、 測長ビームの対向する反射面までの距離が短く なる方のレチクル X干渉計の計測値を用いてレチクルステージ R STの非走査方 向の位置が求められる。 なお、 レチクルステージ R S Τの 0回転が微小である 限り、 第 1、 第 2反射面 84a、 84 bが平面ミラ—であっても計測不能状態に 陥ることがないようになつている。 上述した如く、本実施形態では、 3つのコーナ一キューブ 30Y 1、 30 Y 2、 30 Y 3、 第 1、 第 2反射面 84 a、 84 bから成る移動鏡と、 レチクル Y干渉 計 30Y、 2つのレチクル X干渉計 30 X 1、 30 X 2とによってレチクルステ —ジ R S Tの位置計測システムが構成されるが、 図 2においては、 これらが代表 的にレチクルレーザ干渉計 3 0、 移動鏡 3 1 として示されている。 本実施形態では、 上記の如くしてレチクルレーザ干渉計 3 0の計測値に基づい てレチクルステージコントローラ 3 3によって、 レチクルステージ R S Tの X , Υ , 0方向の位置計測がそれぞれ行われる。 そして、 レチクルステージコント ローラ 3 3は、基本的には干渉計 3 0から出力される位置情報(或いは速度情報) が指令値 (目標位置、 目標速度) と一致するようにレチクルステージ R S Tを移 動させる駆動系 (磁気浮上型 2次元平面モータ) 2 9を制御する。 更にリセヅ卜装置として機能するレチクルステージコントローラ 3 3が、 レチ クル X干渉計 3 0 Χ 1、 3 0 Χ 2の計測値をモニタしつつ、 レチクルステージ R S Τの非走査方向の位置に応じてレチクル Υ干渉計 3 0 Υをリセッ卜するように なっている。 ここで、 干渉計のリセッ トとは、 干渉計の計測値を所定の値に戻す ことを意味し、 必ずしも零点に戻すことを意味しない。 ところで、 走査露光の対象となるパターン領域を切り換える際には、 レチクル ステージ R S Tを非走査方向 (X方向) に移動させる必要があるが、 この途中で レチクル Υ干渉計 3 0 Υからの測長ビーム I Υが、 いずれのコーナ一キューブに も当たらなくなるので、 レチクル Υ干渉計 3 0 Υによる位置計測が不可能な状態 となる。 このため、 本実施形態では、 干渉計の計測ができない間ラフにレチクル 位置をモニタするセンサ (図示省略) を別途設けている。 このセンサとしては、 例えば、 レチクルステージ R S Tの反射面 8 4 a (又は 8 4 b ) の下半分に所定 ピッチの格子マークを形成し、 この格子マークに対して不図示の光源系から振動 数が僅かに異なる一対の光束を極めて小さい入射角で対称な方向から投射し、 そ の格子マークが形成された面上に Y軸方向に所定速度で移動する干渉縞を生じさ せ、 その格子マークから X軸方向に発生する回折光の合成光束を受光して、 その 合成光束の光電変換信号の位相変化に基づいてレチクルステージ R S Tの位置を 計測するへテロダイン方式のセンサを用いることができる。 このセンサは、 例え ば、 特開平 5— 4 0 1 8 4号に開示されており、 この開示を援用して本文の記載 の一部とする。 この場合、 レチクルステージコントローラ 3 3では次に走査露光の対象となる レチクル R上の領域 (P 1 、 P 2、 P 3内の所定の領域) に対応するコーナ一キ ユーブ 3 1 Yにレチクル Y干渉計 3 0 Yからの測長ビ一厶 I Yが当たるようにな つた直後にレチクル Y干渉計 3 0 Yをリセッ 卜するようにしても良いが、 本実施 形態では、 レチクルステージ R S Tの非走査方向の移動中にレチクルステージ R S Tが微妙に走査方向 (Y方向) に位置ずれしたり、 あるいは S回転したりす るおそれのあることを考慮して、 この干渉計のリセッ卜に先立って、 後述するレ チクルァライメン卜及びべ一スライン計測を行うようになっている。 なお、 非走査方向には、 レチクル X干渉計 3 0 X 1 、 3 0 X 2からの測長ビ一 厶が常に第 1反射面、 第 2反射面に当たっているので、 レチクルステージコント ローラ 3 3ではいずれか一方の干渉計の計測値、 又は両者の計測値を必要に応じ て選択すれば良い。 即ち、 レチクルステージコントローラ 3 3は、 投影光学系 P Lの投影視野内に存在するマスク上の領域 P 1、 P 2または P 3の位置情報に基 づいてレチクル干渉計 3 0 X 1 , 3 0 X 2の計測値を選択して用いる。 さらに、 本実施形態の走査型露光装置 1 0では、 図 1及び図 2では図示を省略 したが、 実際には、 走査露光の際に質量 R mのレチクルステージ R S Tの等速移 動の前後のプリスキャン時、 オーバ一スキヤン時に発生する加減速度 A rに応じ て、 質量 R mのレチクルステージ R S Tからレチクルべ一ス定盤 2 8に作用する 反力— A r x R mが支柱 2 6を介して架台部 1 6に伝わらないようにするため に、 リアクションァクチユエ一夕 7 4が設けられている。 このリアクションァクチユエ一夕 7 4は、 図 3に示されるように、 架台部 1 6 を支えるベース板 B Sに対し弾性体 7 0でラフに位置決めされたリアクションフ レーム 7 2によって支持されており、 レチクルステージ R S T , レチクルベース 定盤 2 8等の重量によって決定される重心部とほぼ同じ高さに配置されている。 リアクションァクチユエ一夕 7 4としては、 実際には、 図 4 ( A ) に示される ように、 非走査方向の両側に一対のリアクションァクチユエ一夕 7 4し、 7 4 R が設けられているが、 図 3ではこれらが代表的にリアクションァクチユエ一夕 7 4として示されている。 このリアクションァクチユエ一夕 7 4は、 レチクルステ —ジ R S Tの加減速時に、 上記重心の横シフ卜及び回転をキャンセルするように 反力と反対の力をレチクルベース定盤 2 8に与えるように、 不図示の制御装置に より制御されるようになっており、 これによりレチクル加減速時の振動が支柱 2 6を介して架台部 1 6に伝わらないようになつている。 かかるリアクションァク チユエ一夕は、 本実施形態の如〈磁気浮上型 2次元リニアァクチユエ一夕等を用 いる場合には、 送りねじ方式のレチクルステージを用いる場合より、 その必要性 及び効果が高いものと言える。 リアクションァクチユエ一タ 7 4は、 ここでは一 例としてボイスコイルモータを用いて構成されている。但し、 本実施形態の如く 磁気浮上型 2次元平面モータによりレチクルステージ R S Tの駆動系が構成され ている場合には、 その平面モータを構成するコイルの一部を固定子として共用す るリニアァクチユエ一夕によりリアクションァクチユエ一夕を構成し、 レチクル ステージを移動する駆動系 (ァクチユエ一夕) と前記第 2架台に力を与えるァク チユエ一夕との一部構成要素を兼用してもよい。 前記 L C/M A C系は、 投影光学系 P Lの各種光学特性 (結像性能) を微調整 するもので、 本実施形態では、 図 2に示されるように、 投影光学系 P L内の物体 面に近い位置に設けられ光軸方向への微小移動及び光軸直交面に対する微小傾斜 が可能なテレセン部レンズ系 G 2とこのレンズ系 G 2を光軸方向 (傾斜を含む) に微動させる駆動機構 9 6とから成る M A Cと、 投影光学系 P L内の外気に対し て密封された特定の空気間隔室 (密封室) 内の気体圧力をパイプ 9 4を介して例 えば ± 2 O mmHg程度の範囲内で加減圧することによって投影像の結像倍率を微 調整するレンズコントローラ 1 0 2とを含んで構成されている。 前記 M A Cは投 影像の倍率又はディストーション (等方的な歪曲収差、 又は樽形、 糸巻き形、 台 形等の非等方的な歪曲収差等) を調整することができる。 この場合、 レンズコントローラ 1 0 2はレンズ系 G 2の駆動機構 9 6に対する 制御系にもなつており、 レンズ系 G 2の駆動によって投影像の倍率を変えるか、 投影光学系 P L内の密封室の圧力制御によつて投影像の倍率を変えるかを切替え 制御したり、 或いは併用制御したりする。 レンズコントローラ 1 0 2も主制御装 置 5 0の管理下に置かれている。 但し、 波長 1 9 3 n mの A r Fエキシマレーザ光源を照明光とした場合は、 照 明光路内と投影光学系 P Lの鏡筒内とが窒素ガスやヘリゥ厶ガスで置換されるた め、 投影光学系 P L内の特定の空気間隔室内の屈折率を変更しにくいので、 この 空気間隔室内の圧力を加減圧する機構を省略してもよい。 また、 投影光学系 P L内の像面に近い位置には、 投影される像のうち特に像高 の大きい部分 (投影視野内の周辺に近い部分) に生じ易いァス ■ コマ収差を低減 させるためのァス · コマ収差甫正板 G 3が含まれている。
30 さらに、 本実施形態では、 円形視野内の実効的な像投影領域 (固定レチクルプ ラインド 1 8 Lの開口部で規定) に形成される投影像に含まれるランダムなディ スト一シヨン成分を有効に低減させるための像歪み補正板 G 1が、 投影光学系 P Lのレンズ系 G 2とレチクル Rとの間に配置されている。 この補正板 G 1は、 数 ミリ程度の厚みを持つ平行な石英板の表面を局所的に研磨し、 その研磨部分を通 る結像光束を微小に偏向させるものである。 このような補正板 G 1の製造法の一 例は、 特開平 8— 2 0 3 8 0 5号公報及び対応する米国特許出願第 5 8 1 0 1 6 ^ ( 1 9 9 6年 1月 3日出願) に詳細に開示されており、 本実施形態においても 基本的にはその公報に開示された手法を応用するものとする。 指定国の国内法令 の許す限りにおいてそれらの開示を援用して本文の記載の一部とする。 第 2概念の説明一 (I )
次に、本発明に従うステージ装置 1について説明する。このステージ装置 1は、 図 1及び図 2に示されるように、 前記架台部 (第 1架台または第 1コラム) 1 6 を構成する定盤 2 2と、 この定盤 2 2上に X Y面内で相対移動可能に支持された 第 2可動体としての可動型定盤 3 8と、 この可動型定盤 3 8上に X Y面内で該可 動型定盤 3 8に対して相対移動可能に支持された基板ステージとしてのウェハス テージ W S T (第 1可動体) とを備えている。 ウェハステージ W S Tは、 投影光学系 P L下方で可動型定盤 3 8上に設けられ た駆動装置としての第 1の平面磁気浮上型リニアァクチユエ一夕 4 2 (図 7 ( B ) 参照) によって浮上支持されるとともに、 投影光学系 P Lの光軸 A Xと直交する X Y 2次元平面内で自在に駆動されるようになっている。 また、 可動型定盤 3 8 は、 ウェハステージ W S Tと同様に、 定盤 2 2上に設けられた第 2の平面磁気浮 上型リニアァクチユエ一夕 4 4 (図 5 ( B ) 参照) によって浮上支持されるとと もに、 X Y 2次元平面内で自在に駆動されるようになっている。 なお、 図 2にお
3 1 いては、 図示の便宜上、 上記の平面磁気浮上型リニアァクチユエ一夕 4 2、 4 4 が纏めて駆動系 4 8として図示されている。 この駆動系 4 8、 すなわち平面磁気 浮上型リニアァクチユエ一夕 4 2、 4 4は、 ウェハステージコントローラ 7 8に よって制御されるようになっている。 なお、 可動型定盤 3 8の制御方法、 役割等 については後に詳述する。 前記ウェハステージ W S Tは、 可動型定盤 3 8上を X Y 2次元平面内で自在に 移動可能な第 2プレー卜としての移動ステージ 5 2と、 この移動ステージ 5 2上 に搭載されたレベリング駆動機構 5 8と、 このレベリング駆動機構 5 8により支 持されウェハ Wを保持する第 1プレー卜としての基板テーブル T Bとを備えてい る o 移動ステージ 5 2は、 本実施形態では正三角形状に形成され、 その一端面がレ チクルステージ R S Tの走査方向である Y軸方向 (第 1軸方向) に直交する向き で可動型定盤 3 8上に配置されている。 前記基板テーブル T Bは、 移動ステージ 5 2と全く同一形状の正三角形状に形 成され、 平面視で見て移動テーブル 5 2に重なる状態でレペリング駆動機構 5 8 を構成する 3つのァクチユエ一タ Z A Cに支持されている。 この基板テーブル T B上には、 ほぼ円形のウェハホルダ 5 4が設けられており (図 5 ( C ) 参照)、 このウェハホルダ 5 4にウェハ Wが静電吸着され、 平坦化矯正されて保持されて いる。 このウェハホルダ 5 4はウェハ Wの露光時の熱蓄積による膨脹変形を押さ えるため Iこ温度制御されている。 前言己レペリング駆動機構 5 8は、 基板テ一ブル T Bを正三角形の 3つの頂点近 傍でそれぞれ支持するとともに各支持点で X Y平面に垂直な Z方向に独立して駆 動可能な 3つのァクチユエ一夕 (ピエゾ、 ボイスコイルモータ等) ZACX 1、 ZACX 2、 Z AC Y (図 5 (Α) 参照) と、 これら 3つのァクチユエ一夕 Z A CX 1、 ZACX 2、 Z AC Yを独立に制御することにより基板テーブル T Bを 光軸 AXの方向 (Z方向) に微動するとともに、 XY平面に対して傾斜させるァ クチユエ一夕制御装置 56とによって構成される。 ァクチユエ一タ制御装置 56 に対する駆動指令はウェハステージコントローラ 78から出力される。 なお、 図 2では図示が省略されているが、 投影光学系 P Lの結像面とウェハ W 表面との Z方向の偏差 (フォーカス誤差) や傾斜 (レべリング誤差) を検出する フォーカス ' レべリングセンサが投影光学系 P Lの近傍に設けられ、 ウェハステ ージコントローラ 78はそのセンサからのフォーカス誤差信号やレペリング誤差 信号に応答してァクチユエ一夕制御装置 56に駆動指令を出力する。 そのような フォーカス■ レペリング検出系の一例は、 特開平 7— 201 699号公報及び対 応する米国特許第 5473424号に開示されており、 指定国の国内法令の許す 限りにおいてそれらの開示を援用して本文の記載の一部とする。 ウェハステージ WST、 すなわち基板テーブル T Bの図 5 (A) の各干渉計ビ ームの方向の位置は、 図 2に示されるレーザ干渉計システム 76によって逐次計 測され、 その位置情報はウェハステージコントローラ 78に送られる。 ウェハス テ一ジコントローラ 78は、 所定の演算により X Y座標位置を求め、 この求めた 座標位置と位置決めすべき目標位置情報とに基づいてウェハステージ WSTを駆 動させるための指令信号を駆動系 48へ出力する。 ここで、 図 5 (A) 〜 (C) を用いて上記レーザ干渉計システム 76の具体的 な構成について詳述する。
33 図 5 (A) には、 レーザ干渉計システム 76を構成する第 1、 第 2、 及び第 3 干渉計 76X1、 76丫、 76X2及びそれら 3つの干渉計からの干渉計ビーム R I X K RI丫、 R I X 2が基板テーブル T Bとともに平面図にて示されてい o この図 5 (A) からもわかるように、 本実施形態では、 基板テーブル TBは平 面視で正三角形状に形成され、 その 3つの側面にはそれぞれ鏡面加工がなされて 第 1、 第 2、 及び第 3の反射面 60 a、 60b、 60 cが形成されている。 そし て、 第 2の干渉計 76Yは、 走査方向である Y軸方向 (第 1軸方向) の干渉計ビ —ム R I Yを第 2反射面 60 bに垂直に照射し、 その反射光を受光することによ り、 基板テーブル T Bの Y軸方向位置 (或いは速度) を計測するようになってい る。 また、 第 1の干渉計 76X 1は、 Y軸方向に対して所定角度 01 (01は ここでは— 60° )傾斜した方向の干渉計ビ一厶 R I X 1を第 1反射面 60 a に垂直に照射し、 その反射光を受光することにより干渉計ビ一厶 R I X 1の方向 である第 3軸方向の位置 (或いは速度) を計測するようになっている。 同様に、 第 3の干渉計 76X2は、 Y軸方向に対して所定角度 02 (02はここでは + 60° )傾斜した方向の干渉計ビ一厶 R I X 2を第 3反射面 60 cに垂直に照 射し、 その反射光を受光することにより干渉計ビーム R I X 2の方向である第 4 軸方向の位置 (或いは速度) を計測するようになっている。 ところで、 ウェハステージ WS Tの X Y移動や基板テーブル T Bの微動によつ て XY面内で生じ得る微小回転誤差 (ョ一イング成分も含む) が露光精度に悪影 響を与えることを考慮して、 本実施形態ではレーザ干渉計システム 76を構成す る各干渉計としては、 複数軸の干渉計が用いられている。 図 5 (B) には、 第 2の干渉計 76 Yからの干渉計ビーム R I Yが該干渉計を 構成する一部の光学系とともにより詳細に示されている。 この図 5 (B) に示さ れるように、 基板テーブル T Bの第 2反射面 60 bには、 干渉計 76 Yから射出 された平面視で見て 2軸の測長ビームである第 1、 第 2の測長ビ一厶 R I Y1 、 R I Y2 が照射されている。 これらの測長ビーム R I Yl 、 R I Y2 は、 同一水 平面上で X方向に所定距離離れて第 2反射面 60 bに垂直に照射されている。 こ のらの測長ビーム R I Y1 、 R I Y2 は、 不図示の光源から射出されて直線偏光 の入射光束として、 それぞれ偏光ビ—ムスプリッタ 62 A, 62 Bを透過後、 λ/4板 64 A, 64 Βを介して円偏光となり第 2の反射面 60 bを照射する。 その戻り光は、 再び λ/4板 64A, 64 Βを透過後、 入射光束の偏光方向と 直交した直線偏光の射出光束となり、 偏光ビームスプリッタ 62 Α, 62 Βにて それぞれ反射され、 コーナ一キューブ部 66 Α, 66 Βに入射する。 ここで、 コ —ナ一キューブの 3つの面にて反射した光束は再び偏光ビームスプリヅタ 62 Α, 62 Β、 久/4板64 , 64 Βを通過して円偏光になって第 2反射面 60 b に達する。 そして、 その反射光がえ /4板 64 A, 64 Bを通過する際に最初 と同じ偏光方向の直線偏光となって偏光ビームスプリッタ 62 A, 62 Bを通過 後、 入射光束と平行に干渉計本体側に戻るようになつている。 すなわち、 各測長 ビーム R I Y1 、 R I Y2 による計測はいわゆるダブルパス構成によって行われ るようになっている。 前記戻り光束は、 干渉計本体部内で不図示の固定鏡からの参照ビームの戻り光 束と重なり、 それらの重なり光束の干渉縞をカウントすることで、 通常の倍の精 度で基板テーブル TBの第 2反射面 6 O bの図 5 (B) 中の一点鎖線で示す軸 Y 1 、 Y2 上の位置をそれぞれ独立に計測可能となっている。 また、 これらの測長 ビーム R I Y1 、 R I Y2 による計測値の差に基づいて基板テーブル T Bの回転 を求めることができる。
35 しかし、 回転計測ができるのみでは、 特に、 本実施形態のように、 基板テ一ブ ル側面を鏡面加工して移動鏡とする構成の場合には、 十分でない。 このような場 合には、 干渉計からの測長ビ一厶をウェハ W表面と同一高さに設定できないから である。 かかる点を考慮して、 図 5 (C) に示されるように、 第 2の干渉計 76 Yからは測長ビ一厶 RIY1 (又は R IY2 )の照射位置から X Y平面に直交す る面方向 (下向)に所定距離離れた位置に照射される第 3の測長ビーム R I Y3が 照射されている。従って、 測長ビーム RIY1 (又は RIY2 ) と測長ビ一厶 R I Y3 との差に基づいて基板テーブル T Bの XY面に対する傾斜を求めることが できる。 かかる意味からすれば、 測長ビーム R I Y1 又は R I Y2 の照射位置からそれ それ XY平面に直交する面方向 (下向) に所定距離離れた位置に、 第 3の測長ビ ーム、 第 4の測長ビームをそれぞれ照射するようにしても良い。 すなわち、 基板 テーブル T Bの X Y面内の回転及び X Y面内に対する傾、斜を求めることができる ように、 第 2反射面 6 Ob上で、 同一直線状にない少なくとも 3本の測長ビーム を干渉計 76 Yから第 2反射面に照射するような構成が望ましい。 また、 当然な がら、 計測精度の向上のためには、 第 3、 第 4の測長ビ一厶による計測もいわゆ るダブル i \°ス構成であることが望ましい。 その他の干渉計 76X1 、 76X2も上記の干渉計 76 Yと同様に、 3本の 測長ビームを第 1反射面 60 a、 第 3反射面 60 cに照射し、 それぞれの反射光 を受光することにより第 1反射面、 第 3反射面の各測長ビームの照射ボイン卜の 各測長ビーム方向の位置をそれぞれ独立して計測するようになっている。 図 5 (A)においては、干渉計 76X1 、 76 X 2、 76 Yからのそれぞれ 3本(又 は 4本) の測長ビームが、 代表的に干渉計ビーム R 1X1、 RIX2、 と して示されているものである。
36 この場合、 図 5 (A) に示されるように、 正三角形状の基板テーブル T Bの各 側面の反射面 60a, 60 b, 60 cに、 干渉計 76X 1 , 76Y, 76X 2が 少なくも各 3本の測長ビームからな成る干渉計光束を垂直に照射し、 各干渉計光 束の対向する位置であって基板テーブル T Bの各頂点付近にチル卜, Z方向を駆 動するためのァクチユエ一夕 Z ACX 1 , ZACY, ZACX 2が配置されてい る。 このため、 それぞれの干渉計により計測された対応する反射面のチルト角度 に応じてァクチユエ一タ Z ACX 1 , ZACY, Z AC X 2を独立に制御できる ため、 効率良く確実に基板テーブル T Bの傾斜調整を行うことができることに加 え、基板テーブル T Bの重心から最も遠い位置にあるァクチユエ一夕 Z AC X 1 , ZACY, Z ACX 2を駆動制御するので、 高いチル卜駆動制御応答が得られる 構成となっている。 図 2に戻り、 基板テーブル T Bの一部には、 投影光学系 P Lを通して投影され るレチクル R上のテス卜パターンの像ゃァライメン卜マークの像を光電検出する ための空間像検出器 KESが固定されている。 この空間像検出器 KE Sは、 その 表面がウェハ Wの表面の高さ位置とほぼ同じになるように取り付けられている。 ただし実際には、 基板テーブル T Bを Z方向の全移動ストローク (例えば 1匪) の中心に設定したときに、 投影光学系 P Lの結像面と空間像検出器 K E Sの表面 とが合致するように設定されている。 空間像検出器 KE Sは、 露光量計測、 照度ムラ計測、 結像特性計測等に用いら れるものである。 ここで、 空間像検出器 K E Sの構成及びそれを用いた結像特性 計測について詳述する。 図 6には、 図 2中の基板テーブル T B上に取り付けられ た空間像検出器 K E Sの構成とそれに関連した信号処理系の構成が概略的に示さ れている。 この図 6において、 空間像検出器 K E Sは、 基板テーブル T B上のウェハ Wの 表面とほぼ同じ高さ (例えば ± 1 mm程度の範囲) になるように設けられた遮 光板 1 40、 その遮光板 1 40の所定位置に形成された数十 m〜数百 m程 度の矩形開口 (ナイフエッジ開口) 1 41、 開口 1 41を透過した投影光学系 P Lからの結像光束を大きな N A (開口数) で入射する石英の光パイプ 1 42、 及 び光パイプ 1 42によってほぼ損失な〈伝送される結像光束の光量を光電検出す る半導体受光素子 (シリコンフォトダイ才一ド、 P I Nフ才卜ダイ才一ド等) 1 43等を備えている。 本実施形態の如く、 露光用照明光をエキシマレーザ光源 1 1から得る場合、 空 間像検出器 KE Sの受光素子 1 43からの光電信号は、 エキシマレ一ザ光源 1 1 のパルス発光に応答したパルス波形となる。 すなわち、 投影光学系 P Lの物体面 に設置された不図示のテス卜レチクル上のある物点からの像光路を M L eとする と、 その像光路 ML eが空間像検出器 KE Sの矩形開口 1 41に合致するように 基板テーブル T B (即ちウェハステージ WS T) を X, Y方向に位置決めした状 態で、 図 2中のエキシマレーザ光源 1 1をパルス発光させると、 受光素子 1 43 からの光電信号も時間幅が 1 0〜20 n s程度のパルス波形となる。 これを考慮して、 本実施形態では、 空間像検出器 KE Sの信号処理系内に、 受 光素子 1 43からの光電信号を入力し増幅するとともに、 前述したレーザ干渉計 システム 76のレシーバ 76 Eで作られる 1 0 nm毎の計数用パルス信号に応答 してサンプル動作とホールド動作とを交互に行うサンプルホールド回路 (以下「S /H回路」 という) 1 5 OAが設けられている。 この他、上記信号処理系内には、 S/H回路 1 5 OAの出力をデジタル値に変換する A— D変換器 1 52 Aと、 そ のデジタル値を記憶する波形メモリ回路 ( R A M ) 1 53 Aと、 波形解析用コン ピュ一タ 1 54とを備えている。 また、 この場合、 RAM 1 53 Aのアドレス力 ゥンタとしてレーザ干渉計システム 76から送られてくる 1 0 nm毎の計数用パ ルス信号を計数するアップダウンカウンタ 1 51が設けられている。 本実施形態では、 エキシマレ一ザ光源 1 1の制御用コンピュータ 1 1 B (図 2 参照) は、 レーザ干渉計システム 76からの計測値に基づきウェハステージコン 卜ローラ 78で演算され、 後述する同期制御系 80、 主制御装置 50に送られる 座標位置情報に応じてパルス発光のトリガを行う。 すなわち、 本実施形態ではェ キシマレ—ザ光源 1 1のパルス発光が基板テーブル T Bの座標位置に応じて行わ れ、 そのパルス発光に同期して S/H回路 1 5 OAが受光素子 1 43からのパル ス信号波形のピーク値をホールドするようになっている。 そして、 この S/H回 路 1 5 OAでホ一ルドされたピーク値は、 A— D変換器 1 52 Aによってデジタ ル値に変換され、 そのデジタル値は波形メモリ回路 (RAM) 1 53 Aに記憶さ れる。 RAM 1 53 Aの記憶動作時の番地 (アドレス) は、 前記アップダウン力 ゥンタ 1 51によって作られ、 基板テーブル T Bの位置と R AM 1 53 Aの記憶 動作時の番地 (アドレス) とが一義的に対応付けられる。 ところで、 エキシマレ一ザ光源 1 1からのパルス光のピーク強度は各パルス毎 に数%程度の変動がある。 そこで、 その変動による像計測精度の劣化を防止する ために、 本実施形態の信号処理回路内には、 図 6に示されるように、 前述した照 明光学系内に設けられた強度検出用の光電検出器 (インテグレ一タセンサ) 46 からの光電信号 (パルス波形) が入力される S/H回路 1 50 B (これは前記 S H回路 1 5 OAと同様の機能を有する) と、 該 S/H回路 1 50 Bの出力をデジ タル値に変換する A— D変換器 1 52 Bと、 そのデジタル値を記憶する波形メモ リ回路( R A M ) 1 53 B (記憶動作時のァドレス生成は R A M 1 53 Aと共逋) とが設けられている。
39 これによつて基板テーブル T Βの位置と R A M 1 5 3 Bの記憶動作時の番地 (アドレス) とが一義的に対応付けられた状態で、 エキシマレ一ザ光源 1 1から の各パルス光のピーク強度が R A M 1 5 3 Bに記憶される。 以上のようにして各 R A M 1 5 3 A , 1 5 3 Bに記憶されたデジタル波形は波 形解析用のコンピュータ (C P U ) 1 5 4に読み込まれ、 R A M 1 5 3 Aに記憶 された像強度に応じた計測波形が R A M 1 5 3 Bに記憶された照明パルス光の強 度ゆらぎ波形で規格化 (除算) される。 規格化された計測波形は波形解析用コン ピュー夕 1 5 4内のメモリに一時的に保持されるとともに、 計測すべき像強度の 中心位置が各種の波形処理プログラムによつて求められる。 本実施形態では、 空間像検出器 K E Sの開口 1 4 1のエッジを使ってテストレ チクル上のテス卜パターン像を検出するので、 波形解析用コンピュータ 1 5 4に よって解析される像の中心位置は、 テス卜パターン像の中心と開口 1 4 1のエツ ジとが X Y面内で合致する場合にレーザ干渉計システム 7 6によって計測される 基板テーブル T B (ウェハステージ 1 4 ) の座標位置として求まる。 こうして解析されたテス卜パターン像の中心位置の' ft報は主制御装置 5 0に送 られ、 主制御装置 5 0はテストレチクル上の複数点 (例えば理想格子点) に形成 されたテス卜パターンの各投影像の位置を順次計測するための動作を、 エキシマ レーザ光源 1 1の制御用コンピュータ 1 1 B、ウェハステージコントローラ 7 8、 及び波形解析用コンピュータ 1 5 4に指示する。 上記のようにして、 空間像検出器 K E Sによって投影光学系 P Lの結像性能や 照明光学系の照明特性を計測し、 その計測結果に基づいて図 2中に示した各種の 光学要素や機構を調整することができる。 更に、 本実施形態の基板テーブル T B上には、 その表面がウェハ Wの表面の高 さ位置とほぼ同じになるようにされた基準マーク板 F Mが設けられている (図 7 ( A ) 参照)。 この基準マーク板 F Mの表面には後述する各種ァライメン卜系に よって検出可能な基準マークが形成され (これについては後述する)、 それらの 基準マークは、各ァライメント系の検出中心点のチエック(キャリブレーション)、 それら検出中心点間のベースライン長の計測、 レチクル Rのウェハ座標系に対す る位置チエック、 又はレチクル Rのパターン面と共役な最良結像面の Z方向の位 置チェック等のために使われる。 なお、 上記基準マークは、 前述した K E Sの表 面に形成すれば、 同一基準板で X, Y , Zチル卜方向のキャリブレーションが可 能となるので、 各基準板に対応した累積誤差を軽減することができる。 また、 基 準マーク板 F Mを用いたレチクルァライメン卜、 ベースライン計測については後 述する。 図 1に示されるウェハ搬送ロボヅ卜 1 9は、 不図示のウェハ載置部からウェハ ステージ W S Tまでウェハ Wを搬送するウェハ搬送系の一部を構成するもので、 所定のローデイング位置 (受渡し位置) に移動してきたウェハステージ W S Tの ホルダとの間でウェハ Wの受け渡しを行うロボッ卜アーム (ウェハ口一ド /アン 口一ドア一厶) 2 1を備えている。 本実施形態の走査型露光装置 1 0では、 ァライメント系として、 投影光学系 P Lを介さないでウェハ W上の各ショッ 卜領域毎に形成されたァライメン卜マーク や、 基準マーク板 F M上の基準マークを光学的に検出するオファクシス■ァライ メン卜センサ (ァライメント光学系) が設けられている。 このァライメン卜光学 系 A L Gは、 図 2に示されるように、 投影光学系 P Lの側方に配置されている。 このァライメン卜光学系 A L Gは、 ウェハ W上のレジス卜層に対して非感光性の 照明光 (一様照明又はスポット照明) を対物レンズを通して照射し、 ァライメン 卜マークや基準マークからの反射光を対物レンズを介して光電的に検出する。 光 電検出されたマーク検出信号は、 信号処理回路 6 8に入力されるが、 この信号処 理回路 6 8には、 ウェハステージコントローラ 7 8、 同期制御系 8 0及び主制御 装置 5 0を介してレ一ザ干渉計システム 7 6の計測値が入力されている。そして、 信号処理回路 6 8は、 上記の光電検出されたマーク検出信号を所定のァルゴリズ 厶の下で波形処理し、 この処理結果とレーザ干渉計システム 7 6の計測値とに基 づいて、 マークの中心がァライメン卜光学系 A L G内の検出中心 (指標マーク、 撮像面上の基準画素、 受光スリツ 卜、 或いはスポッ 卜光等) と合致するようなゥ ェハステージ W S Tの座標位置 (ショットァライメン卜位置)、 或いは検出中心 に対するウェハマーク、 基準マークの位置ずれ量を求めるようになつている。 そ の求められたァライメン卜位置または位置ずれ量の†f報は、 主制御装置 5 0に送 られ、 ウェハステージ W S Tのァライメン卜時の位置決め、 ウェハ W上の各ショ ッ卜領域に対する走査露光の開始位置の設定等に使われる。 また、 本実施形態の走査型露光装置 1 0では、 図 2に示されるように、 レチク ルステージ R S Tの上方に、 レチクルァライメン卜を行うためのレチクル顕微鏡 1 1 0が設けられている。 レチクル顕微鏡 1 1 0は、 実際には非走査方向に沿つ て所定間隔で 2つ配置されているが、 図 2では、 紙面奥側のレチクル顕微鏡 1 1 0が手前側のレチクル顕微鏡 1 1 0の陰に隠れているため、 1つしか図示されて いない。 さらに、 本実施形態の走査型露光装置 1 0では、 レチクルステージ R S Tとゥ ェハステージ W S Tとを同期移動させるための同期制御系 8 0が、 制御系内に設 けられている。 この同期制御系 8 0は、 特に走査露光時に、 レチクルステージ R S Tとウェハステージ W S Tとを同期移動させる際に、 レチクルステージコント ローラ 3 3による駆動系 2 9の制御とウェハステージコン卜ローラ 7 8による駆 動系 4 8の制御とを相互に連動させるために、 レチクルレーザ干渉計 3 0、 干渉 計システム 7 6で計測されるレチクル Rとウェハ Wの各位置や各速度の状態をリ アルタイムにモニタし、それらの相互の関係が所定のものとなるように管理する。 その同期制御系 8 0は、 主制御装置 5 0からの各種のコマンドやパラメータによ つて制御される。 次に、 図 1に示される制御ラック 1 4の構成について説明する。 制御ラック 1 4は、 露光装置本体 1 2各部のュニッ卜 (エキシマレ—ザ光源 1 1、 照明光学系 1 8、 レチクルステージ R S T、 ウェハステージ W S T、 搬送口 ボッ卜 1 9等) の各々を個別に制御する分散型システムとして構築され、 各ュニ ッ卜制御用のプロセッサ■ボードの複数を収納するプロセッサ■ボード 'ラック 部 1 0 4、 各プロセッサ■ボードを統括的に制御する主制御装置 (ミニコンピュ 一夕) 5 0 (図 2参照) を収納するラック部、 そしてオペレー夕とのマン .マシ ン■ ィンターフェイス用の操作パネル 1 0 6、 及びディスプレー 1 0 8等を収納 するラック部等を積み重ねたシングル ·ラック構成となっている。 この制御ラッ ク 1 4によって露光装置本体 1 2の全体的な動作が管理される。 プロセッサ ·ボード■ラック部 1 0 4内の各プロセッサ■ボードにはマイクロ プロセッサ等のュニヅ卜側コンピュータが設けられ、 これらのュニッ 卜側コンビ ユー夕が主制御装置 (ミニコンピュータ) 5 0と連携することによって複数枚の ウェハの一連の露光処理が実行される。 その一連の露光処理の全体的なシーケンスは主制御装置 5 0内の不図示のメモ リに記憶された所定のプロセスプログラムに従つて統括制御される。 プロセスプログラムはオペレータが作成した露光処理ファイル名のもとに、 露 光すべきウェハに関する情報(処理枚数、ショッ卜サイズ、ショッ卜配列データ、 ァライメン卜マーク配置データ、 ァライメン卜条件等)、 使用するレチクルに関 する情報 (パターンの種別データ、 各マークの配置データ、 回路パターン領域の サイズ等)、 そして露光条件に関する情報 (露光量、 フォーカスオフセッ 卜量、 走査速度のオフセッ卜量、投影倍率オフセッ 卜量、各種の収差や像歪みの補正量、 照明系の σ値や照明光 N A等の設定、 投影光学系の N A値設定等) をパラメ一 夕群のパッケージとして記憶するものである。 主制御装置 5 0は、 実行指示されたプロセスプログラムを解読してウェハの露 光処理に必要な各構成要素の動作を、 対応するュニッ卜側コンピュータにコマン ドとして次々に指令していく。 このとき、 各ユニット側コンピュータは 1つのコ マンドを正常終了すると、 その旨のステータスを主制御装置 5 0に送出し、 これ を受けた主制御装置 5 0はュニッ 卜側コンピュータに対して次のコマンドを送る。 このような一連の動作のなかで、 例えば、 ウェハ交換のコマンドが主制御装置 5 0から送出されると、 ウェハステージ W S Tの制御ュニッ卜であるウェハステ —ジコント口一ラ 7 8と、ウェハ搬送ロボッ 卜 1 9の制御ュニヅ卜とが協同して、 ウェハステージ W S Tとアーム 2 1 (ウェハ W ) とは図 1のような位置関係に設 疋される。 さらに主制御装置 5 0内のメモリには、 複数のュ一ティリティソフトウェアが 格納されている。 そのソフ卜ウェアの代表的なものは、 ①投影光学系や照明光 学系の光学特性を自動的に計測し、 投影像の質 (ディストーション特性、 ァス - コマ特性、テレセン特性、照明開口数特性等)を評価するための計測プログラム、 ②評価された投影像の質に応じた各種の補正処理を実施するための補正プログ ラムの 2種類である。 第 3概念の説明
次に、 可動型定盤 38の役割及びその制御方法について、 図 7 (A)、 (B) を 参照しつつ説明する。 図 7 (A) には、 定盤 22付近の概略平面図が示され、 図 7 (B) には図 7 (A) の矢印 A方向から見た概略正面図が示されている。 図 7 (A) では、 ウェハステージ WS Tが矢印 Bの距離だけ移動した時の加減速によ る可動型定盤 38への反力による可動型定盤 38の移動距離が矢印 Cにて示され ている。 可動型定盤 38の上面には、 ウェハステージ WS Tの下面に設けられた不図示 の永久磁石とともに平面磁気浮上型リニアァクチユエ一タ 42を構成する複数の コイル (図示省略) が XY 2次元方向に張り巡らされている。 そして、 ウェハス テ一ジ WSTは、 平面磁気浮上型リニアァクチユエ一タ 42によって可動型定盤 38の上方に浮上支持されるととももに、 前記コイルに流す電流を制御すること により任意の 2次元方向に駆動される構成となっている。 同様に、 定盤 22の上面には、 可動型定盤 38の下面に設けられた不図示の永 久磁石とともに平面磁気浮上型リニアァクチユエ一夕 44を構成する複数のコィ ル (図示省略) が XY 2次元方向に張り巡らされている。 そして、 可動型定盤 3 8は、 平面磁気浮上型リニアァクチユエ—タ 44によって定盤 22の上方に浮上 支持されるととももに、 前記コィルに流す電流を制御することにより任意の 2次 元方向に駆動される構成となっている。 この場合、 ウェハステージ WS Tと可動型定盤 38、 可動型定盤 38と定盤 2 2とは、 それぞれ非接触のため、 それぞれの間の摩擦が非常に小さ〈なっている 結果、 ウェハステージ WS T、 可動型定盤 38を含む系全体として運動量保存則 が成立する。 従って、 ウェハステージ WS Tの質量を m、 可動型定盤 38の質量を とし、 ウェハステージ WSTが可動型定盤 38に対し速度 Vで移動すると、 運動量保存 則から可動型定盤 38は、 これと反対方向に V = mv/ (M + m) の速度で定盤 22に対し移動することとなる。 しかるに、加速度は速度の時間微分であるから、 ウェハステージ WSTが加速度 aで移動した場合 (力 F = m aが作用した場合)、 可動型定盤 38は力 Fの反力により逆方向に A二 ma/ (M + m) の加速度を受 けることとなる。 この場合、 ウェハステージ WS Tは可動型定盤 38上に載っているので、 該ゥ ェハステージ WSTは、 定盤 22に対し vx { 1 -m/ (M + m)} の速度、 従 つて ax { 1 -m/ (M + m)} の加速度で移動する。 このため、 ウェハステ一 ジ WSTの質量 m (重量 mg) と可動型定盤 38の質量 M (重量 Mg) とが近い と所望のウェハステージ WS Tの加速度、 最高速度を得られなくなる。 また、 移 動距離は速度に比例するため、 可動型定盤 38の移動量が大きくなり、 フッ卜プ リン卜が悪化することとなる。 例えば、 m : M= 1 : 4とすると、 1 2インチウェハ全面露光のために 300 mmウェハステージ WS Tを移動したい場合、前記式 V = m v/ (M + m)より、 30 Ommの 1 /5である 60 mm分の可動型定盤 38のストロ一クを確保する ことが必要になる。
95 そこで、 本実施形態では、 ウェハステージ加速度、 最高速度、 フッ卜プリン卜 の悪化を 1桁以下に抑えるため、 ウェハステージ W S Tの質量 mと可動型定盤 3 8の質量 Mの比が m: M = 1 : 9以下になるように、 すなわちウェハステージ W S Tの重量が可動型定盤 3 8の重量の 1 / 9以下になるように設定している。 また、 可動型定盤 3 8の必要ストロークを小さくするために、 ウェハステージ コントローラ 7 8では、 可動型定盤 3 8駆動用の平面磁気浮上型リニアァクチュ ェ一タ 4 4に対する制御応答周波数を露光, ァライメン卜時とその他の時とで可 変するようにしている。 これを更に詳述する。露光の際は、 ウェハステージ W S Tとレチクルステージ R S Tが同期して移動するが、 可動型定盤 3 8駆動用の平面磁気浮上型リニアァ クチユエ一夕 4 4の制御応答周波数を数 H zに調整して用いれば、 数十 H zで制 御されるウェハステージ W S T駆動用の平面磁気浮上型リニアァクチユエ一夕 4 2の可動型定盤 3 8に対する反力には殆ど追従できず、 運動量保存則から可動型 定盤 3 8が自由に運動してその反力を吸収してしまい、 その反力の影響が外部に 及ばない。 また、 ウェハステージコント口一ラ 7 8では、 レチクルステージ R S Tの位置 やウェハステージ W S Tの位置の変化にて露光装置本体 1 2が全体的に傾いた場 合に、 平面磁気浮上型リニアァクチユエ一夕 4 4の制御応答を数 H zにて制御す ることにより、 その傾き方向に可動型定盤 3 8が移動する低周波位置ずれを防止 するようになつている。 また、 m : M = 1 : 9に設定しても、 ウェハステージ W S Tが 3 0 O m mフル に移動すれば、 可動型定盤 3 8も 3 0 m m程度反対方向に動くが、 ショッ卜露光 間の非スキャン方向ステツピング長はせいぜい 3 O m m程度なので、 その時の可 動型定盤 3 8の移動は 3 m m程度である。 そこで、 本実施形態では、 ウェハステ —ジコン卜ローラ 7 8が、 スキャン露光後の同期制御性能に影響を及ぼさないゥ ェハステージ減速時 (非スキャン方向ステッピング加速時) に可動型定盤 3 8駆 動用の平面磁気浮上型リニアァクチユエ一夕 4 4のステツビングと同方向応答周 波数を数十 H zに上げ、 可動型定盤 3 8の定盤 2 2に対する X Y方向の相対移動 の位置を検出する位置計測装置としてのリニアエンコーダ 4 5 (図 7 ( B )参照) を用いたフィードバック制御により、 可動型定盤 3 8がステッピング前の元の位 置に戻るように制御するようになっている。 これにより、 可動型定盤 3 8の移動 量を小さくすることが可能となり (図 7 ( A ) 中の仮想線 3 8 ' 参照)、 更に、 その間可動型定盤 3 8と定盤 2 2が固定状態と考えることができるので、 ウェハ 加速度、 最高速度も 1 0 %向上させることができる。 このような制御方法は、 それ以外のァライメン卜間でのウェハステージ W S T の移動や、 ウェハを交換する際のローディング位置への移動時にも同様に適用す ることができる。 また、 架台部 1 6を支持する防振装置 2 0には、 床振動等の高周波振動防止の ためのエアパッ 卜及び、 それに伴う低周波振動除去のためのリニアァクチユエ— 夕が搭載されているが、 レチクルステージ R S T、 ウェハステージ W S Tの位置 により僅かに装置が傾くことがある。 この場合、 防振装置 2 0を構成する前記リ ニァァクチユエ一夕に所定の電圧をかけ続けて傾きを修正する必要があるが、 常 時ァクチユエ一タに負荷をかけるので、 ァクチユエ一夕等の部品の寿命を縮める ことになる。 このような場合に、 本実施形態では、 ウェハステージコントローラ 7 8が上記の如くして可動型定盤 3 8を所定量移動させて、 装置全体の重心を矯 正することで、 装置傾きを修正し、 リニアァクチユエ一夕に負荷がかからないよ うにすることができ、ァクチユエ一タ等の部品の寿命を延ばすことが可能になる。 上記のような種々の工夫により、 本実施形態では、 可動型定盤 38の形状及び その移動範囲を、 ウェハステージ WS Tの形状と移動範囲に応じて、 図 7 (A) 中の実線及び仮想線でそれぞれ示すような頂点の無い三角形状 (八角形状) とし ている。この場合、ウェハステージ WS Tのスキャン方向(走査方向)は図 7 (A) 中の紙面上下方向である。 本実施形態では、 定盤 22をほぼ正方形に形成し、 こ れを支持する 4つの防振装置 20を剛性を上げるために 4角形の配置としている が、 スペースを有効に生かすために、 定盤 22の形状を図 7 (A) 中の仮想線 3 8' で示されるのと同様の形状にし、 防振装置 20を図 7 (A) 中の点線 20' で示されるような 3点配置としても良い。 これにより、 明らかに、 フットプリン 卜を改善することが可能である。但し、 この場合には、 防振装置の剛性を上げる ことが必要である。 次に、 走査露光に先立って行われるレチクルァライメン卜及びベースライン計 測について、 図 8及び図 9を用いて説明する。 図 8 (A) には、 レチクルステージ R S T上に保持された 9インチサイズのレ チクル Rの平面図が示されている。 この図 8 (A) に示されるように、 レチクル Rには、 )、'夕 -ン領域 Pを区画する遮光帯 E Bの Y方向の一端側に X方向に沿つ て、 3つのレチクルァライメントマ一ク MR1、 MR2S Mr3が形成されている。
—方、基準マーク板 FM上には、図 9に示されるように、第 1基準マーク Mrl, Mr2 と、 第 2基準マーク Mwとが所定の位置関係で形成されている。 そこで、 例えば、 レチクル R上の領域 P 1の走査露光に先立って、 レチクルァライメン卜 及びベースライン計測を次のようにして行う。 すなわち、 主制御装置 50では、 レチクルステージコントローラ 33を介して 2つのレチクル顕微鏡 1 1 0でレチクルァライメントマ一ク MR1、 ME2 を同時 に計測可能な位置までレチクルステージを移動するとともに、 基準マーク板 FM 上の第 1基準マーク Mrl, Mr2 を前記レチクルァライメン卜マーク MR1、 MR2 と同時に 2つのレチクル顕微鏡 1 1 0で計測可能な位置までウェハステージコン 卜ローラ 78を介してウェハステージ WS Tを駆動する。 このときの、 2つのレ チクル顕微鏡 1 1 0と基準マーク板 FM、 及びァライメン卜センサ A LGとの相 対位置関係が図 9に示されている。 この場合、 図 9からも明らかなように、 ァラ ィメン卜センサ A LGにより第 2基準マーク Mwが計測される。 すなわち、 この ような位置関係で基準マーク板 FM上に第 1基準マーク Mrl, Mr2 と第 2基準 マーク Mwとが形成され、 2つのレチクル顕微鏡 1 1 0とァライメントセンサ A L Gとの位置関係が定められている。 そして、 主制御装置 50は、 図 9の状態で、 ァライメン卜センサ A LGを用い て第 2基準マーク Mwに対するァライメン卜センサ A L Gの指標 Maの中心の位 置 AWを計測し、 これとほぼ同時に 2つのレチクル顕微鏡 1 1 0を用いて第 1 基準マーク Mrl, Mr2 に対するレチクルァライメントマ一ク MR1, ME2 の位置 △ Rを計測する。 この場合、 第 1基準マーク Mrl, Mr2 から第 2基準マーク Mwまでの距離 L は既知であるから、 レチクルァライメン卜マーク MR1, MR2 で代表されるレチ クル R上の第 1領域 P 1の投影位置からァライメン卜センサ A LGの検出中心 (すなわち指標 Maの中心) までの距離、 すなわちべ一スライン量 B Lを求める ことができる。 図 9から明らかなように、 この求めるベースライン量 B Lは、 各 量の符号 (矢印の方向) を考慮して、 次式で与えられる。 B L = L + AW—△ R
そこで、 主制御装置 50では、 上記 AW, の計測の後、 上式の演算を行 なって、 レチクル R上の第 1領域 P 1の投影位置とァライメントセンサ ALGの 検出中心 (すなわち指標 M aの中心) までの距離 (相対位置関係) を算出する。 上記と全〈同様にして、 レチクル R上の領域 P 2の走査露光に先立って、 レチ クルァライメン卜及びべ一スライン計測が行われる。但し、 この場合には、 レチ クルァラィメン卜マーク Mr2, Mr3がレチクルァライメン卜に用いられる。 なお、 例えば図 8 (Β) に示されるように、 レチクル R上の第 1領域 Ρ 1 と第 2領域 Ρ 2との境界に遮光帯 Ε Βが存在する場合には、 レチクルァライメントマ —ク MR4、 MR5、 ME6 をそれぞれの領域 P 1、 P 2の長手方向の中央部の遮光 帯 E B上に設けても良い。 同様に、 パターン領域内にレチクルァライメン卜マ一 クを設けても支障がないような場合には、 その領域内にレチクルァライメントマ —クを設けても良い。 なお、 これまでの説明から明らかなように、 本実施形態では、 第 2可動体とし ての可動型定盤 38を所定の応答周波数で駆動可能な駆動系が平面磁気浮上型リ ニァァクチユエ一夕 44で構成され、 この駆動系を介して数 H z以下の応答周波 数で可動型定盤 38を位置制御する制御装置がウェハステージコントローラ 78 によって構成されている。 さらに、 本実施形態では、 平面磁気浮上型リニアァク チユエ一夕 44とウェハステージコン卜ローラ 78とによって、 定盤 22上で可 動型定盤 38を低応答周波数で駆動し、 またウェハ Wの露光動作、 及びァライメ ン卜動作以外では位置計測装置 (リニアエンコーダ 45) の出力に基づいて、 可 動型定盤 38を定盤 22上の所定点に位置決めする第 2駆動装置が構成されてい
)01 る, 第 4概念の説明
次に、レチクルステージ R S Tとウェハステージ W S Tとを走査方向(Y方向) に相対移動させるステージ制御系 (ウェハステージコント口一ラ 7 8、 レチクル ステージコン卜ローラ 3 3、 同期制御系 8 0 ) によって行われる 1つのショッ 卜 領域の 1回の露光の際のウェハステージの基本的な走査手順について図 1 0を参 照しつつ、 簡単に説明する。 図 1 0 ( A ) には、 投影光学系 P Lの有効フィールド P L ' に内接するゥェ ハ上のスリツ卜状の照明領域 (レチクル R上の照明領域と共役な領域;以下 「照 明スリット」 という) S Tと 1つの区画領域としてのショッ卜領域 S 1との関係 が平面図にて示され、 図 1 0 ( B ) には、 ステージ移動時間 tとステージ速度 V yとの関係が示されている。 なお、 実際には、 ショッ 卜領域 S 1が照明スリッ 卜 S Tに対して矢印 Yの反対方向に移動することで露光が行なわれるのだが、 ここ では、 図 1 0 ( B ) のステージ移動時間とステージ速度の関係表と対応付けるた め、 ウェハ上照明スリヅ 卜 S Tがショッ卜領域 S 1に対し移動するように示され ている。 まず、 基本的 (一般的な) 走査手順としては、 ショッ ト領域 S 1のショッ卜端 から所定量離れた位置に照明スリツ卜 S Tの中心 Pが位置付けられ、 ウェハステ —ジ W S Tの加速が開始される。 ウェハステージ W S Tが所定の速度に近づいた 時点で、 レチクル Rとウェハ Wの同期制御が開始される。 このウェハステージの 加速開始時点から同期制御の開始時点までの時間 t 1を、 加速時間と呼ぶ。 同期 制御開始後、 ウェハとレチクルの変位誤差が所定の関係になるまでレチクルステ —ジ R S Tによる追従制御が行われ、 露光が開始される。 この同期制御開始後、 露光開始までの時間 t 2を、 整定時間と呼ぶ。 上記の加速開始から露光開始までの時間 ( t 1 + t 2) がプリスキャン時間と 呼ばれる。加速時間 t 1での平均加速度を a、 整定時間を t 2とすると、 プリス キャン時における移動距離は ( 1/2) . a . t 12 +a . t 1 . t 2で表わさ れ o また、 等速移動により露光が行われる露光時間 t 3は、 ショッ卜長をし、 照明 スリット S Tの走査方向の幅を wとした場合、 t 3 = (L+w) / (a■ t 1 ) となり、 移動距離は L+wとなる。 この t 3の終了時点でショッ卜領域 S 1に対するレチクルパターンの転写は終 了するが、 スループヅ卜向上のため、 ステップアンドスキャン方式では、 通常レ チクル Rを交互スキャン (往復スキャン) させることで、 順次次のショッ卜に対 する露光を行なうので、 前記プリスキャンでの移動距離と同じ距離だけ、 露光終 了時点から更にレチクル Rを移動して、 レチクル Rを次ショット露光のための走 査開始位置まで戻す(従って、これに対応してウェハ Wも走査方向に移動させる) ことが必要である。 このための時間が、 等速度才一バ一スキャン時間 t 4、 減速 オーバ一スキャン時間 t 5であり、 総じて (t 4 + t 5) がオーバ一スキャン時 間である。 この才一バースキャン時間における移動距離は、 減速才一バースキヤ ン時間 t 5における減速度を bとすると、 一 (1/2) ' b ' t 52— b . t 5 - t4となり、 この距離が ( 1/2) - a ' t 12 +a - t 1 - t 2となるように t4、 t 5、 減速度 bが設定される。 一般の制御系では a =— bなので、 t 1 =t 5、 t 2= t 4に設定するのが最 も容易な制御法となる。 このように、 スキャン露光では等速同期スキャンを行な うために、 プリスキャン距離及び、 才一バースキャン距離が必要となり、 ウェハ 周辺ショッ 卜を露光する場合であっても、 プリスキャン及びオーバ一スキャン時 の間で干渉計光束が反射面 (移動鏡) から外れることがあってはならない。 その ため、 反射面をその分長くしてお〈必要がある。 次に、 図 1 0 (C) を用いて本実施形態における基板テーブル TBの各反射面 の長さの設定について説明する。 図 1 0 (C) には、 ウェハステージ WST (基 板テーブル T B) が矢印 Y方向にスキャンすることでウェハ周辺のショヅト領域 Sを露光する場合のウェハ周辺ショヅ 卜 Sと移動鏡長延長分( L 0, L 1 +L 2, L 3 ) との関係が示されている。 この図 1 0 (C) において、 干渉計ビーム R I X 1、 R I X 2の延長線がウェハ W外周と交差する時の反射面 60 a、 60 cの 長さが最低必要な反射面の長さとなる。 これに、 ショット Sがウェハ W外周に欠 けた状態で露光できるとした時の欠け分仮想ショッ卜長を L3、 前述したプリス キャン及びオーバ一スキャンに要する距離をし 1 +L 2、 干渉計ビームを XY面 内で 2本の測長ビームとした場合の該 2本の測長ビームの中心位置 (点線部) と 各測長ビームの中心までの距離と各ビーム半径と所定のマ一ジンとの総和を L 0 とすると、 反射面の延長分は L0 + L 1 +L 2 + L 3となり、 その値が基板テ一 ブル T Bの三角形の頂点よりも小さくなるように、 反射面の長さが設定されてい る。 これにより、 スキャン露光時に反射面から測長ビームが外れるという不都合 を防止している。但し、 ウェハ外周でのショッ卜はショッ 卜長し分完全に露光す る必要は無いので、 ウェハ上に露光される部分のみを露光するように制御するこ とで、 移動鏡の延長分を L 0 + L 1 +L 2としても良い。 第 2概念の説明 (II)
1つのショッ 卜領域の露光の際のウェハステージの基本的な走査手順は、 先に 説明した通りであるが、 隣接した複数のショッ卜領域に順次レチクルパターンを 露光する場合のウェハステージ WS T (基板テーブル ΤΒ) の移動制御方法につ いて、 次に詳述する。 ここでは、 一例として図 1 1 (Α) に示される隣接したシ ヨッ ト S 1 , S 2, S 3を順次露光する場合について説明する。 図 1 1 (Α) は、 ショット S 1, S 2, S 3を順次露光する場合のウェハ上照 明スリツ卜 STの中心 Ρが各ショッ卜上を通過する軌跡を示したものである。 こ の図 1 1 (Α) から明らかなように、 ウェハステージコントローラ 78及び同期 制御系 80では、 スキャン方向 (Υ方向) へのウェハステージ WS Τのプリスキ ヤン及びオーバ一スキャンと、 非スキャン方向 (X方向) へのウェハステージ W S Τのステッピングを同じタイミングで行っている。 これによつて、 ウェハステ —ジ WSTのショッ卜間の移動距離を短縮し、 従ってこれに要する移動時間を短 縮し、 スループヅ 卜の向上を図る。 ところで、 前述の如く、 プリスキャン時間にはレチクル Rをウェハ Wに完全に 追従させるための整定時間 t 2が含まれるため、 非スキャン方向に関する加減速 制御はできるだけ整定時間 t 2の開始時点より早く終了していることが望ましい。 これを実現するため、 本実施形態では、 ウェハステージコントローラ 78及び同 期制御系 80では、 露光終了に続〈ウェハステージ WS Tのスキャン方向での等 速才一バスキャン時間 t 4の間に、 ウェハステージ WS Tの非スキャン方向での ステッピングを開始することとしており、 その等速才一バスキャン時間 t 4分だ け早く非スキャン方向に発生する加減速制御を終了するような制御を行う。 図 1 1 (B) には、 この場合のウェハステージ WS Tのスキャン方向の速度 V yと時 間の関係が示され、 図 1 1 (C) にはそれに対応した非スキャン方向の速度 Vx と時間の関係が示されている。 このウェハステージの移動制御方法によると、 整 定時間 t 2の間は、 非スキャン方向の制御は不要であり、 スキャン方向の同期制 御のみを行えばよい。
!05 ここで、 ステッピング方向を X軸、 スキャン方向を Y軸とし、 ショット S 1の 露光時スキャン速度を— V丫、 ステツビング時最高速度を VXとした場合につい て、 時間配分を各軸について具体的に考えるものとする。 まずスキャン方向について考えると、 ショッ卜 S 1の露光が終了して等速才ー バスキャン時間 t 4後に、 ウェハステージ WS Tは減速 (図 1 1 (A) 中の— Y 方向に速度を有する時の +Y方向の加速) を開始する。 このときの減速度を ay とすると、 図 1 1 (A) 中の点 0 (0, 0) を基準点としてウェハステージ WS Tは、 時間 t4 の間に— VY · t 4だけスキャン方向に進み、 その後は、 時間 t 4経過の時点を時間の基準点として、 — VY . t+ (1 /2) · a y · t2 とい うように変化し、 一 VY . t+ (1/2) · ay ' t2 二一 VY . t · (1/2) を満足する時点、 すなわち t = ty 5 =V Y/a y (図 1 1 (B参照) となった 時点で別の区画領域としてのシ'ョッ卜 S 2に対するプリスキャンが開始される分 岐点 B (図 1 1 (A)参照) となる。 その後加速期間は、 加速開始点を時間の基 準として 1 /2 ■ ay · t2 の軌跡を取り、 ty 1 =VY/a yとなるまで加 速し続け、 その後、 レチクル Rとウェハ Wの同期制御期間としての t 2を経て、 露光が開始される。露光時間 t 3は t 3= (ショッ卜長 L y+照明スリッ卜幅 w) /VYで表わされる。 次にステッピング方向を考えると、 ショッ ト S 1の露光が終了後すぐに、 ゥェ ハステージ WS Tは加速を開始する。 加速度を axとすると、 ウェハステージ W 5丁の 座標は図1 1 (A) 中の点 0を基準点として (1 /2) · ax ■ と なり、 t = tx 5 = VX/ax (図 1 1 (C)参照) にて最高速度に達する。 こ こで、 ステッピング長 Lx≤ ax - tx 5! の場合は、 tx 5= (Lx/ax) の時点から減速 (+ X方向に速度を有する時の— X方向の加速) を開始する。 そ
105 の後減速期間は減速開始点を時間の基準点として、 ax . tx 5 ' t— (1/2) - ax - t2 のように変化し、 ax ' tx 5 ' t— ( 1 /2) . ax ' t2 = ( 1 /2 )■ ax · tx 5 · tとなる時点、 すなわち減速開始点から時間 tx 1を経 過する時点まで減速して停止する。 すなわち、 スキャン方向は、 図 1 1 (B) に示されるように、 前ショッ卜の露 光終了時点から t4 + ty5 + ty1 +t 2で次ショットの露光を開始するが、 ス テツビング方向には図 1 1 (C) に示されるように、 前ショッ卜の露光終了時点 から tx 5 + t4 + tx 1の時点では加減速が終了しており、 これより、 t y 1 = tx 1 , ty5 = tx5とした場合、 前述の如く t 2 = t 4であることを考慮す ると、 スキャン方向の整定時間 t 2における同期制御開始より t 4だけ早くステ ッビング動作が終了することが分かる。 この時ウェハステージ WS Tの軌跡は、 放物線状となる。 実際の放物線は、 y = x2 又は y = "xにて表されるが、 ここ では tを消去すると、 X2 と^ Xが含まれる関数となるので、 便宜上放物線状と は、 これらの関数も含めたものを示している。 このことを、 別の表現にすれば、 スキャン方向の速度がゼロとなる点、 すなわ ち減速が終了して次ショッ 卜の露光のための加速が開始される点である図 1 1 (A) の B点 (Bx, By) の X座標 Bxがショッ卜 S 1 と S 2の境界より S 2 寄りとなるように、 ウェハステージ WS Tのスキャン方向のオーバ一スキャン及 びプリスキャン動作に並行して、 非スキャン方向のステツビング動作が行われる ように、 ウェハステージコントローラ 78及び同期制御系 80が、 ウェハステ一 ジ WS Tの X、 Yそれぞれの方向の移動を制御するようになっているということ であ «。 また、 今までの説明ではステッピング時の加速度を士 axとしていたが、 カロ 速時の axに対し減速時の加速度を _bxとし、 |— bx | <a x となる条件 に設定すれば、 ステッピング時間は長〈かかるものの、 加速自体を小さ〈抑える ので、 装置振動自体も小さ〈抑えられるという効果がある。 また、 上記の説明では、 ステッピング長 L x≤ax · tx52 の場合を説明し たが、 Lx> ax ■ tx52 の場合、 tx6= (Lx— ax ' tx52 ) /VX を満足する時間 tx 6だけ最高速度 VXにて走査後に減速動作に入るようにゥェ ハステージ WS Tの X方向位置を制御すればよいこととなる。 但し、 いずれにし ても t4 + ty5 + ty 1≥tx5 + tx6 + tx 1となるように加速度 a χ , 最 高速度 VXを設定することが重要である。 このようにすれば、 ステッピング時間 は全てプリスキャン及び才一バスキャンと並行動作されることとなり、 スループ ッ卜が向上する。 すなわち、 上記の図 1 1 (A) ~ (C) を用いて説明したウェハステージ WS T (基板テーブル TB) の移動制御方法を採用した走査露光方法によると、 レチ クル Rとウェハ Wとを走査方向である Y方向 (第 1方向) に同期移動して、 ショ ッ卜 S 1が走査露光された後、 X方向 (非走査方向) に関する、 ショッ卜 S 1に 隣接するショッ 卜 S 2の位置にウェハ Wが到達する前に (ショッ卜間の非走査方 向のステツビング終了する前の減速中に)ウェハ Wの走査方向の加速が開始され、 レチクル Rのパターンでショッ ト S 2が走査露光される。 換言すれば、 ショッ 卜 S 1の露光の終了後にショッ 卜 S 2への移動が開始されるがこの途中で走査方向 についてのウェハの加速が開始されるので、 ショッ卜 S 2への非走査方向の移動 時間に該ショッ 卜 S 2の露光のための走査方向加速時間を完全にオーバ—ラップ させることができ、 ショット S 2の位置にウェハ Wが到達してからショッ卜 S 2 の露光のための走査方向の加速が開始される従来例に比べてスループッ卜を向上 させることができることは明らかである。 なお、 図 1 1の場合には、 ウェハ Wの非走査方向への加速は、 ショット S 1の 走査露光終了後の走査方向の等速移動時に開始されているが、 これは走査方向の 整定時間 t 2における同期制御開始より t 4だけ早くステッピング動作が終了す ることを意図してこのようにしたものであり、 これに限らず、 ウェハ Wの非走査 方向への加速をウェハ Wの減速中に開始するようにしても良い。 この場合において、 ウェハ Wは、 ショッ卜 S 2の走査露光前に、 走査方向と交 差する方向に沿つて加速されて、 走査方向の移動速度がゥエノ、 Wの感度特性に応 じた速度に設定されているので、 露光中はその速度を維持しレチクルを同期制御 すれば良いので、 制御が容易である。 また、 図 1 1の (B )、 (C ) から明らかなように、 ウェハ Wは、 ショッ卜 S 1 の走査露光とショッ卜 S 2の走査露光との間で、 走査方向の移動速度と非走査方 向の移動速度との少な〈とも一方が零とならないように移動されるので、 ショッ 卜 S 1の走査露光とショッ 卜 S 2の走査露光との間で、 停止することなく移動が 行われ、 その分スループッ 卜が向上する。 また、 図 1 1 ( A ) から明らかなように、 ウェハ Wは、 ショッ 卜 S 1の走査露 光とショット S 2の走査露光との間で、 走査方向の移動速度が零となる B点の X 方向の位置がショッ卜 S 1よりもショット S 2に近くなるように移動されている ことから、 上記の如く、 ショッ 卜 S 1 とショッ卜 S 2との間のウェハ Wの非走査 方向の加速度と減速度とが等しい場合であっても、 必ずショッ卜 S 2露光の開始 前のある一定時間 (上記の例では t 2 ) 前には非走査方向の速度がゼロとなって いる。従って、 ショット S 1の走査露光とショッ卜 S 2の走査露光との間のゥェ ハ Wの非走査方向の加速後の減速度を大きくする必要がなく、 露光開始時点でこ の影響が残ることがなく同期整定時間が不要に長くなることがない。 但し、 ウェハ Wは、 ショット S 1の走査露光とショッ卜 S 2の走査露光との間 で、 図 1 1 ( A ) に示されるような移動軌跡で移動させる必要はなく、 例えば、 ショッ卜 S 1の走査露光終了後にウェハ Wの走査方向の速度成分が零となるゥェ 八の非走査方向の位置 (B点の X方向の位置) を、 ショッ 卜 S 2の X方向位置よ りもショッ卜 S 1側とし、 かつショッ 卜 S 2を走査露光するために、 走査方向及 び非走査方向に対して斜めにウェハ Wを移動しても良い。 あるいは、 ショット S 1の走査露光とショッ ト S 2の走査露光との間で、 ショッ ト S 1の走査露光終了 後の走査方向の速度成分が零となるウェハ Wの非走査方向位置 (B点の X方向の 位置) が、 ショット S 1の非走査方向の位置とショッ卜 S 2の非走査方向の位置 との間になるようにウェハ Wを移動しても良い。 これらの場合には、 ショット S 1の走査露光が終了すると、 ウェハ Wは走査方向速度を減速しつつ非走査方向へ の移動が行われ、 基板は曲線状 (又は直線状) の経路に沿って走査方向及び非走 査方向に対し斜めに移動される。従って、 ショット S 1の走査露光終了後のゥェ ハ Wの移動軌跡は従来のコ字経路に比べて短くなり、 最短距離に近い経路で基板 が移動され、 その分スループッ 卜の向上が可能となる。 なお、 この場合、 ウェハ Wの移動軌跡は V字状であっても良いが、 ショッ卜 S 1の走査露光とショッ卜 S 2の走査露光との間でウェハ Wを停止することな〈移動して、 その軌跡を放物線 状 (又は U字状) とすることが望ましい。 また、 図 1 1 ( A )、 (図 1 1 ( C ) ) から明らかなように、 ショッ ト S 1の走 査露光後に、 走査方向及び非走査方向と交差する方向にウェハ Wを加速後、 所定 時間 (t 2 + a ) 走査方向に定速移動した後に露光を開始するので、 基板の非 走査方向の速度成分が走査露光に影響を与えることがない。 また、 この場合、 ウェハ Wの走査方向及び非走査方向と交差する方向への移動 中に、 従ってウェハ Wの非走査方向の速度成分が零となる前に、 レチクル Rの加 速が開始されるので、 ウェハが定速移動に移ってからレチクル Rの加速が開始さ れる場合に比べて、 レチクル Rとウェハ Wとが等速同期状態になるまでの時間が 短縮され、 その分スループッ卜の向上が可能である。 なお、 前記の加速度、 減速 度 (負の加速度) は、 動作中の平均加減速のことを指し、 加減速を円滑に行うた めの加減速マップ制御においても本実施形態と同等の効果があることは言うまで もない。 次に、 上述したプリスキャンとオーバースキャンに関連して必要となるウェハ ステージ非走査方向計測用反射面 (移動鏡) の延長距離とウェハ 1枚を露光する のに要する時間との、 スキヤン速度に対する関係について説明する。 図 1 9 (A)、 (B) には、 前述した t4 + t y 5 + t y 1≥ tx 5+ (tx 6 ) + t x 1の場合に、 スリッ卜幅: 8mm、 ショッ卜長: 33 mm, ショッ 卜 幅: 25 mm、 ショッ ト数: 65 ( 1 2ィンチウェハで 33 x 25のショッ 卜 サイズでとれるウェハショッ 卜数)、 一つのショウ トを露光するのに必要なレ一 ザパルスの最小パルス数: 32、 露光用パルスレーザの変調周波数: 1 k〜2 k Hz可変制御、 干渉計ビーム径: 5mm. ダブルパス干渉計間隔: 1 9mm、 ョ —ィング計測用干渉計間隔: 35 mmとした時の、 従来の 4角形型ステージを用 いたステップ 'アンド■スキャン方式の投影露光装置の実測デ一夕が示されてい る。 この内、図 1 9 (A)は投影倍率を 1/4倍として, (レチクル加速度 A r, 整定時間 t 2) = (3G, 22ms)、 (4G, 36ms)、 (4G, 22ms) の 3条件で、 レチクルスキャン最高速度 V rを変化させたときに必要となるウェハ 側移動鏡の延長距離を示し、 図 1 9 (B) は、 図 1 9 (A) と同じ条件下でレチ クルスキャン最高速度 V rを変化させたときにウェハ 1枚露光する間の時間を示 している。 また、 図 20 (A) には、 図 1 9 (A) に対応するグラフが、 横軸をレチクル スキャン最高速度、 縦軸を移動鏡の延長距離として示され、 図 20 (B) には、 図 1 9 (B) に対応するグラフが、 横軸をレチクルスキャン最高速度、 縦軸をゥ ェハ 1枚露光する間の時間として示されている (ウェハ加速度、 速度はレチクル 条件に投影倍率をかけたものである)。 これら図 1 9、 図 20からわかるように、 例えば、 (4 G, 36ms) の条件 では V rを変化させた場合、 「を1 600〜200 Omm/sの範囲まで増加 すると、 露光時間 1 6. 5秒/ウェハとなり、 スループッ 卜が向上する。 しかし ながら、 1 60 Omm/s以上の V「では、 露光時間はそれ以上短縮されていな い。 一方で、 速度 V rが 1 600から 2000 m m/sに増加すると、 移動鏡延 長距離が増加し、 S OOOmmZsでは、 61 mmにもなる。 すなわち、 露光所 要時間は V rが 1 500m m/sのときと 2000 mm/sとときとを比べても 僅かに 0. 2秒しか差が無く、 その他のウェハ交換時間、 ァライメン卜時間を 1 5秒とした場合でも、 時間当たりのウェハ処理枚数は、 3600/ ( 1 6. 7 + 1 5) =1 1 4. 28枚/ 、 3600/ ( 1 6. 5+ 1 5) = 1 1 3. 56枚 /hであり、 1枚程度の差にしかならない。 これに対し、 同じ条件での移動鏡の 延長分の差は 61. 0— 41. 1 =1 9. 9mm (片方向) となり、 一辺が 30 Ommの 4角形ステージを考えると、 ステージの面積の増加分、 すなわち重量の 増加分は 1 9. 9 x 2/300で約 1 3%にもなり、 制御性に大きな影響があ る。 従って、 スキャン時加速度 A r/4 (ウェハ側加速度で ay) とスキャン速 度 V r/4 (ウェハ側速度で VY) は ty1 + t 2 + t 3 + t 4 + t y 5が最も小 さ〈なる時の条件 (ty1 =ty5、 t 2 = t4、 ayを固定とする)、 即ち、 V Y/ay + t 2+ (L y + w) /V Yの極小点によって定められるウェハ速度条 件に対し、 スループッ卜劣化が 1 %以内で抑えられるところまで速度条件を落と して設定する方が、 ウェハステ一ジ制御性の観点からは効率が良し、。 例えば、 (4G, 36ms)、 (4G, 22m s) の条件を比較してみると、 ゥ ェハステージ制御性が低下したことによる整定時間の悪化の方が、 はるかにスル —プッ卜を悪化させていることが確認できる。 以上は、 従来の 4角形ステージについてのものであるが、 これに比べれば影響 の程度には差があるものの、 本実施形態の正三角形状のウェハステージ WS丁の 場合にも同様のことが言え、 上記と同様の観点から速度条件を設定することが望 ましい。 次に、 図 1 2を用いて、 図 2の干渉計システムを構成する第 1、 第 2、 及び第 3の干渉計 76 X 1 、 76丫、 76 X 2の測長ビームの装置中での配置及びゥ ェハステージコントローラ 78による基板テーブル T Bの X、 Y位置及び回転の 演算方法等について詳述する。 図 1 2は、 ウェハ Wを交換するための口—ディン グポジションにウェハステージ WS Tが位置する可動型定盤 38近傍の平面図で おる。 この図 1 2に示されるように、 XY座標系 (ステージ座標系) 上でのウェハス テ一ジ WS Tの位置をモニタするための干渉計 76 X 1 , 76 Y, 76X 2は、 平面視でそれぞれ測長ビームを 2本有し、 これら各 2本の測長ビームは、 ョーィ ング計測用にそれぞれ 2本の独立した光束として基板テーブル T Bの 3つの反射 面 60 a、 60 b、 60 cを照射している (なお、 傾斜方向計測用の干渉計測長 ビームは図示が省略されている)。 第 1、 第 3の干渉計 76 X 1 , 76 X 2からそれぞれ射出される一方の測長ビ —厶 (第 1測長軸 R 1 X11、第 3測長軸 R 1 X21の測長ビ—厶)の延長線及び、 第 2の干渉計 76 Yから射出している 2本の測長ビームの中心線の延長線が交差 する位置に投影光学系 P Lの光軸が位置しており、 また、 干渉計 76X 1 , 76 X 2からそれぞれ射出している残りの測長ビーム (第 2測長軸 R 1 X12、 第 4測 長軸 R 1 X22 の測長ビーム) の延長線が交差する位置であって、 第 2の干渉計 76 Yから射出している 2本の測長ビームの中心線の延長線が交差する位置に、 ァライメン卜光学系 A LGの検出中心が位置している。 この場合、 ウェハステージコントローラ 78では、 常に、 干渉計 76 Yから射 出される 2本の測長ビームによる Y軸方向位置の計測値 y 1 , y 2の平均値 ( y 1 +y 2) /2を基板テーブル T Bの Y位置として算出する。 すなわち、 干渉計 76 Υの実質的な測長軸は、 投影光学系 P Lの光軸及びァライメン卜光学系 A L Gの検出中心を通る Y軸である。 この干渉計 76 Yから射出される 2本の測長ビ —ムは、 いかなる場合にも、 即ち、 ウェハステージ WS Tがどの X方向位置にあ つても、 基板テーブル T Bの第 2反射面 60 bから外れることがないようになつ ている。 また、 基板テーブル T Bの回転 (ョ一イング) は、 干渉計 76X 1 ' 7 6X 2、 76 Yのいずれの各 2つの計測値を用いても求めることはできるが、 後 述するように、 ァライメン卜の際に干渉計 76X 1 , 76 X 2の測長ビームの 1 本が基板テーブル反射面から外れる可能性があるため、 ウェハステージコントロ —ラ 78では、 基板テーブル T Bの回転も干渉計 76 Yから射出される 2本の測 長ビームによる Y軸方向位置の計測値の y 1 , y 2の差に基づいて演算するよう になっている。 なお、 干渉計 76 X 1 , 76 X 2、 76 Yのそれぞれの計測値に 基づいて各々回転を求められる場合には、ウェハステージコントローラ 78では、 それぞれ求めた回転量の任意のいずれか、 あるいは任意の 2つ又は 3つの加算平 均により回転を求めるようにしても良い。
1U また、 本実施形態では、 第 1の干渉計 76 X 1は、 Y軸方向に対して所定角度 θ ^ ( θ ^はここでは— 6 o° ) 傾斜した方向の干渉計ビ一厶 R I X 1を第 1 反射面 60 aに垂直に照射し、 第 3の干渉計 76X2は、 Y軸方向に対して所定 角度 02 (02はここでは +60° )傾斜した方向の干渉計ビーム R I X 2を 第 3反射面 60 cに垂直に照射する。 従って、 干渉計ビーム R I X 1の反射光に基づいて計測される計測値を X 1、 干渉計ビーム R I X 2に基づいて計測される計測値を X 2とすると、 次式 ( 1 ) により、 ウェハステ一ジ WS Tの X座標位置を求めることができる。
X= {(X 1 /s i n θ ^ ) - (X 2/s i η θ 2 )} x (1/2)…(1 ) この場合、 干渉計ビ一厶 R I X 1と R I X 2とは、 Y軸に関して対称な方向と なっているので、 s i η Θ 1 = s i η θ 2 = s i n 0であるから、
χ= (χ 1 -χ 2) / (2 s i n θ) - ( 1 )'
によりウェハステージ WS Tの X座キ票位置を求めることができる。 但し、 いわゆるアッベ誤差が生じないようにすることが重要であるから、 ゥェ ハステージコントローラ 78では、 露光時には干渉計 76X 1、 76 X 2から投 影光学系 PLの光軸に向けてそれぞれ射出される第 1、 第 3測長軸の測長ビーム の計測値を用いて、 上式 (1 )' によりウェハステージ WSTの X位置を演算し、 ァライメン卜時には干渉計 76 X 1、 76X 2からァライメン卜光学系 ALGの 検出中心に向けてそれぞれ射出される第 2、 第 4測長軸の測長ビームの計測値を 用いて、 上式 ( 1 )' によりウェハステージ WSTの X位置を演算するようにな つている。 但し、 ウェハステージ W STの走査方向に対して、 第 1、 第 3反射面 60 a、 60 cの傾きが予め定められた角度 (01 +90° :)、 (02— 90° ) にそれ それなるように設定する必要がある。 予め第 1、 第 3反射面 60 a、 60 cの傾 きがそのようになるように調整し、 その後、 ウェハステージ WS T上の基準マ— ク板 FMを用いたレチクルァライメン卜時に 01及び 02の残留傾き差を計測 し、 その差分に基づいて、 上記式 ( 1 ) 又は ( 1 )' で求めた Xをネ甫正すること で安定したステ一ジ位置の計測を行なうことができる。 また、 本実施形態の場合、 従来の 2方向干渉計と異なり、 相互に 1 20° づ つ隔てた回転位置に各干渉計光束があるので、 一方向から干渉計光路用空調を行 なうと、 少なくとも 1 ケ所にある干渉計光束はウェハステージ WS Tの影に隠れ て空調が困難となる。 そのため、 3ケ所の干渉計光束の内、 少な〈とも 2ケ所に 対して独立に空調を行なう吹き出し口をを設けており、 3ケ所の干渉計光束に対 し淀みなく温調された気体を送風できるような構成となっている。 この送風方法 としては干渉計側からステージに向けて送風する光束平行空調方法と、 光束の上 から下に向けて送風する光束直交空調方法があるが、 熱源の位置に応じて熱源が 風下にくるように、 各軸独立に空調方法を選択すれば良い。
《第 1の露光方法》
次に、 本実施形態の走査型露光装置 1 0におけるウェハ交換から露光終了まで の動作を、 図 4 (A) 及び図 8 (A) に示されるレチクル R上の第 1領域 P 1内 の第 1パターンを、 ウェハ W上のショッ 卜領域 S 1、 S 2、 ……に順次転写し た後、 第 2領域 P 2内の第 2パターンを、 前記ショッ 卜領域 S 1、 S 2、…… に重ねて転写する二重露光の場合を例にとって、 ウェハステージ WS Tに関する 動作を中心として図 1 2〜図 1 5を参照しつつ説明する。 図 1 2に示されるウェハローデイング位置では、 干渉計システム 7 6の全ての 干渉計からの全ての測長ビームが基板テーブル T Bのそれぞれの反射面に照射さ れるように設計されている。 これは、 ウェハ交換と同時に、 図 9との関係で説明 したようなレチクルのァライメン卜及びべ一スライン計測を実行するためである。 即ち、 図 1 2に示したウェハステージ W S Tの位置において、 基準マーク板 F M が基板テーブル T Bの三角形頂点部の一端に配置されているため、 基準マーク板 F M上の第 1基準マーク M r l、 M r2 を投影光学系 P L内を透過する露光光を用 いる前記一対のレチクル顕微鏡 1 1 0で観察すると同時に、 第 2基準マーク M w をァライメン卜光学系 (オファクシス ·ァライメントセンサ) A L Gにより観察 できる。 この観測時に測長ビームによりステージ位置を計測できるように、 測長 軸が基板テーブル T Bのそれぞれの反射面から外れないようにされている。 これ により、 ウェハ交換時に、 ①前述したレチクルァライメン卜及びべ一スライン 計測、 ②第 2領域 P 2の露光終了後、 第 1領域の露光のためのレチクル Y干渉 計 3 0 Yのリセッ 卜動作、 ③アン口一ドされる露光済みウェハの露光の際に、 反射面 (移動鏡) からその測長ビームが外れたァライメント光学系 A L G用の干 渉計のリセッ 卜動作を、 同時に行なうことが可能になっている。 なお、 このレチ クルァライメン卜、 ベースライン計測には特開平 7— 1 7 6 4 6 8号公報に開示 されるクイックァライメントモ一ドを使用しても良い。指定国の国内法令の許す 限りにおいてその開示を援用して本文の記載の一部とする。 図 1 2には、 ウェハ W上の 1回のスキャンで露光可能なショッ卜を実線の四角枠で書き入れており、 四角枠の破線は、 プリスキャン、 才一バースキャンでウェハステージ W S Tが移 動しなければならない位置を示している。 上記のウェハ交換、 干渉計リセッ 卜、 レチクルァライメン卜及びべ一スライン 計測が終了した時点で、 ウェハステージ W S Tは、 例えば図 1 3のウェハ W上に 記入された矢印 ( ) に従った順序で、 ウェハ W上の各ショッ トに形成された ァライメン卜マークをァライメン卜光学系 A L Gで検出するとともに、 各マ一ク 検出位置でのァライメン卜光学系用の干渉計測長軸の計測値を用いて、 代表的な 複数のマークの位置を計測する E G A (ェンハンス卜 · グロ一バル 'ァライメン 卜) 計測が行われる。 E G A計測は、 例えば、 特開昭 6 1 - 4 4 4 2 9号公報及 びこれに対応する米国特許第 4, 7 8 0 , 6 1 7号に開示されている。指定国の 国内法令が許す限りにおいてそれらの開示を援用して本文の記載の一部とする。 この場合のァライメン卜マークの計測順序は一例として次のようにして決められ る すなわち、 口—ディング位置、 総露光ショット行が偶数行か、 奇数行か等の要 素を、 勘案し、 最もスループッ卜が高い完全交互スキャンでの二重露光を行なう ことを前提に、 基準マーク板 F Mでの計測後、 その位置に近いショッ 卜からァラ ィメン卜が開始され露光開始ショッ卜位置に近い位置でァライメン卜が終了する ような効率の良し、 (処理時間が早い) ァライメン卜マークの計測順序をウェハス テ一ジコントローラ 7 8では決定するのである。 上記の計測順序に従った E G A計測が終了すると、 ウェハステージコントロ一 ラ 7 8によりウェハステージ W S Tの位置計測に用いる干渉計の測長軸が露光用 干渉計光軸 (測長ビーム R 1 X 1 1 , 測長ビ―厶 R 1 X 21 による第 1及び第 3測 長軸) に切り換えられた後、 ウェハ W上の複数ショット領域に対するレチクル R 上の第 1領域 P 1の転写のための、 ステップアンドスキヤン方式の露光が開始さ れる。 この場合、 図 1 4にも示されるように、 総露光行が偶数行なので、 左下よ り露光が開始され、 順次交互にスキャン露光が行なわれる。 最初の 1行が左 右の順で露光されると、 次の行は右"左へと交互にステッピングが行なわれ、 最終的に図 1 5のように左上の露光が終了した時点で、 レチクル R上の第 1領域 P 1の転写のための走査露光 (第 1走査露光) が終了する。 次いで、 レチクル R上の第 2領域 P 2の転写のための準備動作が行われる。 こ の準備動作としては、 先に説明した①〜③と同様の動作、 すなわち、 前述した レチクルァライメン卜及びべ一スライン計測、 第 1領域 P 2の露光終了後、 第 2 領域の露光のためのレチクル Y干渉計 3 0 Yのリセッ卜動作、 及び必要な場合に は、 第 1領域露光の際に、 反射面 (移動鏡) からその測長ビームが外れたァライ メン卜光学系 A L G用の干渉計のリセット動作に加え、 照明条件の変更などが含 まれる o ここで、 照明条件の変更は、 例えば、 第 1領域 P 1内のパターンが L / S密集 パターンであり、 第 2領域のパターンがコンタク卜ホール又は孤立パターン等で ある場合には、 それぞれのパターンで最適な照明条件が異なるので、 図 2の照明 系開口絞り板 1 8 Hを回転させて、 例えば輪帯照明条件から照明系 N . A . が小 さくなるような小 σ照明条件に変更するなどによって行われる。 すなわち、 こ の照明条件の変更とは、 レチクル Rを照射するスリツ卜状照明光 (照明ビーム) の強度分布、 換言すればその照明光を射出する照明源 (フライアイレンズによつ て形成される 2次光源) の形状と大きさの少なくとも一方を変更することを意味 する。 これにより、 レチクル R上の第 1領域 Ρ 1のパターンと第 2領域 Ρ 2のパ ターンの露光に適した照明条件が異なる場合に、 それぞれのパターンに合わせて 適切な照明条件を設定し、 一層露光精度を向上させることが可能になる。 次いで、 先に計測した E G Α計測の結果を用いて、 上記第 1走査露光のときと 逆の経路でウェハステージ W S Tの移動を行いつつ、 第 2領域 P 2のパターン転 写のためのステップアンドスキャン方式の走査露光を行う。 これにより、 ウェハ W上の既に第 1領域 P 1の第 1パターンが転写されている全てのショッ 卜領域に、 第 2領域 P 2の第 2.パターンが重ねて転写 (重ね焼き) される。 そして、 最後の ショット (すなわち、 ショット S 1 ) の露光が終了すると、 図 1 2のウェハ交換 位置までウェハステージ W S Tが移動し、 それ以後は、 次のウェハに対して同様 の動作が繰り返される。 上記の交互スキャンの際に、 レチクルステージ R S Tは 走査方向の往復移動を繰り返すのみであるが、 ウェハステージ W S Tに対しては 前述した効率の良いステッピング制御が行われることは、 図 1 4及び図 1 5から も分かる通りである。 なお、 上記のレチクル Rとウェハ Wとを同期移動して、 ウェハ W上の複数のシ ヨッ ト領域 S 1、 S 2、 S 3、 ……にレチクル Rのパターンを順次転写するス テツプアンドスキャン方式の走査露光方法において、 レチクル Rの往復移動によ つてレチクル Rのパターンが転写されるウェハ W上の任意の 2つのショット領域、 例えばショッ卜 S 1、 S 2の走査露光間でウェハ Wを停止することな〈移動する ことが望ましい。 この場合には、 ウェハ W上の順次レチクル Rのパターンが転写 される隣接領域、 例えばショッ卜 S 1、 S 2の走査露光間でウェハ Wが停止する ことがないので、 その部分に関してはより一層スループッ 卜が向上するからであ o この意味からすれば、 ウェハ Wは、 レチクル Rのパターンを転写すべきウェハ W上の最後のショット領域の走査露光が終了するまで、 ウェハ Wの走査方向及び 非走査方向の少なくとも一方の速度成分が零とならないように移動されることが より望ましい。 かかる場合には、 結果的に複数ショッ卜領域の全てにステップァ ンドスキャン方式の走査露光が行われる間ウェハが停止することがないので、 最 もスループッ卜が向上するからである。 なお、 本実施形態では、 レチクル上の第 1領域の各ショッ卜への転写と第 2領域の各ショッ 卜への転写との間で照明条件 を変更するものとしたが、 そのレチクル上の第 1領域と第 2領域のパターンによ つてはその照明条件を変更せず、 例えば投影光学系 P Lの開口数のみを変更する だけでも良いし、 あるいは照明条件と投影光学系 P Lの開口数の両方を変更する ようにしても良い。
《第 2の露光方法》
本実施形態の走査型露光装置 1 0における通常の二重露光の動作の流れは、 上 述したとおりであるが、 これに限らず、 次のような変則的な二重露光も可能であ る。 すなわち、 レチクル R上の第 1領域 P 1の第 1パターンと、 第 2領域 P 2の 第 2パターンを順次同一のショッ卜領域 S 1に重ねて転写し、 次いで、 次のショ ッ卜領域 S 2に、 前と逆の順序で第 2領域 P 2の第 2パターン、 第 1領域 P 1の 第 1パターンを重合せ露光するという二重露光の場合である。 この場合、 同一のショッ 卜領域 Sの露光の間は、 前とは逆に、 ウェハステージ W S Tが走査方向 (Y方向) について往復移動を行う間に、 レチクルステージ R S Tは、 前述した通常の二重露光の場合の隣接するショッ 卜領域 S 1 と S 2とを 露光する間のウェハステージ W S Tと同様の経路に沿って往復移動する。 図 1 6 ( A ) には、 この場合のレチクル R (レチクルステージ R S T ) の移動軌跡丁が 示されている。 なお、 実際には、 レチクル Rが照明スリツ 卜 S Tに対して軌 3亦 T とは反対に移動することで露光が行なわれるのだが、 ここでは、 説明の便宜上レ チクル R上を照明スリット S T (中心 Q ) がレチクル Rに対し移動するように示 されている。 この軌跡丁に沿った移動は、 図 1 1の (B )、 (C ) で説明したゥェ ハステージ W S Tの制御と同様に、 レチクルステージ R S Tの走査方向、 非走査 方向の速度制御を行うことにより達成される。 なお、 露光対象となるレチクル R上の領域を第 1領域 P 1から P 2 (あるいは その反対) に切り換える際に、 先に説明したようにレチクル Y干渉計 3 0 Yが計 測不能状態に陥るが、 この変則的な二重露光の際には、 予め実験的に第 1領域 P 1から P 2 (あるいはその反対) に切り換えた際の、 それぞれの場合のレチクル ステージの走査方向位置の変化を計測して、 それを補正データとしてメモリに記 憶しておく。 そして、 実際の露光の際には、 領域切り換え前のレチクル Y干渉計 30 Yの計測値を記憶し、 領域切り換え後にレチクル Y干渉計 30Yをリセヅ卜 した瞬間のレチクルステージ R S Tの Y座標を、 前記の領域切り換え前のレチク ル Y干渉計 30 Yの計測値と上記補正値とから求められる値として、 走査方向の 位置計測を行うようにしている。 ここで説明した変則的な二重露光は、 例えば図 1 6 (B) に示されるような、 第 1領域 P 1に所定ピッチ (例えば 2> m) の Y方向 L/Sパターンが形成さ れ、 第 2領域 P 2にこれを 1 /2ピッチ Y方向にずらした同一ピッチの Y方向 L / Sパターンが形成されているような場合の二重露光に最適である。 この変則的 な二重露光では第 1領域のパターン転写と第 2領域のパターン転写との間で、 照 明条件の変更を行う必要がなく、 その変更によるスループッ卜の低下がないから である。 図 1 6 (B) の場合、 ウェハ W上には、 最終的に、 投影光学系 P Lの縮 小倍率を 1Z4とすると、 0. 25 mの L/Sパ夕一ンが形成される。 また、 本方法では、 レチクル R上の第 1パターンと第 2パターンとを順次ウェハ W上の ショッ卜領域 S 1に転写した後で、 次のショッ卜領域 S 2に、 前と逆の順序で第 2パターンと第 1パターンとを転写するものとしたが、 レチクル R上の第 2領域 P 2を照明光で照射した後に、 移動軌跡が放物線状となるようにレチクルステ一 ジ R S Tの移動を制御して、 2つのショッ卜領域の走査露光間でレチクルステ一 ジ R S Tを停止することなく駆動しても良い。 これにより、 ウェハ W上の各ショ ッ卜領域に対してレチクル R上の第 1パターンと第 2パターンとが同一順序で転 写されるとともに、 ウェハ W上の最後のショッ卜領域の走査露光が終了するまで レチクルステージ R S Tが停止することな〈駆動されることになる。 さらに、 前 述した第 1の露光方法と同様に、 隣接する 2つのショウ卜領域間でウェハステ一 ジ W S Tの移動軌跡が放物線状となるようにその移動を制御して、 2つのショッ 卜間でウェハステージ W S Τを停止することな〈駆動するようにしても良い。
《第 3の露光方法》
この他、 本実施形態の走査型露光装置 1 0によると、 レチクル R上の第 1領域 Ρ 1、 第 2領域 Ρ 2のパターンをウェハ W上の非走査方向の隣接領域にそれぞれ 転写する繋ぎ合せ露光 (スティツチング露光) も可能である。 この場合には、 前 述した通常の二重露光 (第 1の露光方法) の際のウェハステージ W S Τの移動制 御と、 変則的な二重露光方法 (第 2の露光方法) の際のレチクルステージ R S Τ の移動制御とを同時にかつ同期させて行うことにより達成される。この場合には、 レチクル R上の第 1領域 Ρ 1、 第 2領域 Ρ 2のパターンが繋ぎ合わされた大面積 のパターンが、 ウェハ W上に形成される。 上記の第 2、 第 3の露光方法において、 レチクル R上の第 1領域 Ρ 1を照明光 で照射した後のレチクルステージ R S Τの減速中、 又はレチクルステージ R S Τ の走査方向 (Υ方向) の速度成分が零となる前に、 レチクルステージ R S Τを走 査方向に対して斜めに移動しても良い。 このようにすると、 第 1領域内のパター ンの転写に続いて第 2領域内のパターンをウェハ W上に転写するに際して、 レチ クルステージ R S Tがコの字状の経路でなく、 これより短い経路に沿って移動さ れるので、 移動時間の短縮によりスループッ 卜の向上が可能となる。 また、 レチクル R上の第 2領域 Ρ 2を照明光で照射する前に、 レチクル R上の 第 2領域 Ρ 2が照明光に近づ〈ように、 レチクルステージ R S Τを走査方向及び 非走査方向と交差する方向に加速させても良い。 又は、 レチクルステージ R S T の非走査方向 (X方向) へのステッピング動作が終了する前、 あるいはレチクル R上の第 1領域を照明光で照射した後のレチクルステージ R S Τの非走査方向の 速度成分が零となる前に、 レチクルステージ R S丁の走査方向への加速を開始す るようにしても良い。 なお、 スループットの点からレチクルステージ R S Tの移 動軌跡が放物線状 (又は U字状) となるようにその移動を制御することが好まし い また、 上記の第 2、 第 3の露光方法において、 レチクル R上の第 1領域 P 1へ の照明光の照射と、 レチクル R上の第 2領域 P 2への照明光の照射との間で、 レ チクルステージ R S Tを停止させることな〈駆動することが望ましい。 かかる場 合には、 レチクルステージ R S Tが ·(亭止することがないので、 レチクルステージ の移動に要する時間はほぼ最短になる。 また、 上記の第 3の露光方法においては、 レチクル R上の第 1領域 P 1をゥェ ハ W上のショッ 卜領域 S 1に転写する第 1走査露光と、 レチクル R上の第 2領域 をウェハ W上のショッ卜領域 S 2に転写する第 2走査露光との間で、 ウェハステ —ジ W S Tを停止させることなく駆動することが望ましい。 かかる場合には、 ゥ ェハステージ W S Tの移動軌跡は必ずしも最短とはならないが、 ウェハステージ W S Tが停止することがないので、ウェハステージ W S Tの隣接領域間の移動(ス テツビング) に要する時間がほぼ最短になるからである。 特にステイッチングに おいて、 レチクルステージ R S Tが "(亭止することなく、 かつウェハステージ W S Tが停止することなく駆動される場合には、 第 1走査露光の終了から第 2走査露 光の開始までの間の時間が最短となる。 また、 第 2走査露光前に、 ウェハステ一 ジ W S Tを走査方向及び非走査方向と交差する方向に加速させることが望ましい c この場合、 走査方向及び非走査方向に対し斜めに進みながらウェハステージ W S Tが加速される。 以上説明したように、 本実施形態に係る走査型露光装置 1 0によると、 レチク ルステージ R S Tの非走査方向の位置が干渉計 30X 1、 30X2の少なくとも —方により計測され、 この位置に応じて干渉計 30Υから 3つのコーナ一キュー ブ 31 Υ 1、 31 Υ 2、 31 Υ3の 1つに走査方向の測長ビーム I Υが照射され、 その反射光を受光することによりレチクルステージ R S Τの走査方向の位置が干 渉計 30 Υにより計測され、 レチクルステージ R S Τの走査方向の位置を干渉計 30 Υにより管理することが可能になる。 このため、 レチクルステージ R S丁の 非走査方向の位置がコーナ一キューブのいずれかが選択される位置にあれば、 干 渉計 30 Υでレチクルステージ R S Τの走査方向の位置を管理しつつレチクルス テージ R S Τと同期してウェハステージ WS Τを走査方向に移動させることによ り、 レチクル Rのパ夕一ンを投影光学系 P Lを介してウェハ W上に転写すること が可能になり、 レチクル Rを交換することなく、 レチクル R上の複数の部分領域 あるいはレチクル R上の複数領域のパターンを投影光学系 P Lを介してウェハ W 上に転写することが可能になる。 また、 この場合、 レチクルステージ R STの走査方向の位置は干渉計 30Υか ら測長ビーム I Υが照射されるコーナ一キューブを介して計測されるので、 レチ クルステージ R S Τとウェハ Wステージ WS Τとの走査方向の同期移動に先立つ て (あるいはその同期移動中に)、 従来と同様にレチクルステージ R STを回転 制御しても、 コーナ一キューブからの戻り光束は固定鏡側からの参照光束と常に 重なるため、 正確に走査露光中のレチクルステージ R S Τの走査方向の位置管理 が可能になる。 従って、 レチクル Rを交換することなく、 レチクル R上の複数の 部分領域あるいはレチクル R上の複数領域のバターンを投影光学系 P Lを介して ウェハ W上に転写することが可能になり、 走査露光中のレチクルステージ R S Τ の第 1方向 (走査方向) の正確な位置管理が可能になる。 従って、 大型レチクル を用いるスティツチングにより大面積な露光を実現してスループッ 卜の向上を図 ることができ、 また、 レチクルステージ R S Τの回転制御を行なうことにより高
!25 精度な露光が可能となる。 さらに、 二重露光を行う場合にも、 レチクル交換が不 要であるという点からもスループッ卜の向上、 焦点深度の向上による露光精度の 向上が可能になる。 また、 本実施形態では、 コーナ一キューブ 3 1 Y 1、 3 1 Y 2、 3 1 Y 3は、 レチクル R上の領域 P 1、 P 2、 P 3のそれぞれに対応して設けられていること から、 いずれの領域のパターンを転写するときにも、 その領域に対応するコーナ —キューブを用いて干渉計 3 0 Yによりレチクルステージ R S Tの走査方向の位 置の管理を確実にかつ正確に行うことが可能である。 また、 コーナ一キューブ 3 1 Y 3は、 レチクル Rの非走査方向の中央部に配置されていることから、 通常の レチクル (レチクル) を用いた通常露光、 レチクル R上に複数のパターン領域が 配置されたレチクルを用いた二重露光等の多重露光、 スティツチング露光のいず れにも対応が可能である。 また、 上記実施形態では、 レチクルステージ R S Tの非走査方向の位置情報に 応じて干渉計 3 0 Yをリセッ卜する機能をレチクルステ一ジコントローラ 3 3が 有していることから、 レチクルステージ R S Tの非走査方向の移動中に干渉計 3 0 Yの測長軸がいずれかのコーナ一キューブに当たった瞬間に、 レチクルステ一 ジ W S Tの非走査方向の位置情報に応じて干渉計 3 0 Yをリセットすることが可 fb 'ある 更に、 レチクルステージ R S Tの非走査方向の両側面に第 1反射面 8 4 a、 第 2反射面 8 4 bが設けられ、 これらに対向して干渉計 3 0 X 1、 3 0 X 2が設け られ、 レチクルステージコントローラ 3 3が、 干渉計 3 0 X 1、 3 0 X 2の内、 それぞれの反射面までの測長ビームがより短くなる方の計測値を用いてレチクル ステージ R S Tの非走査方向位置を演算する。 このため、 レチクルステージ R S Tの回転が計測値に与える影響が小さ〈なり、 非走査方向についてもレチクルス テ一ジ R S Τの位置をより正確に求めることが可能である。 また、 本実施形態では、 ウェハステージ W S Τ上に基準マーク板 F Mが配置さ れ、 レチクルステージ R S Τの非走査方向の位置に応じて干渉計 3 0 Υをリセッ 卜するために、 主制御装置 5 0がレチクル顕微鏡 1 1 0、 ァライメン卜光学系 A L Gのそれぞれにより基準マーク板 F M上の基準マークを計測することにより、 レチクル Rと基板ステージ W S Tとの位置関係を計測するようになっていること から、 通常露光、 通常の二重露光の際に、 レチクル R上の異なる領域を露光する ためレチクルステージ R S Tを非走査方向に移動してもレチクルとウェハ Wの重 ね合せ精度が悪化するような不都合が無い。 また、 本実施形態では、 レチクルステージ R S Tの移動によって生じる反力に 応じた力をレチクルステージ R S T又は第 2架台 (2 6、 2 8 ) に与えるァクチ ユエ一夕 7 4 R、 7 4 Lを有するフレーム 7 2を備えていることから、 レチクル ステージ R S Tの移動によって生じる反力に応じた力がァクチユエ一夕 7 4 R、 7 4 Lによりレチクルステージ R S T又は第 2架台に与えられるので、 レチクル ステージ R S Tの加減速時の振動が第 2架台を介して架台部 1 6に伝わるのを防 止することができる。 また、 上記第 2、 第 3の露光方法の場合、 レチクル Rのパターンをウェハ Wに 転写するために、 駆動制御系 (これはステージ制御系を構成するレチクルステ一 ジコントローラ 3 3、 同期制御系 8 0によって構成される) により、 レチクルス テ一ジ R S Tが走査方向に沿って少なくとも 1回往復されるとともに、 その往復 移動の間にレチクルステージ R S Tが非走査方向に沿って移動されるが、 この移 動量に応じた距離だけ非走査方向へ離れて 2つのコーナ一キューブ 3 1 Y 1、 3 1 Y 2が配置されているので、 移動の前後いずれの位置においても干渉計 3 0 Υ によるレチクルステージ R S Τの位置管理が確実に行われ、 レチクルステージ R
S Τの 1回の往復移動の間にレチクル R上の異なる領域のパターンをウェハ W上 の同一領域又は異なる領域に転写することが可能になる。 この場合もレチクルの 交換が不要である。 また、 本実施形態の走査型露光装置 1 0によると、 前記第 1の露光方法、 第 3 の露光方法あるいは通常の走査露光を行う場合、 レチクル Rとウェハ Wの露光前 のウェハ助走 (加速時間) によるプリスキャン及び、 ウェハの露光後の等速移動 時間と減速時間によるオーバスキャンと同期して次のショッ卜を露光するための ウェハの非走査方向 (非スキャン方向) へのステッピングを行い、 非スキャン方 向へのステッビング動作が、 ウェハプリスキヤンから露光動作に移るまでの整定 時間前には終了することととしたので、 走査露光前後のプリスキャン、 オーバ一 スキャン時間を隣のショッ トにステッピングするステツピング時間に完全に才一 バ一ラップさせることができ、 プリスキャン、 オーバ一スキャン動作と隣のショ ッ卜にステッピングするステッピング動作とが別々に行われていた従来例に比べ て、 スループッ 卜を向上させることができる。 また、 整定時間ではスキャン方向 のウェハとレチクルとの同期制御のみを行えば良いので結果的に整定時間を短縮 することができ、 その分スループッ 卜を向上させることが可能となる。 また、 本実施形態では、 ウェハの露光後の等速移動時間と減速時間による才一 バスキャンに対応する部分の非スキャン方向加速度が、 ウェハ助走 (加速時間) によるプリスキャンに対応する部分の非スキャン方向負の加速度より絶対値が大 きくなるような制御も可能なので、 高加速によるボディの摇れ等を同期制御のた めの整定時間前には完全に減衰させられるため、 その分制御性が良くなり、 スル —プッ卜を向上させることが可能となる。 また、 本実施形態に係る走査型露光装置 1 0及びステージ装置 1によると、 露 光時に、 ウェハ Wの非走査方向の位置を、 走査方向である Y軸に対してそれぞれ Θ 1、 0 2の角度を成す 2つの異なる方向に光軸を有する第 1及び第 3干渉計 7 6 X 1、 7 6 X 2の計測値に基づいて演算で求め、 ウェハ Wの走査方向の位置 は Y軸方向の測長軸を有する第 2干渉計 7 6 Yにて測長を行なうようにしたので、 基板テーブル T B (従ってウェハステージ W S T ) の形状を三角形状 (上記実施 形態では正三角形状) にすることが可能となる。 これにより、 図 1 7に示される ように、 従来の四角形形状のステージ s t 3に比べてウェハステージ W S Tの軽 量化を図れる。 ステージの軽量化は、 将来ウェハステージの加減速が大き〈なつ てもまたは最高速度が上昇した場合に一層有効になる。 また、 三角形状のステ一 ジでは、 フットプリン卜が小さくなるとともに、 スループットを向上させること もできる。 図 1 7は、 干渉計多軸化及びプリスキャン、 才一バースキャンによつ て図中に矢印 (→) にて表示される干渉計光軸が外れないようにするための移 動鏡補足距離分 D x, D yにより、 四角形形状ステージ s t 3が、 ウェハを保持 するために必要最低限の大きさの四角形形状ステージ s t 1に比べて著し〈大き くなつているのに対し、 本実施形態のステージ W S Tでは同じ D X , D yの補足 距離分があってもステージ形状は、 四角形形状ステージ s t 3に比べてはるかに 小さいもので済むことを示している。 また、 ウェハステージ W S Tの走査方向の位置を計測する第 2干渉計 7 6 Yの 計測値に基づきウェハステージ W S Tのョ一イングを算出するようにしたので、 そのョ一ィング量を露光時のウェハステージ回転誤差としてレチクル Rを保持す るレチクルステ一ジ側で補正することが可能であるから、 ウェハステージ W S T に回転制御機構が不要となり、その分ウェハステージを軽量化することができる。 また、 第 1及び第 3干渉計 76 X 1、 76 X 2のそれぞれの 1光軸 (測長ビ一 厶 R 1 X11 (第 1測長軸), 測長ビ―厶 R 1 X21 (第 3測長軸)) の延長した交点 は投影光学系 P Lの光軸と一致し、それぞれ他方の光軸(測長ビーム R I X (第 2測長軸) , 測長ビーム R 1 X22 (第 4測長軸)) の延長した交点はァライメン 卜光学系 A L Gの検出中心と一致させているので、 露光時及びァライメン卜時に もアッベ誤差のないステージ位置の計測が可能となり、 重ね合わせ精度が向上す る o また、 第 1、 第 2及び第 3干渉計 76 X 1、 76 X 2、 76 Yはウェハ Wを保 持するウェハステージ WS Tのそれぞれ異なる側面に形成された反射面 60 a, 60 b, 60 cとの距離を測長し、 ウェハステージ周辺の露光時に、 レチクル R とウェハ Wの相対走査時の際にウェハの助走, 等速移動までの整定時間によるプ リスキヤン距離及び、 ウェハ Wの露光後の等速移動時間及び減速時間による才一 バスキヤン距離により各干渉計光軸がウェハステージ W S Tのそれそれ異なる反 射面 60 a, 60 b, 60 cから外れないように、 ウェハステージ WS Tの加速 度及び、 最高速度、 整定時間を決定することとしたので、 余分に反射面を延ばす 必要がない。 このため、 ウェハステージ (基板テーブル Τ Β) の 3つの側面の範 囲内に反射面を設定できるので、 ウェハステージ WS Τのバランスが良〈なり、 ステージ剛性を高めることが可能となり、 その結果、 ウェハステージのフォ一力 ス, チル卜制御応答を向上させることができる。 また、 前記第 1、 第 2及び第 3干渉計 76 Χ 1、 76 X 2、 76 Υの光軸が前 記ウェハステージのそれぞれ異なる反射面 60 a, 60 b, 60 cから外れない ウェハステージ上の位置に、 ベースライン計測、 結像特性計測、 照射量計測を行 なう基準マ一ク板 F M及び空間像検出器 K E Sを配置することとしたので、 基準 マーク板 FM及び空間像検出器 K E Sによる計測のために移動鏡(あるいは反射 面) を延ばす必要が無くなることもウェハステージ W S Tの軽量化につながる。 また、 ウェハステージ W S Τを駆動するための駆動系が設置された可動型定盤 3 8はウェハステージ W S Τの移動時加減速に伴う反力に応じて移動するように 構成したので、 ウェハステージ W S Τの重心移動による偏荷重を可動型定盤 3 8 の重心移動によりキャンセルすることが可能となり、 これにより防振装置 2 0の 負荷を軽減することができるとともに、 偏荷重によるボディの歪を最小限に抑え ることが可能となり、 レチクル Rとウェハ Wの位置決め精度を向上させることが できる。 また、 前記可動型定盤 3 8は数 Η ζの応答周波数で駆動制御可能であり、 ゥェ ハステージ W S Τの移動の際の加減速時にはその反力を打ち消すように駆動制御 し、 また、 ステージ姿勢 (偏荷重) により可動型定盤 3 8が任意の方向に移動し ないように前記応答周波数で制御することもできるので、レチクルの位置可変や、 環境変化による偏荷重の防止が可能となる。 更に、 ウェハステージ W S Τの重量が可動型定盤 3 8の重量の 1 / 9以下にな るように設定されているので、 可動型定盤 3 8がウェハステージ W S Τの移動時 加減速に伴う反力に応じて移動する距離が、 ウェハステージ W S Τの移動距離の 1 / 1 0以下になり、 可動型定盤 3 8の必要移動範囲を小さく設定することがで ぎる。 また、 位置制御精度を必要とする露光及びァライメン卜前の可動型定盤 3 8の 応答周波数と、 それ以外の応答周波数を可変とし、 可動型定盤 3 8は 2方向の位 置がリニアエンコーダ 4 5によってモニタされており、 位置制御精度を必要とす る露光及びァライメン卜以外の駆動動作時に可動型定盤 3 8の位置を所定の位置
] 31 に補正することとしたので、 ウェハ加減速時の反作用にて可動型定盤 3 8が逆方 向に移動する距離を 1桁以上少な〈することができる。 すなわち、 露光及びァラ ィメン卜時に高精度で制御することが可能な上に、 その他の条件にて可動型定盤 3 8の位置を任意の位置に設定し直すことが可能となり、 フッ卜プリン卜を小さ くすることができる。 なお、 上記実施形態では、 レチクルステージ R S Tの走査方向の位置を計測す るためのミラ一としてコーナ一キユーブを用いる場合について説明したが、 本発 明に係る走査露光方法の実現のためには、 これに限らず、短い反射面(平面鏡等) を用いても良い。 この場合は、 従来の平面ミラ—に比べてその製作が容易である ことからその分コス卜の低減が可能になる。 また、 上記実施形態では、 レチクル R上に第 1領域、 第 2領域の 2つの領域が 存在する二重露光用レチクルについて説明したが、 これに限らず、 より小径の投 影光学系 P Lを用いて、 レチクル R上に 3つ以上の細長い領域 (あるいは分割領 域) が存在するような場合であっても、 本発明は同様に適用できることは言うま でもない。 また、 上記実施形態では、 レチクルステージ R S T上に、 単一のレチクル Rが 保持された場合について説明したが、 例えば非走査方向に沿ってレチクル R 1 と R 2とを配列し、 レチクル R 1には第 1領域 P 1内の第 1パターンが形成され、 レチクル R 2には第 2領域 P 2内の第 2パターンが形成されていても良い。複数 のレチクルであってもそれぞれのレチクルのパターンを順次ウェハ W上に転写す る場合には、 前述した実施形態の作用をそのまま奏する。 なお、 上記実施形態では、 ウェハステージ W S Tの移動方法を図 1 1 ( A ) 〜 ( C ) を用いて詳細に説明したが、 本発明がこれに限定されないことは勿論であ る。 先の説明中と同一の符号をもって、 他の例を説明すれば、 ショット S 1の走 査露光終了後に、 ウェハ Wの走査方向の移動速度が零となるまで、 ウェハ Wを減 速させつつ走査方向と交差する方向に移動し、かつショッ 卜 S 2の走査露光前に、 ウェハ Wを加速させつつ走査方向と交差する方向に移動しても良い。 このように すれば、 ショッ ト S 1の走査露光終了後に、 ウェハ Wは V字状の経路に沿って移 動されるので、 最短距離に近い経路でウェハ Wが移動され、 その分スループッ 卜 の向上が可能となる。 あるいは、 ショヅ 卜 S 1の走査露光終了後のウェハ Wの減 速中、 及びショッ卜 S 2の走査露光前のウェハ Wの加速中に、 ウェハ Wを走査方 向及び非走査方向と交差する方向に移動しても良い。 かかる場合にも、 結果的に ウェハ Wは V字状の経路に沿って移動されるので、 最短距離に近い経路でウェハ Wが移動され、 その分スループッ卜の向上が可能となる。 これらの場合も、 ウェハ Wは、 ショッ卜 S 1の走査露光とショット S 2の走査 露光との間で停止することなく移動されることが望ましいことは言うまでもない。 なお、 上記実施形態では、 ウェハステージ W S Tとして正三角形状のステージ を採用し、 これに合わせて 3つの異なる方向からそれぞれウェハステージ W S T の位置を計測する 3つの第 1、 第 2及び第 3干渉計 7 6 X 1 、 7 6丫、 及び 7 6 X 2から成る干渉計システム 7 6を採用した場合について説明したが、 これは、 本発明の目的であるスループッ 卜の向上をより効果的に達成しようとの観点から このようにしたものであって、 本発明がこれに限定されないことは勿論である。 すなわち、 通常の正方形、 長方形のウェハステージであっても、 本発明は上記実 施形態と同様に好適に適用でき、 スループッ 卜の向上という効果は、 程度の差こ そあれ十分に得られるものである。 また、 上記実施形態では、 ウェハステージ W S Tが移動ステージ 5 2、 レペリ ング駆動機構、 基板テーブル T B等を備えた場合について説明したが、 本発明が これに限定されることはなく、 例えば、 単なる板状の部材を基板ステージとして 用いても構わない。 かかる板状部材であっても、 いわゆる 2次元平面モータ (Z 駆動コイルを備えたもの) を等を用いれば、 X Y平面に対する傾斜駆動、 Z方向 駆動は可能だからである。 なお、 上記実施形態では、 第 1可動体としてのウェハステージ W S Tが第 1、 第 2、 及び第 3の反射面 (6 0 a、 6 0 b、 6 0 c ) の全てを備え、 これに対応 して干渉計も第 1、 第 2、 及び第 3の干渉計を備えたステージ装置 1及びこれを 含む走査型露光装置 1 0について説明したが、 本発明がこれに限定されることの ないことは勿論であり、 第 1可動体は、 第 1反射面のみまたは第 3反射面のみ、 これらのいずれかと第 2反射面とを備えていても良く、 これに対応して第 1の干 渉計のみ、 第 3の干渉計のみ、 あるいはこれらのいずれかと第 2の干渉計とを備 えていても良い。 例えば、 第 1可動体が走査方向 (第 1軸方向) 及び非走査方向 (第 2軸方向) と交差する第 1反射面のみを備えている場合を、 上記実施形態の符号を用いて説 明すれば、 非走査方向については、 第 1の干渉計の計測値 X 1を用い、 X = X 1 / I s i η Θ 1 I、 走査方向については Y = X 1 / I c o s 0 1 Iにより、 第 1可動体の (X、 Y ) 座標位置を算出できるので、 演算装置としてのウェハステ ージコントローラ 7 8が、 このような計算を行えば良い。 また、 例えば、 第 1可動体が走査方向 (第 1軸方向) 及び非走査方向 (第 2軸 方向)と交差する第 1反射面と非走査方向の第 2反射面とを備えている場合には、 演算装置としてのウェハステージコントローラ 7 8が、 非走査方向については X = X 1 / I s n Θ 1 Iの演算を行い、 走査方向については第 2の干渉計の計 測値をそのまま用いれば良い。 また、 上記実施形態では、 第 1可動体としてのウェハステージ W S Tが移動ス テ―ジ 5 2、 レべリング駆動機構、 基板テーブル T B等を備えた場合について説 明したが、 本発明がこれに限定されることはなく、 例えば、 単なる板状の部材を 第 1可動体として用いても構わない。 かかる板状部材であっても、 いわゆる 2次 元平面モータ等を用いれば、 X Y平面に対する傾斜駆動、 Z方向駆動は可能だか らである。 この場合において、 第 1可動体が三角形状である場合には、 X Y平面 に対する傾斜駆動を行うときには、 を前記第 1、 第 2、 及び第 3干渉計 (7 6 X
1 , 7 6 Y , 7 6 X 2 ) の少なくとも 1つの出力に応じて X Y平面に垂直な方向 に駆動するように駆動装置としての 2次元平面モータを構成するコィルの内の第
1可動体の各頂角近傍の所定の 3点の内の少な〈とも 1点に対応するコィルによ り Z方向の駆動力を与えるようにすることが望ましい。 このようにすれば、 重心 位置から遠い 3つの頂点付近を駆動して傾斜調整がなされるので、 その際高い制 御応答 (チル卜駆動制御応答) を得ることができるからである。 また、 上記実施形態では、 第 1、 第 2、 及び第 3反射面 6 0 a、 6 0 b、 6 0 cの全てを基板テーブル T Βの側面に鏡面加工にて形成する場合について説明し たが、 本発明がこれに限定されるものではなく、 いずれか任意の 1つ又は 2つを 平面鏡から成る移動鏡の反射面にて構成しても構わないことは勿論である。
また、 上記実施形態では、 第 1の干渉計としてのレチクル Υ干渉計 3 0 Υ、 第 2、 第 3の干渉計としてのレチクル X干渉計 3 0 Χ 1、 3 0 Χ 2が全て第 2架台 上に設けられる場合について説明したが、 これに限らず、 これらの干渉計の少な <とも 1つを図 3に示されるような第 1フレーム 40のような別のフレームに設 けても良い。 なお、 上記実施形態では、 投影光学系 P Lとして、 石英や螢石を光学硝材とし た屈折光学素子 (レンズ) のみで構成される縮小投影レンズを用いる場合につい て説明したが、 本発明がこれに限定されることはなく、 その他のタイプの投影光 学系であっても全〈同様に適用できるものである。 そこで、 図 1 8を参照して、 その他のタイプの投影光学系について簡単に説明する。 図 1 8 ( A ) は、 屈折光学素子 (レンズ系) G S 1〜G S 4、 凹面鏡 M R s、 ビームスプリッタ P B Sを組み合わせた縮小投影光学系であり、 この系の特徴は レチクル Rからの結像光束を大きなビ一ムスプリッ夕 P B Sを介して凹面鏡 MR sで反射させて再びビ一ムスプリッタ P B Sに戻し、 屈折レンズ系 G S 4で縮小 率を稼いで投影像面 P F 3 (ウェハ W) 上に結像する点であり、 詳しくは特開平 3- 282527号公報及び米国特許第 5220454号に開示されており、 指 定国の国内法令の許す限りにおいてそれらの開示を援用して本文の記載の一部と する。 図 1 8 (B) は、屈折光学素子(レンズ系) G S 1〜G S 4、小ミラ一 MR a、 凹面鏡 MR sを組み合わせた縮小投影光学系であり、 この系の特徴は、 レチクル Rからの結像光束を、 レンズ系 G S 1 , GS 2, 凹面鏡 MR sからなるほぼ等倍 の第 1結像系 P L 1、 偏心配置の小ミラー M R a、 そしてレンズ系 G S 3, G S 4で構成されてほぼ所望の縮小率を持った第 2結像系 P L 2を通して投影像面 P F 3 (ウェハ W) 上に結像させる点であり、 詳しくは特開平 8— 304705号 公報及び対応する米国特許第 5691 802号に開示されており、 指定国の国内 法令の許す限りにおいてそれらの開示を援用して本文の記載の一部とする。 なお、 上記実施形態では、 ァライメン卜光学系として才ファクシス ·ァライメ ントセンサ ALGを用いる場合について説明したが、 これに限らず、 TTL (ス ル—'ザ.レンズ) タイプ等のオンァクシス ·ァライメン卜光学系を用いても勿論 良い。 かかる場合には、 干渉計 76 Yと同様に、 干渉計 76X 1、 76X2から 射出している 2本の光束 (測長ビ一厶) の中心線の延長線が交差する位置に投影 光学系 P Lの光軸が位置するようにし、 3ケ所全ての 2軸光束で計測した結果の 差分の平均値によりウェハステージョ一ィングを決定すれば、 ョ一ィング計測精 度が 1/ 3に向上する。 また、 上記実施形態ではダブルフライアイレンズ系を用いるものとしたが、 1 つのフライアイレンズ (又はロッド型ィンテグレ一夕) のみを用いても良いし、 あるいはフライアイレンズとロッド型インテグレ一夕とを組み合わせて用いても 良い。 このロッ ド型インテグレー夕が配置される照明光学系では、 その σ値の 変更や輪帯照明などの変形照明を実現するために、 例えばロヅド型インテグレ一 夕よりも光源側に配置される少なくとも 1つの光学素子 (レンズエレメント) を 移動、 又は交換して、 ロッ ド型インテグレ一夕の入射面上での照明光の強度分布 を変更すればよい。 さらに、 露光用照明光として K r Fエキシマレ一ザや A r Fエキシマレ一ザの 他に、 例えば波長 1 57 nmのF2 エキシマレ一ザを用いるようにしても良い。 F2 エキシマレ一ザを光源とする走査型露光装置では、 投影光学系として反射屈 折光学系が採用されるとともに、照明光学系や投影光学系に使われる光学素子(レ ンズレメント) ゃレチクルは全て蛍石となり、 かつ照明光学系や投影光学系内の 空気はヘリウムガスで置換される。 なお、 エキシマレ一ザの代わりに、 例えば波 長 248 nm、 1 93 nmN 及び 1 57 n mのいずれかに発振スぺクトルを持つ Y A Gレーザなどの固体レーザの高調波を用いるようにしても良い。 また、 上記実施形態では 1 0 0 n m以上の波長のエキシマレ一ザ光を露光用照 明光として用いる場合について説明したが、 本発明がこれに限定されないことは 勿論である。例えば、 近年、 1 0 0 n m又は 7 0 n m以下のパターンを露光する ために、 S 0 Rやプラズマレーザを光源とし、 露光波長 1 3 . 5〜6 n mの間の E U V (Extreme Ult ra Vio let ) 光、 反射縮小光学系、 及び反射型レチクルを用 いた E U V露光装置の開発が行なわれている。 この装置においては、 円弧照明を 用いてレチクルとウェハを同期走査してスキャン露光する構成が考えられるので、 かかる装置も本発明の適用範囲に含まれるものである。また、上記実施形態では、 E U V露光装置が収納されるチャンバ内が真空になることをも想定してステージ の駆動系を磁気浮上型リニァァクチユエ一夕とし、 チャック系にも静電吸着方式 を用いる等の工夫を行なっているが、 露光波長が 1 0 0 n m以上の光露光装置に 於いては、 ェアフロ一によるステージ駆動系や吸着にパキュ一厶を用いても構わ ない。 ところで、 本発明者は、 主として二重露光の際のスループッ卜を向上させよう との観点から、 ウェハステージ (基板ステージ) を 2つ備え、 一方のウェハステ —ジ上のウェハに対する露光動作中に、 他方のウェハステージ上でウェハ交換、 ァライメン卜等の他の動作を並行して行なう露光装置を先に提案した (特開平 1
0—1 6 3 0 9 7号公報、 特開平 1 0— 1 6 3 0 9 8号公報及び対応する国際公 開 W 0 9 8 / 2 4 1 1 5参照)。 これらの公報に記載の露光装置は、 二重露光で なく、 通常の露光に用いれば、 二重露光の場合より更にスル一プッ卜が向上する ことは明らかである。 また、 これらの公報に記載の露光装置に、 上記第 1の実施 形態で説明した走査露光方法を採用すると、 通常露光及び二重露光のいずれの場 合であっても、 更に一層スループッ 卜の向上を図ることが可能である。特開平 1 0 - 1 6 3 0 9 7号公報、 特開平 1 0— 1 6 3 0 9 8号公報及び対応する国際公 開 W O 9 8 / 2 4 1 1 5を援用して本文の記載の一部とする。 しかしながら、 かかる場合には、 特開平 1 0— 1 6 3 0 9 8号公報に記載のよ うに、 一方のウェハステ一ジ側と他方のウェハステージ側との動作を、 お互いに 影響を与えないような動作同士を同期させて行う等の制御上の工夫に加え、 上記 第 1の実施形態で説明したようなウェハステ一ジの制御を行わなければならいの で、 ステージ制御系の制御プログラムが大変複雑なものになってしまう。 かかる 不都合を改善すベくなされたのが、 次の第 2の実施形態である。
[第 2の実施形態]
次に、 本発明の第 2の実施形態を図 2 1〜図 2 5に基づいて説明する。 この第 2の実施形態では、 特に、 本発明の第 2〜 5の概念が開示されている。 図 2 1には、第 2の実施形態に係る露光装置 1 1 0の概略構成が示されている。 ここで、 前述した第 1の実施形態と同一若し〈は同等の部分については、 同一の 符号を用いるとともにその説明を簡略にし、 若し〈は省略するものとする。 この 露光装置 1 1 0は、 いわゆるステップアンドスキャン方式の走査露光型の投影露 光装置である。 この露光装置 1 1 0は、 基板としてのウェハ W 1、 W 2をそれぞれ保持して独 立して 2次元方向に移動する第 1可動体としての 2つの正方形のウェハステージ W S T 1、 W S T 2を備えたステージ装置 1 0 1、 このステージ装置 1 0 1の上 方に配置された投影光学系 Pし、 投影光学系 P Lの上方でマスクとしてのレチク ル Rを主として所定の走査方向、 ここでは Y軸方向 (図 2 1における紙面直交方 向) に駆動するレチクル駆動機構、 レチクル Rを上方から照明する照明光学系 1 8及びこれら各部を制御する制御系等を備えている。 前記ステージ装置 1 01は、 前記架台部 (第 1コラム) 1 6を構成する定盤 2 2と、 この定盤 22上に XY面内で相対移動可能に支持された第 2可動体として の長方形の可動型定盤 1 38と、 この可動型定盤 1 38上に XY面内で該可動型 定盤 1 38に対して相対移動可能に支持された前記 2つのウェハステージ W S T 1、 W S T 2と、 ウェハステージ W S T 1、 WS T 2の位置を計測する干渉計シ ステムとを備えている。 可動型定盤 1 38としては、 前述した第 1の実施形態の 可動型定盤 38と同様の構成のものが用いられている。 なお、 この可動型定盤 1
38の役割等については更に後述する。 ウェハステージ WST 1、 WS T 2は、 投影光学系 P L下方で可動型定盤 1 3 8上に設けられた駆動装置としての平面磁気浮上型リニアァクチユエ一タ 42 a、
42 bによってそれぞれ浮上支持されるとともに、 投影光学系 P Lの光軸 AXと 直交する XY 2次元平面内で相互に独立して駆動されるようになっている。また、 可動型定盤 1 38は、 ウェハステージ WS T 1、 WS T 2と同様に、 定盤 22上 に設けられた第 2の駆動装置としての平面磁気浮上型リニアァクチユエ一タ 44 によって浮上支持されるとともに、 XY 2次元平面内で自在に駆動されるように なっている。 なお、 平面磁気浮上型リニアァクチユエ一夕 42 a、 42 b. 44 は、 図 21のステージ制御装置 1 60によって制御されるようになっている。 前記ウェハステージ WS T 1、 WS T 2上には、 不図示のウェハホルダを介し てウェハ W1、 W2が静電吸着又は真空吸着等により固定されている。 ウェハホ ルダは、 不図示の Z ■ 0駆動機構によって、 XY平面に直交する Z軸方向及び 0方向 (Z軸回りの回転方向) に微小駆動されるようになっている。 また、 ゥ ェハステージ WST 1、 WST 2の上面には、 種々の基準マークが形成された基 準マーク板 FM 1、 FM2がウェハ W1、 W2とそれぞれぼぼ同じ高さになるよ うに設置されている。 これらの基準マーク板 FM 1、 FM2は、 例えば各ウェハ ステージの基準位置を検出する際に用いられる。 また、 図 22に示されるように、 ウェハステージ WS T 1の X軸方向一側の面 (図 21における左側面) 1 20と Y軸方向一側の面 (図 21における紙面奥側 の面) 1 21とは、 鏡面仕上げがなされた反射面となっており、 同様に、 ウェハ ステージ WST 2の X軸方向他側の面 (図 21における右側面) 1 22と Y軸方 向一側の面 1 23とは、 鏡面仕上げがなされた反射面となっている。 これらの反 射面に、 後述する干渉計システムを構成する各測長軸 (B I 1 X、 B I 2X等) の干渉計ビームが投射され、 その反射光を各干渉計で受光することにより、 各反 射面の基準位置 (一般には投影光学系側面ゃァライメン卜光学系の側面に固定ミ ラーを配置し、 そこを基準面とする) からの変位を計測し、 これにより、 ウェハ ステージ WST 1、 WST 2の 2次元位置がそれぞれ計測されるようになってい る。 なお、 干渉計システムの測長軸の構成については、 後に詳述する。 投影光学系 P Lの X軸方向の両側には、 図 21に示されるように、 同じ機能を 持ったオファクシス (off-axis) 方式のァライメン卜系 1 24a、 1 24 bが、 投影光学系 PLの光軸中心 (レチクルパターン像の投影中心と一致) よりそれぞ れ同一距離だけ離れた位置に設置されている。これらのァライメン卜系 1 24a、 1 24 bは、 L S A (Laser Step Alignment)系、 F I A ( Filed Image Alignment) 系、 L I A (Laser Interf erometric Alignment ) 系の 3種類のァライメントセ ンサを有しており、 基準マーク板上の基準マーク及びウェハ上のァライメントマ —クの X、 Y 2次元方向の位置計測を行うことが可能である。 ここで、 L SA系は、 レーザ光をマークに照射して、 回折 '散乱された光を利 用してマーク位置を計測する最も汎用性のあるセンサであり、 従来から幅広いプ 口セスウェハに使用される。 F I A系は、ハロゲンランプ等のブロードバンド(広 帯域) 光でマークを照明し、 このマーク画像を画像処理することによってマーク 位置を計測するセンサであり、 アルミ層やウェハ表面の非対称マークに有効に使 用される。 また、 L I A系は、 回折格子状のマークに周波数をわずかに変えたレ 一ザ光を 2方向から照射し、 発生した 2つの回折光を干渉させて、 その位相から マークの位置情報を検出するセンサであり、 低段差や表面荒れウェハに有効に使 用される。 本第 2の実施形態では、 これら 3種類のァライメン卜センサを、 適宜目的に応 じて使い分け、 ウェハ上の 3点の一次元マークの位置を検出してウェハの概略位 置計測を行ういわゆるサ一チアライメン卜や、 ウェハ上の各ショッ卜領域の正確 な位置計測を行うファインァライメン卜等を行うようになっている。 この場合、 ァライメン卜系 1 2 4 aは、 ウェハステージ W S T 1上に保持され たウェハ W 1上のァライメントマ一ク及び基準マーク板 F M 1上に形成された基 準マークの位置計測等に用いられる。 また、 ァライメン卜系 1 2 4 bは、 ウェハ ステージ W S T 2上に保持されたウェハ W 2上のァライメン卜マーク及び基準マ —ク板 F M 2上に形成された基準マ一クの位置計測等に用いられる。 これらのァライメン卜系 1 2 4 a、 1 2 4 bを構成する各ァライメン卜センサ からの情報は、 ァライメン卜制御装置 1 8 0により A/ D変換され、 デジタル化 された波形信号を演算処理してマーク位置が検出される。 この結果が主制御装置 1 9 0に送られ、 主制御装置 1 9 0からその結果に応じてステージ制御装置 1 6 0に対し露光時の同期位置補正等が指示されるようになつている。 また、 図示は省略されているが、 投影光学系 P L、 ァライメント系 1 2 4 a、 1 2 4 bのそれぞれには、 上記特開平 1 0— 1 6 3 0 9 8号公報に開示されるよ うな、 合焦位置を調べるための才一卜フォーカス/才一トレべリング (A F /A L ) 計測機構が設けられている。 次に、 レチクル駆動機構について、 図 2 1及び図 2 2に基づいて説明する。 このレチクル駆動機構は、 レチクルベース定盤 2 8上をレチクル Rを保持して X Yの 2次元方向に移動可能なレチクルステージ R S Tと、 このレチクルステ一 ジ R S Tを駆動する不図示のリニアモータ等から成る駆動系 2 9と、 レチクルス テ一ジ R S Tに固定された移動鏡 3 1を介してレチクルステージ R S Tの位置を 計測するレチクルレ一ザ干渉計 3 0とを備えている。 これを更に詳述すると、 レチクルステージ R S Tには、 図 2 2に示されるよう に、 2枚のレチクル R 1、 R 2がスキャン方向 (Y軸方向) に直列に設置できる ようになつており、 このレチクルステージ R S Tは、 不図示のエア一ベアリング 等を介してレチクルベース定盤 2 8上に浮上支持され、 駆動系 2 9により X軸方 向の微小駆動、 0方向の微小回転及び Y軸方向の走査駆動がなされるようにな つている。 なお、 駆動系 2 9は、 リニアモータを駆動源とする機構であるが、 図 2 1では図示の便宜上及び説明の便宜上から単なるブロックとして示しているも のである。 このため、 レチクルステージ R S T上のレチクル R 1、 R 2が例えば 二重露光の際に選択的に使用され、 いずれのレチクルについてもウェハ側と同期 スキャンできるような構成となっている。 レチクルステージ R S T上には、 X軸方向の他側の端部に、 レチクルステージ R S Tと同じ素材 (例えばセラミック等) から成る平行平板移動鏡 3 1 Xが Y軸 方向に延設されており、 この移動鏡 3 1 Xの X軸方向の他側の面には鏡面加工に より反射面が形成されている。 この移動鏡 3 1 Xの反射面に向けて測長軸 B I 6 Xで示される干渉計 (図示省略) からの干渉計ビームが照射され、 その干渉計で はその反射光を受光して基準面に対する相対変位を計測することにより、 レチク ルステージ R S Tの位置を計測している。 ここで、 この測長軸 B I 6 Xを有する 干渉計は、 実際には独立に計測可能な 2本の干渉計光軸を有しており、 レチクル ステージの X軸方向の位置計測と、 ョィーング量の計測が可能となっている。 こ の測長軸 B I 6 Xを有する干渉計の計測値は、 後述するウェハステージ側の測長 軸 B I 1 X、 B I 2 Xを有する干渉計 1 1 6、 1 1 8からのウェハステージ W S T 1、 W S T 2のョ一イング情報や X位置情報に基づいてレチクルとウェハの相 対回転 (回転誤差) をキャンセルする方向にレチクルステージ R S Tを回転制御 したり、 X方向同期制御を行うために用いられる。
—方、 レチクルステージ R S Tの走査方向 (スキャン方向) である Y軸方向の 他側 (図 2 1における紙面手前側) には、 一対のコーナ一キューブミラ— 3 1 yl、 3 1 ϊ2が設置されている。 そして、 不図示の一対のダブルパス干渉計から、 これ らのコーナ一キューブミラ一 3 1 3 1 に対して図 2 2に測長軸 Β I 7 Υ、 Β I 8 Υで示される干渉計ビームが照射され、 レチクルべ—ス定盤 2 8上の反射 面にコーナ一キューブミラ一 3 1 yl、 3 1 ϊ2より戻され、 そこで反射したそれぞ れの反射光が同一光路を戻り、 それぞれのダブルパス干渉計で受光され、 それぞ れのコーナ一キューブミラ一 3 1 3 1 の基準位置 (レファレンス位置で前 記レチクルべ一ス定盤 2 8上の反射面) からの相対変位が計測される。 そして、 これらのダブルパス干渉計の計測値が図 2 1のステージ制御装置 1 6 0に供給さ れ、 その平均値に基づいてレチクルステージ R S Τの Υ軸方向の位置が計測され る。 この Υ軸方向位置の情報は、 ウェハ側の測長軸 Β I 3 Υを有する干渉計の計 測値に基づくレチクルステージ R S Tとウェハステ一ジ W S T 1又は W S T 2と
! 44 の相対位置の算出、 及びこれに基づ〈走査露光時の走査方向 (Y軸方向) のレチ クルとウェハの同期制御に用いられる。 このように、 本第 2の実施形態では、 測長軸 B I 6 Xで示される干渉計及び測 長軸 B I 7丫、 B I 8 Yで示される一対のダブルパス干渉計の合計 3つの干渉計 によって図 21に示されるレチクルレ一ザ干渉計 30が構成されている。 次に、 ウェハステージ WS T 1、 WS T 2の位置を管理する干渉計システムに ついて、 図 21〜図 23を参照しつつ説明する。 これらの図に示されるように、 投影光学系 P Lの投影中心とァライメン卜系 1 24 a 1 24 bのそれぞれの検出中心とを通る X軸に沿ってウェハステージ W S T 1の X軸方向一側の面には、 図 21の干渉計 1 1 6からの測長軸 B I 1 Xで 示される干渉計ビームが照射され、 同様に、 X軸に沿ってウェハステージ WST 2の X軸方向の他側の面には、 図 21の干渉計 1 1 8からの測長軸 B I 2Xで示 される干渉計ビームが照射されている。 そして、 干渉計 1 1 6、 1 1 8ではこれ らの反射光を受光することにより、各反射面の基準位置からの相対変位を計測し、 ウェハステージ WS T 1、WS T 2の X軸方向位置を計測するようになっている。 ここで、 干渉計 1 1 6、 1 1 8は、 図 22に示されるように、 各 3本の光軸を 有する 3軸干渉計であり、 ウェハステージ WST 1、 WS T 2の X軸方向の計測 以外に、 チル卜計測及び 0計測が可能となっている。 この場合、 ウェハステ— ジ WS T 1、 WS T 2の 0回転を行う不図示の Θステージ及び Z軸方向の微小 駆動及び傾斜駆動を行う不図示の Z ■ レべリングステージは、 実際には、 反射面 (1 20〜1 23) の下にあるので、 ウェハステージのチル卜制御時の駆動量は 全て、 これらの干渉計 1 1 6、 1 1 8によりモニタする事ができる。 なお、 測長軸 B I 1 X、 B I 2 Xの各干渉計ビームは、 ウェハステージ WS T 1、 WS T 2の移動範囲の全域で常にウェハステージ WS T 1、 WST2に当た るようになっており、 従って、 X軸方向については、 投影光学系 PLを用いた露 光時、 ァライメン卜系 1 24a、 1 24 bの使用時等いずれのときにもウェハス テ一ジ WS T 1、 WS T 2の位置は、 測長軸 B I 1 X、 B I 2 Xの計測値に基づ いて管理される。 また、 図 22及び図 23に示されるように、 投影光学系 P Lの投影中心で X軸 と垂直に交差する測長軸 B I 3 Yを有する干渉計 132と、 ァライメン卜系 1 2 4a、 1 24 bのそれぞれの検出中心で X軸とそれぞれ垂直に交差する測長軸 B 14丫、 B I 5 Yをそれぞれ有する干渉計 131、 133とが設けられている。 本実施形態の場合、投影光学系 P Lを用いた露光時のウェハステージ WS T 1、 WST2の Y方向位置計測には、 投影光学系 PLの投影中心、 すなわち光軸 AX を通過する測長軸 B I 3 Yの干渉計 1 32の計測値が用いられ、 ァライメン卜系 1 24 aの使用時のウェハステージ WS T 1の Y方向位置計測には、 ァライメン 卜系 1 24 aの検出中心、 すなわち光軸 SXを通過する測長軸 B I 4 Yの干渉計 131の計測値が用いられ、 ァライメン卜系 1 24 b使用時のウェハステージ W ST 2の Y方向位置計測には、 ァライメン卜系 124 bの検出中心、 すなわち光 軸 S Xを通過する測長軸 B I 5 Yの干渉計 1 33の計測値が用いられる。 従って、 各使用条件により、 Y軸方向の干渉計測長軸がウェハステージ WST 1、 WS T 2の反射面より外れる事となるが、 少なくとも一つの測長軸、 すなわ ち測長軸 B I 1 X、 B I 2 Xはそれぞれのウェハステージ WS T 1、 WS T 2の 反射面から外れることがないので、 使用する干渉計光軸が反射面上に入った適宜
H6 な位置で Y側の干渉計のリセットを行うことができる。 なお、上記 Υ計測用の測長軸 Β 13 Υ、 Β Ι 4丫、 Β Ι 5Υの各干渉計 1 32、 1 31、 1 33は、 各 2本の光軸を有する 2軸干渉計であり、 ウェハステージ W S Τ 1、 WS Τ 2の Υ軸方向の計測以外に、 チル卜計測が可能となっている。 本 実施形態では、 干渉計 1 1 6、 1 1 8、 1 31、 1 32、 1 33の合計 5つの干 渉計によって、 ウェハステージ WST 1、 WS T 2の 2次元座標位置を管理する 干渉計システムが構成されている。 さらに、 図 21に示される主制御装置 1 90には、 ウェハステージ WST 1、 WST 2の移動を管理するための条件式 (例えば、 干渉化条件) 等が記憶された メモリ 1 91が設けられている。 また、 本第 2の実施形態では、 後述するように、 ウェハステージ WST 1、 W S T 2の内の一方が露光シーケンスを実行している間、 他方はウェハ交換、 ゥェ ハァライメン卜シーケンスを実行するが、 この際に両ステ一ジ同士が干渉しない ように、 各干渉計の出力値に基づいて主制御装置 1 90の指令に応じてステージ 制御装置 1 60により、 ウェハステージ WS T 1、 WS T 2の移動が管理されて いる。 次に、可動型定盤 1 38の§割及びその制御方法等について、簡単に説明する。 この可動型定盤 1 38も基本的には前述した第 1の実施形態の可動型定盤 38と 同等の役割を有し、 ステージ制御装置 1 60によって同様にして制御される。 すなわち、 可動型定盤 1 38の上面には、 ウェハステージ WST 1、 WST 2 の下面に設けられた不図示の永久磁石とともに平面磁気浮上型リニアァクチユエ —夕 42 a、 42 bを構成する複数のコイル (図示省略) が XY 2次元方向に張 り巡らされている。 そして、 ウェハステージ WS T 1、 WS T 2は、 平面磁気浮 上型リニアァクチユエ—夕 42 a、 42 bによって可動型定盤 1 38の上方に浮 上支持されるととももに、 前記コイルの内の各ウェハステージ WS T 1、 WS T 2が対向する部分のコィルに流す電流を制御することにより任意の 2次元方向に 独立して駆動される構成となっている。 可動型定盤 1 38は、 第 1の実施形態の可動型定盤 38と同様にして、 平面磁 気浮上型リニアァクチユエ一タ 44によって定盤 22の上方に浮上支持されると とももに、 前記コイルに流す電流を制御することにより任意の 2次元方向に駆動 される構成となっている。 この場合、 ウェハステージ WS T 1、 WS T 2と可動型定盤 1 38、 可動型定 盤 1 38と定盤 22とは、 それぞれ非接触のため、 それぞれの間の摩擦が非常に 小さくなつている結果、 ウェハステージ WS T 1、 WS T 2、 可動型定盤 1 38 を含む系全体として運動量保存則が成立する。 すなわち、 ウェハステージ WS T 1及び W ST 2の一方が移動する場合には、 上記第 1の実施形態と全く同様であ り、 ウェハステージ WST 1 と WS T 2とが、 同時に移動する場合には、 これら のステージの駆動力の合力に対する反力によって可動型定盤 1 38が移動するか
本第 2の実施形態においても、 上記第 1の実施形態と同様に、 ウェハステージ 加速度、 最高速度、 フッ卜プリン卜の悪化を 1桁以下に抑えるため、 ウェハステ —ジ WS T 1、WS T 2の質量 mと可動型定盤 1 38の質量 Mの比が m:M二 1 : 9以下になるように、 すなわちウェハステージ WS T 1、 WS T 2の重量が可動 型定盤 1 38の重量の 1 /9以下になるように設定している。 また、 可動型定盤 1 3 8の必要ストロークを小さ〈するために、 ステージ制御 装置 1 6 0では、 可動型定盤 1 3 8駆動用の平面磁気浮上型リニアァクチユエ一 タ 4 4に対する制御応答を露光, ァライメン卜時とその他の時とで可変するよう にしている。 従って、 露光の際は、 ウェハステージ W S T 1又は W S T 2とレチクルステ一 ジ R S Tが同期して移動するが、 可動型定盤 1 3 8駆動用の平面磁気浮上型リニ ァァクチユエ—夕 4 4の制御応答を数 H zにて制御すれば、 数十 H zで制御され るウェハステージ W S T 1、 W S T 2駆動用の平面磁気浮上型リニアァクチユエ —夕 4 2 a、 4 2 bの可動型定盤 1 3 8に対する反力には殆ど追従できず、 運動 量保存則から可動型定盤 1 3 8が自由に運動してその反力を吸収してしまい、 そ の反力の影響が外部に及ばない。 また、 ステージ制御装置 1 6 0では、 レチクルステージ R S Tの位置やウェハ ステージ W S T 1、 W S T 2の位置の変化にて露光装置本体 1 2が全体的に傾い た場合に、 平面磁気浮上型リニアァクチユエ一夕 4 4の制御応答を数 H zにて制 御することにより、 その傾き方向に可動型定盤 1 3 8が移動する低周波位置ずれ を防止するようになっている。 また、 本実施形態においても、 可動型定盤 1 3 8の定盤 2 2に対する X Y方向 の相対移動の位置を検出する位置計測装置としてのリニアエンコーダ 4 5 (図 2 1参照) を用いたフィ一ドバック制御により、 上記第 1の実施形態と同様に、 所 定のタイミングでステージ制御装置 1 6 0が、 可動型定盤 1 3 8駆動用の平面磁 気浮上型リニアァクチユエ一タ 4 4の応答周波数を数十 H zに上げる等の動作に よって可動型定盤 1 3 8の移動量を小さ〈する (ほぼ所定の位置に維持する) よ うになつている。 前記制御系は、 装置全体を統括的に制御する主制御装置 1 90を中心に、 この 主制御装置 1 90の配下にある露光量制御装置 1 70及びステージ制御装置 1 6 0等から構成されている。 ここで、 制御系の上記構成各部の動作を中心に本実施形態の露光装置 1 1 0の 露光時の動作について説明する。 露光量制御装置 1 70は、 レチクル Rとウェハ (VH又は W 2 ) との同期走査 が開始されるのに先立って、 不図示のシャツ夕駆動部を介して照明光学系 1 8内 の不図示のシャッタをオープンする。 この後、 ステージ制御装置 1 60により、 主制御装置 1 90の指示に応じてレ チクル Rとウェハ (W1又は W2)、 すなわちレチクルステージ R S Tとウェハ ステージ (WS T 1又は WST 2) の同期走査 (スキャン制御) が開始される。 この同期走査は、 前述した干渉計システムの測長軸 B I 3 Yと測長軸 B I 1 X又 は B I 2 X及びレチクルレ一ザ干渉計 30の測長軸 B I 7 Y、 B I 8 Yと測長軸 B I 6 Xの計測値をモニタしつつ、 ステージ制御装置 1 60によってレチクル駆 動部 29及びウェハステージの駆動系 (平面磁気浮上型リニアァクチユエ一夕 4 2 a又は 42 b) を制御することにより行われる。 そして、 両ステージが所定の許容誤差以内に等速度制御された時点で、 露光量 制御装置 1 70では、 エキシマレーザのパルス発光を開始させる。 これにより、 照明光学系 1 8からの照明光により、 その下面にパターンがクロム蒸着されたレ チクル Rの前記矩形の照明領域 I Aが照明され、 その照明領域内のパタ―ンの像 が投影光学系 P Lにより 1 /4 (又は 1 / 5 ) 倍に縮小され、 その表面にフォト レジス卜が塗布されたウェハ (W 1又は W 2 ) 上に投影露光される。 ここで、 図 2 2からも明らかなように、 レチクル R上のパターン領域に比べ照明領域 I Aの 走査方向のスリッ卜幅は狭く、上記のようにレチクル Rとウェハ(W 1又は W 2 ) とを同期走査することで、 パターンの全面の像がウェハ上のショッ卜領域に順次 形成される。 ここで、 前述したパルス発光の開始と同時に、 露光量制御装置 1 7 0は、 振動 ミラ一 1 8 Dを駆動させ、レチクル R上のパターン領域が完全に照明領域 I A (図 2 2参照) を通過するまで、 すなわちパターンの全面の像がウェハ上のショッ 卜 領域に形成されるまで、 連続してこの制御を行うことで 2つのフライアイレンズ で発生する干渉縞のムラ低減を行う。 また、 上記の走査露光中にショッ卜エッジ部でのレチクル上の遮光領域よりも 外に照明光が漏れないように、 レチクル Rとウェハ Wのスキャンと同期して可動 ブラインド 1 8 Mが駆動系 4 3によつて駆動制御されており、 これらの一連の同 期動作がステージ制御装置 1 6 0により管理されている。 上述した走査露光 (スキャン露光) 中、 特開平 1 0— 1 6 3 0 9 8号公報に開 示される如く、 レジス卜感度に対応した積算露光量となるように、 主制御装置 1 9 0又は露光量制御装置 1 7 0では、 照射エネルギーや発振周波数の可変量につ いて全て演算を行い、 光源部内に設けられた減光システムを制御することによつ て照射エネルギーや発振周波数を可変させたり、 シャツ夕や振動ミラ—を制御し たりするように構成されている。 さらに、 主制御装置 1 9 0では、 例えば、 スキャン露光時に同期走査を行うレ チクルステージとウェハステージの移動開始位置 (同期位置) を補正する場合、 各ステージを移動制御するステージ制御装置 1 6 0に対して補正量に応じたステ -ジ位置の補正を指示する。 更に、 本実施形態の露光装置 1 1 0では、 ウェハステージ W S T 1 との間でゥ ェハの交換を行う第 1の搬送システムと、 ウェハステージ W S T 2との間でゥェ ハ交換を行う第 2の搬送システムとが設けられている。 第 1の搬送システムは、 図 2 4に示されるように、 左側のウェハ口一ディング 位置にあるウェハステージ W S T 1 との間で後述するようにしてウェハ交換を行 う。 この第 1の搬送システムは、 Y軸方向に延びる第 1のローデイングガイ ド 1 8 2、 この口一ディングガイ ド 1 8 2に沿って移動する第 1のスライダ 1 8 6及 び第 2のスライダ 1 8 7、 第 1のスライダ 1 8 6に取り付けられた第 1のアン口 一ドア一厶 1 8 4、 第 2のスライダ 1 8 7に取り付けられた第 1の口一ドア一厶 1 8 8等を含んで構成される第 1のウェハローダと、 ウェハステージ W S T 1上 に設けられた 3本の上下動部材から成る第 1のセンタ一アップ 1 8 1 とから構成 される。 ここで、 この第 1の搬送システムによるウェハ交換の動作について、 簡単に説 明する。 ここでは、 図 2 4に示されるように、 左側のウェハ口一ディング位置に あるウェハステージ W S T 1上にあるウェハ W 1 ' と第 1のウェハローダによ り搬送されてきたウェハ W 1 とが交換される場合について説明する。 まず、 主制御装置 1 9 0では、 ウェハステージ W S T 1上の不図示のウェハホ ルダのバキュームを不図示のスイッチを介してオフし、 ウェハ W 1 ' の吸着を 解除する。 次に、 主制御装置 1 9 0では、 不図示のセンターアップ駆動系を介してセンタ —アップ 1 8 1 を所定量上昇駆動する。 これにより、 ウェハ W 1 ' が所定位置 まで持ち上げられる。 この状態で、 主制御装置 1 9 0では、 不図示のウェハ口一 ダ制御装置に第 1のアンロードアーム 1 8 4の移動を指示する。 これにより、 ゥ ェハ口一ダ制御装置により第 1のスライダ 1 8 6が駆動制御され、 第 1のアン口 一ドア一厶 1 8 4がローデイングガイ ド 1 8 2に沿ってウェハステージ W S T 1 上まで移動してウェハ W 1 ' の真下に位置する。 この状態で、 主制御装置 1 9 0では、 センタ一アップ 1 8 1を所定位置まで下 降駆動させる。 このセンタ一アップ 1 8 1の下降の途中で、 ウェハ W 1 ' が第 1のアン口一ドア一厶 1 8 4に受け渡されるので、 主制御装置 1 9 0ではウェハ ローダ制御装置に第 1のアン口一ドア一ム 1 8 4のバキューム開始を指示する。 これにより、 第 1のアン口一ドア一厶 1 8 4にウェハ W 1 ' が吸着保持される。 次に、 主制御装置 1 9 0では、 ウェハローダ制御装置に第 1のアン口一ドア一 厶 1 8 4の退避と第 1の口一ドア一厶 1 8 8の移動開始を指示する。これにより、 第 1のスライダ 1 8 6と一体的に第 1のアン口一ドアーム 1 8 4が図 2 4の— Y 方向に移動を開始すると同時に第 2のスライダ 1 8 7がウェハ W 1を保持した第 1の口一ドアーム 1 8 8と一体的に + Y方向に移動を開始する。 そして、 第 1の 口一ドア一ム 1 8 8がウェハステージ W S T 1の上方に来たとき、 ウェハローダ 制御装置により第 2のスライダ 1 8 7が停止されるとともに第 1のロードアーム 1 8 8のバキュームが解除される。 この状態で、 主制御装置 1 9 0ではセンタ一アップ 1 8 1を上昇駆動し、 セン ターアップ 1 8 1によりウェハ W 1を下方から持ち上げさせる。 次いで、 主制御 装置 1 9 0ではウェハローダ制御装置にロードアームの退避を指示する。 これに より、 第 2のスライダ 1 8 7が第 1のロードア一厶 1 8 8と一体的に一 Y方向に 移動を開始して第 1のロードアーム 1 8 8の退避が行われる。 この第 1のロード アーム 1 8 8の退避開始と同時に主制御装置 1 9 0では、 センターアップ 1 8 1 の下降駆動を開始してウェハ W 1をウェハステージ W S T 1上の不図示のウェハ ホルダに載置させ、 当該ウェハホルダのバキュームをオンにする。 これにより、 ウェハ交換の一連のシーケンスが終了する。 第 2の搬送システムは、 同様に、 図 2 5に示されるように、 右側のウェハ口一 ディング位置にあるウェハステージ W S T 2との間で上述と同様にしてウェハ交 換を行う。 この第 2の搬送システムは、 Y軸方向に延びる第 2のローデイングガ イ ド 1 9 2、 この第 2の口一ディングガイ ド 1 9 2に沿って移動する第 3のスラ イダ 1 9 6及び第 4のスライダ 2 0 0、 第 3のスライダ 1 9 6に取り付けられた 第 2のアンロードア一厶 1 9 4、 第 4のスライダ 2 0 0に取り付けられた第 2の 口一ドア一厶 1 9 8等を含んで構成される第 2のウェハローダと、 ウェハステ一 ジ W S T 2上に設けられた不図示の第 2のセンタ一アップとから構成される。 次に、 図 2 4及び図 2 5に基づいて、 2つのウェハステージ W S T 1 s W S T 2による並行処理について説明する。 図 2 4には、 ウェハステージ W S T 2上のウェハ W 2を投影光学系 P Lを介し て露光動作を行っている間に、 左側ローディング位置にて上述の様にしてウェハ ステージ W S T 1 と第 1の搬送システムとの間でウェハの交換が行われている状 態の平面図が示されている。 この場合、 ウェハステージ W S T 1上では、 ウェハ 交換に引き続いて後述するようにしてァライメント動作が行われる。 なお、 図 2 4において、 露光動作中のウェハステージ W S T 2の位置制御は、 干渉計システ 厶の測長軸 B I 2 X、 B I 3 Yの計測値に基づいて行われ、 ウェハ交換とァライ メン卜動作が行われるウェハステージ W S T 1の位置制御は、 干渉計システムの 測長軸 B I 1 X、 B I 4 Yの計測値に基づいて行われる。 この図 2 4に示される左側のローディング位置ではァライメント系 1 2 4 aの 真下にウェハステージ W S T 1の基準マーク板 F M 1上の基準マークが来るよう な配置となっている。 このため、 主制御装置 1 9 0では、 ァライメン卜系 1 2 4 aにより基準マ一ク板 F M 1上の基準マークを計測する以前に、 干渉計システム の測長軸 B I 4 Yの干渉計のリセットを実施している。 上述したウェハ交換、 干渉計のリセッ卜に引き続いて、 サーチァライメントが 行われる。 そのウェハ交換後に行われるサーチァライメン卜とは、 ウェハ W 1の 搬送中になされるブリアライメン卜だけでは位置誤差が大きいため、 ウェハステ —ジ W S T 1上で再度行われるブリアライメン卜のことである。 具体的には、 ス テ一ジ W S T 1上に載置されたウェハ W 1上に形成された 3つのサーチァライメ ン卜マーク (図示せず) の位置をァライメント系 1 2 4 aの L S A系のセンサ等 を用いて計測し、 その計測結果に基づいてウェハ W 1の X、 Υ Ν 0方向の位置 合わせを行う。 このサーチァライメン卜の際の各部の動作は、 主制御装置 1 9 0 により制御される。 このサ一チアライメン卜の終了後、 ウェハ W 1上の各ショッ卜領域の配列をこ こでは E G Aを使って求めるフアインァライメン卜が行われる。 具体的には、 干 渉計システム (測長軸 B I 1 X、 B I 4 Y ) により、 ウェハステージ W S T 1の 位置を管理しつつ、 設計上のショッ 卜配列データ (ァライメン卜マーク位置デ一 タ) をもとに、 ウェハステージ W S T 1を順次移動させつつ、 ウェハ W 1上の所 定のサンプルショヅトのァライメン卜マーク位置をァライメン卜系 1 2 4 の I A系のセンサ等で計測し、 この計測結果とショッ卜配列の設計座標データに基 づいて最小自乗法による統計演算により、全てのショット配列データを演算する。 なお、 この E G Aの際の各部の動作は主制御装置 1 9 0により制御され、 上記の 演算は主制御装置 1 9 0により行われる。 なお、 この演算結果は、 基準マーク板 F M 1の基準マーク位置を基準とする座標系に変換しておくことが望ましい。 本実施形態の場合、前述したように、ァライメン卜系 1 2 4 aによる計測時に、 露光時と同じ A F /A L機構の計測、 制御による才一卜フ才一カス/才一トレべ リングを実行しつつァライメン卜マークの位置計測が行われ、 ァライメン卜時と 露光時との間にステージの姿勢によるオフセット (誤差) を生じさせないように することができる。 ウェハステージ W S T 1側で、 上記のウェハ交換、 ァライメン卜動作が行われ ている間に、 ウェハステージ W S T 2側では、 2枚のレチクル R 1、 R 2を使い、 露光条件を変えながら連続してステップアンドスキャン方式により二重露光が行 われる。 具体的には、 前述したウェハ W 1側と同様にして、 事前に E G Aによるフアイ ンァライメン卜が行われており、 この結果得られたウェハ W 2上のショット配列 データ (基準マーク板 F M 2上の基準マークを基準とする) に基づいて、 順次ゥ ェハ W 2の隣接ショッ卜へのショッ 卜間移動 (ステッピング) 動作が行われ、 ゥ ェハ W 2上の各ショッ 卜領域に対して順次前述したスキャン露光が行われる。 上 記のショット間移動動作の際に、前述した第 1の実施形態中で図 1 1 ( A )〜(C ) を用いて説明したのと同様のウェハステージ W S T 2の移動制御が行われる。 このようなウェハ W 2上の全ショッ 卜領域に対する露光がレチクル交換後にも 連続して行われる。 具体的な二重露光の露光順序としては、 例えばウェハ W 1の 各ショッ 卜領域をレチクル R 2を使って順次スキャン露光を行った後、 レチクル ステージ R S Tを走査方向に所定量移動してレチクル R 1を露光位置に設定した 後、 上記と逆の順序でスキャン露光を行う。 この時、 レチクル R 2とレチクル R 1では露光条件 (A F /A L、 露光量) や透過率が異なるので、 レチクルァライ メン卜時にそれぞれの条件を計測し、 その結果に応じて条件の変更を行う必要が ある o このウェハ W 2の二重露光中の各部の動作も主制御装置 1 9 0によって制御さ れる。 上述した図 2 4に示す 2つのウェハステージ W S T 1、 W S T 2上で並行して 行われる露光シーケンスとウェハ交換 ·ァライメン卜シーケンスとは、 先に終了 したウェハステージの方が待ち状態となり、 両方の動作が終了した時点で図 2 5 に示す位置までウェハステージ W S T 1、 W S T 2が移動制御される。 そして、 露光シーケンスが終了したウェハステージ W S T 2上のウェハ W 2は、 右側口一 ディングポジションでウェハ交換がなされ、 ァライメントシーケンスが終了した ウェハステージ W S T 1上のウェハ W 1は、 投影光学系 P Lの下で露光シ一ケン スが行われる。 図 2 5に示される右側口一ディングポジションでは、 左側口一ディングポジシ ヨンと同様にァライメン卜系 1 2 4 bの下に基準マーク板 F M 2上の基準マーク が来るように配置されており、 前述のウェハ交換動作とァライメン卜シーケンス とが実行される事となる。 勿論、 干渉計システムの測長軸 B I 5 Yの干渉計のリ セッ 卜動作は、 ァライメント系 1 2 4 bによる基準マーク板 F M 2上のマ一ク検 出に先立って実行されている。 なお、 上記の一連の並行処理動作の過程で行われる主制御装置 1 90による干 渉計のリセッ ト動作は、 上記特開平 1 0— 1 63098号公報に開示される動作 と全く同様であり、 公知であるから詳細な説明は省略する。 本実施形態のように、 2つのウェハステージ WS T 1、 WST 2を使って異な る動作を同時並行処理する場合、 一方のステージで行われる動作が他方のステ一 ジの動作に影響 (外乱) を与える可能性がある。 このような場合、 上記特開平 1 0- 1 63098号公報に記載の露光装置では、 同公報の図 1 1〜図 1 3及びそ の説明部分に開示されるような 2つのステージ WS T 1、 WS T 2上で行われる 動作のタイミング調整を行っていたため、 制御動作が複雑であった。
これに対し、 本実施形態では、 前述の如く、 ウェハステージ WS T 1、 WS T 2が、 可動型定盤 1 38を介して定盤 22上に配置されているため、 平面磁気浮 上型リニアァクチユエ一タ 42 a又は 42 bによりいずれかのウェハステージ (WST 1又は WST 2) が駆動された場合には、 その駆動力の反力により可動 型定盤 1 38が移動して、 ウェハステージ (WS T 1又は WST 2) の重心移動 による偏荷重を可動型定盤 1 38の重心移動によりキャンセルすることができ、 結果的にステージ装置 1 01全体の重心を所定位置に保持できるのみならず、 平 面磁気浮上型リニアァクチユエ一タ 42 a、 42 bによりウェハステージ WS T 1、 WST 2が同時に駆動された場合には、 その駆動力の合力に対応する反力に よりウェハステージ WST 1、 WST 2の重心移動による偏荷重を可動型定盤 1 38の重心移動によりキャンセルするように該可動型定盤 1 38が移動し、 結果 的にステージ装置 1 01全体の重心を所定位置に保持できる。 従って、 ウェハス テ一ジ WST 1、 WS T 2の一方の動作が他方に外乱として作用することがない ようにウェハステージ同士の動作の調整を行う必要がな〈なるので、 制御負担が 軽減されるとともに、 各ウェハステ一ジの位置制御性をともに高く維持すること ができる。 また、 上述の如く、 複数枚のレチクル Rを使って二重露光を行う場合、 高解像 度と D O F (焦点深度) の向上効果が得られる。 しかし、 この二重露光法は、 露 光工程を少なくとも 2度繰り返さなければならないため、 従来の露光装置では、 露光時間が長くなつて大幅にスループッ卜が低下するという不都合があった。 こ れに対し、 本第 2の実施形態では一方のウェハステージ上の露光動作と、 他方の ウェハステージ上のァライメン卜、 ウェハ交換動作等の同時並行処理によりスル —プッ卜を大幅に改善できるため、 スループッ卜を低下させることなく高解像度 と D O Fの向上効果とを得ることができる。 なお、 上記特開平 1 0— 1 6 3 0 9 8号公報にも開示されるように、 ダブルゥ ェハステージを備えた露光装置では、 例えば、 各処理時間を T 1 (ウェハ交換時 間)、 T 2 (サ一チアライメン卜時間)、 T 3 (ファインァライメン卜時間)、 T 4 ( 1回の露光時間) とした場合に、 T 1、 Τ 2、 Τ 3と Τ 4とを並列処理しな がら二重露光を行う場合には、 8インチウェハの場合、 露光時間の方が大きいた め該露光時間が制約条件となって全体のスループッ卜が決まるが、 本第 2の実施. 形態ではウェハステージ W S T 1、W S Τ 2のショヅ卜間移動時間の短縮により、 この露光時間 T 4の短縮が可能であり、 通常の一重露光とほぼ同等の高スループ ッ卜による二重露光を実現することができる。 なお、 上記第 2の実施形態では、 本発明に係るステージ装置を二重露光法を用 いてウェハの露光を行う装置に適用した場合について説明したが、 同様の技術で あるステイッチングにも適用でき、 この場合には、 一方のウェハステージ側で 2 枚のレチクルにて 2回露光を行う間に、 独立に可動できる他方のウェハステージ 側でウェハ交換とウェハァライメン卜を並行して実施することにより、 通常の露 光装置によるスティツチングよりも高いスループッ 卜が得られる。 しかしながら、 本発明に係るステージ装置の適用範囲がこれに限定されるもの ではなく、 一重露光法により露光する場合にも本発明は好適に適用できるもので る。 また、 上記第 2の実施形態では、 ァライメン卜動作及びウェハ交換動作と、 露 光動作とを並行処理する場合について述べたが、 これに限らず、 例えば、 ベース ラインチェック (BCH K)、 ウェハ交換が行われる度に行うキヤリブレーショ ン等のシーケンスについても同様に露光動作と並行処理するようにしても良い。
なお、 上記第 2の実施形態では、 2つのウェハステージ WS T 1、 WST 2と して、 正方形のウェハステージを用いる露光装置について説明したが、 これに限 らず、 例えば図 26に示されるように、 第 1の実施形態と同様の三角形のウェハ ステージ WS T 3、 WS T 4を定盤 22上の可動型定盤 1 38上に配置しても良 い。 この図 26の装置では、 ウェハステージ WST 3、 WST4の位置を計測す る干渉計システムを、 同図に示されるように、 投影光学系 Pし、 ァライメン卜光 学系 1 24a、 1 24 bの中心で交わる XY軸に対して所定角度傾斜する測長軸 を有する各一対、 合計 6つの干渉計 21 1、 21 2、 21 3、 21 4、 21 5、 1 6によって構成すれば良い。 また、 上記第 2の実施形態では、 ステージ装置 1 01を構成する第 1可動体と してのウェハステージ WS T 1、 WS T 2が平面磁気浮上型リニアァクチユエ一 夕によって駆動される場合について説明したが、 本発明に係るステージ装置がこ れに限定されるものではなく、 各第 1可動体を駆動する駆動装置は通常のリニア モータ等であっても構わない。 なお、 上記第 2の実施形態では、 ステップアンドスキャン方式により走査露光 を行う場合について説明したが、 本発明に係るステージ装置の適用範囲がこれに 限定されるものではなく、 ステップアンドリピー卜方式による静止露光を行うス テッノ 等の投影露光装置や、 投影光学系を用いることなくマスクと基板とを密接 させてマスクのパターンを基板に転写するプロキシミティ露光装置や、 E B露光 装置や X線露光装置等であつても同様に適用できることは勿論である。 なお、 上記実施形態中の説明では、 図 1 1 ( B )、 (C ) を用いてウェハステー ジ W S Tのショット間移動時の移動軌跡を図 1 1 ( A ) のような U字状に設定す る場合の速度制御方法について説明し、 その際に、 ウェハステージ W S T (及び レチクルステージ R S T ) を走査方向について、 一定加速度で目標走査速度 (ス キャン速度) まで加速し、 目標走査速度での走査露光が終了した後、 一定加速度 (一定減速度) で減速する場合について説明したが (図 1 0 ( B )、 図 1 1 ( B ) 参照)、 走査方向に関するレチクルステージ R S T、 ウェハステージ W S Tの加 速度制御方法を変更することにより、 更なるスループッ 卜の向上が可能である。 以下、 上記第 1の実施形態の走査型露光装置 1 0を用いて、 (A ) に示される 隣接したショッ ト S 1、 S 2、 S 3を交互スキャンにより順次露光する際のステ —ジ制御系によるステージの加速度制御方法について、 図 2 7及び図 2 8に基づ いて説明する。 第 5概念の説明
走査型露光装置 1 0では、 レチクルステージ R S Tをウェハステージ W S丁の 4倍 (又は 5倍) の目標走査速度で走査する必要があることから、 レチクルステ —ジの加速能力が制約条件になるものと考えられるので、 ここでは、 レチクルス
] 61 丁- ジ R S Tの加速度制御を中心に説明する。 図 27 (Α) には、 本発明の走査露光方法における、 上記各ショッ 卜に対する 走査露光に際して、 第 1の加速度制御方法を採用した場合のレチクルステージ R STの走査方向 (Υ方向) の速度指令値の時間変化が示されている。 また、 図 2 7 (Β) には、 先に説明した図 1 1 (Β) に対応するレチクルステージ R STの 走査方向 (Υ方向) の速度指令値の時間変化が比較例として示されている。 さら に、 図 27 (C) には、 上記各ショッ 卜に対する走査露光に際して、 第 2の加速 度制御方法を採用した場合のレチクルステージ R STの走査方向 (Υ方向) の速 度指令値の時間変化が示されている。 これらの図において、 横軸は時間を示し、 縦軸はレチクルステージの Υ方向の速度指令値 Vryを示す。 また、以下の説明においては、零から目標走査速度 V rまでの加速時間を Ta、 レチクルとウェハの同期整定時間を T s、 露光時間を Te、 調整時間すなわち等 速オーバ一スキャン時間を Tw、 目標走査速度 V rから零までの減速時間を T d とする。 第 1の加速度制御方法では、 図 27 (A) に示されるように、 図 2の駆動系 2 9を構成するリニアモータの発生可能な最高推力による最高加速度による等加速 度制御ではなく、 その加速度が徐々に零に収束するような加速度変化曲線に基づ いてレチクルステージ R S Tを同期移動方向 (Y方向) に速度零から目標走査速 度 V rまで加速する。 ここで、 上記の加速度変化曲線としては、 2次曲線 (放物 線) や高次曲線が用いられる。 この第 1の加速度制御方法によると、 各ショッ卜に対する走査露光に際して、 レチクル Rとウェハ Wとの同期移動に先立って、レチクル Rが、その加速度が徐々
!62 に零に収束するような加速度変化曲線に基づいて Y方向に沿って加速されること から、 図 27 (Β) に示されるように、 一定加速度で目標走査速度 V rへ加速す る場合のように加速終了時点で加速度が不連続に、 すなわち急激に変化すること がない。 図 28 (A)、 (B) には、 図 27 (A)、 (B) にそれぞれ対応して整定時間 T s近傍のレチクルステージ R S Tの目標位置に対する位置誤差の時間変化が示さ れている。 なお、 目標、位置は、 当然に時間的に変化するが、 図 28 (A)、 (B) では各時点の目標位置 (図中の 0) を基準とする位置誤差が示されている。 これ ら図 28 (A)、 (B) を比較すると明らかなように、 上記の第 1の加速度制御方 法によると、 図 27 (B) の場合と比べて目標位置に対する位置誤差を速やかに 許容範囲内に収束させることができることが判る。 これは、 上記の加速度の急激 な変化に起因するレチクルステージ R S Tの高周波振動を抑制することができる ためである。 この場合、 その加速能力が制約条件となっている方のステージであ るレチクルステージ R S Tの目標位置、 従って目標走査速度への速やかな収束を 実現できるので、結果的にレチクル R (レチクルステージ R S T)とウェハ W (ゥ ェハステージ WS T) との同期整定時間 T sを短縮することができることは明ら かである (図 27 (A)、 (B)参照)。 また、 上記第 1の加速度制御方法を採用すると、 加速時間 T aそのものは、 ― 定加速度による加速の場合に比べて長くなる傾向があるが、 同期整定時間 Tsの 短縮は加速時間の増加を補っても余りあり、 図 27 (A)、 (B) を比較すると明 らかなように、 プリスキャン時間 (Ta + T s) は、 上記第 1の加速度制御方法 を採用した場合の方が At 1だけ短くなつている。 前述の如く、 同期整定時間 T sと等速才一バースキャン時間を Twは同一時間に設定されるため、 等速才一 バースキャン時間 Twも図 27 (A) の方が短くなり、 制御が非常に容易な加速 側と減速側の速度変化を左右対称に設定した図 27 (A) のような場合には、 1 ショッ卜の露光のための、 レチクルステージ R S Tの加速開始から減速終了まで の総ト一タル時間を 2 At 1だけ短縮することができ、 その分スループッ 卜の 向上が可能である。 上記第 1の加速度制御方法では、 制御方法が非常に簡単であるため、 加速側と 減速側の速度変化を左右対称に設定する場合について説明したが、 減速終了時に はレチクルとゥェハの同期制御のための整定時間はないため、 減速時には加速度 を急激に変化させても何らの支障はない。 そこで、 第 2の加速度制御方法では、 この点に着目して、 図 27 (C) に示さ れるように、 減速時のみ、 レチクルステージ R S Tを最高加速度に対応する一定 の加速度(負の加速度)で減速することとしたものである。この場合、図 27 (A) の第 1の加速度制御方法の場合と比べると等速才一バースキャン時間 T wは長く なるが、 減速時間 T dがはるかに短〈なるため、 トータルの才一バ一スキャン時 間 (Tw+T d ) は、 第 1の加速度制御方法に比べても時間 Δ t 2だけ短くな つていることが判る (図 27 (A), (C)参照)。従って、 ショット S 1、 S 2、 S 3に対する走査露光に際しては、 レチクル Rの加速開始から減速終了までの総 卜一タル時間をより短縮することができる。 ここで、図 27 (A) と図 27 (C) との斜線部の面積が等しくなるようにすれば、 レチクルステージ R S Tを次ショ ッ卜の走査開始位置に正しく停止させることができる。 上述した第 1 、 第 2の加速度制御方法は、 ウェハステージ側にも同様に適用す ることができ、 レチクルステージとウェハステージの両者に上記第 1、 第 2の加 速度制御方法を適用することが、 スループッ ト向上の点では最も好ましい。 また、 図 1 1 ( A ) に示されるショッ卜 S 1に対する走査露光と、 ショッ卜 S 2に対する走査露光との間で、 同図に示されるような U字状 (又は V字状) の移 動軌跡に沿ってウェハステージ W S Tを移動させる際の走査方向 (Y方向) の加 速度制御に、 上記第 1、 第 2の加速度制御方法を採用しても良い。例えば、 第 1 の加速度制御方法を採用した場合には、 結果的に最短距離に近い経路でウェハ W が移動されるので、 上記の整定時間の短縮とあいまってスループッ 卜の更なる向 上が可能である。 また、 第 2の加速度制御方法を採用した場合には、 更に減速時 間の短縮も可能なのでスループッ卜のより一層の向上が可能である。 上記第 1、 第 2の加速度制御は、 各ショッ 卜の走査露光の都度、 干渉計計測値 等に基づいて所定の演算により加速度の制御量を求めて行うことも可能であるが、 所定の加速度制御マップを予め用意し、 この加速度制御マップを用いて時間を基 準として実行しても良い。 また、 上述した第 1、 第 2の加速度制御方法は、 前述した第 2の実施形態の露 光装置 1 1 0にも同様に適用することができ、 同様にスループッ 卜向上の効果が 得られることは言うまでもない。 なお、 上記第 1 、 第 2の実施形態では本発明が半導体製造用の露光装置に適 用された場合について説明したが、 これに限らず、 本発明は例えば、 角型のガラ スプレー卜に液晶表示素子パターンを露光する液晶用の露光装置や、 薄膜磁気へ ッドをセラミックスウェハに転写する露光装置に、 撮像素子 (C C Dなど) を製 造するための露光装置に適用可能であり、 レチクルまたはマスクを製造するため に、 遠紫外 (D U V ) 光、 真空紫外 (V U V ) 光などを露光光として用いる場合 は石英ガラス、フッ素がドープされた石英ガラス、または蛍石に適用可能であり、 そして、 軟 X線領域の E U V (Ext reme Ult ra Vio l e t ) 光、 硬 X線、 電子線など
! 6 5 を露光光として用いる場合には、 シリコンウェハなどに回路パターンを転写する 露光装置にも広く適用することができる。 また、 上記各実施形態の露光装置の露光用照明光は、 K r Fエキシマレーザ 光 (248 nm)、 A r Fエキシマレ一ザ光 ( 1 93 nm) に限らず、 F2 レ一 ザ光 (1 57 nm) は勿論、 g線 (436 nm)、 i線 (365 nm)、 X線ゃ電 子線などの荷電粒子線を用いることができる。例えば、 電子線を用いる場合には 電子銃として、 熱電子放射型のランタンへキサボライ 卜 (LaB6 ) タンタル (T a) を用いることができる。 また、 レチクルとウェハとをほぼ静止させた状態で、 投影光学系を介してレチ クルのパターンをウェハに転写するステップ ·アンド · リピ一卜方式の投影露光 装置 (ステッパー)、 ミラ一プロジェクシヨン 'ァライナー、 プロキシミティ方 式の露光装置 (例えば、 X線が照射される円弧状照明領域に対してマスクとゥェ ハを一体に相対移動する走査型の X線露光装置) などにも本発明を適用すること ができる。また、フォトリソグラフイエ程で使用される露光装置以外の各種装置、 例えば、 レーザリペア装置、 検査装置などにも適用することができる。 また、 投影光学系は縮小系のみならず等倍系を用いても良い。但し、 投影光学 系の硝材は、 照明光によって使い分ける必要があり、 エキシマレーザなどの遠紫 外線を用いる場合は硝材として石英や蛍石などの遠紫外線を透過する材料を用い、 F2 レーザや X線を用いる場合は反射屈折系または反射系の光学系にし (レチク ルも反射型タイプのものを用いる)、 また、 電子線を用いる場合には光学系とし て電子レンズおよび偏向器からなる電子光学系を用いればいい。 なお、 電子線が 通過する光路は真空状態にすることは言うまでもない。 また、 複数のレンズから構成される照明光学系、 投影光学系を露光装置本体に 組み込み光学調整をするとともに、 多数の機械部品からなるレチクルステージや ウェハステージを露光装置本体に取り付けて配線や配管を接続し、 更に総合調整
(電気調整、 動作確認等) をすることにより上記各実施形態の露光装置を製造す ることができる。 なお、 露光装置の製造は温度およびクリーン度等が管理された クリーンルームで行うことが望ましい。 また、 半導体デバイスは、 デバイスの機能 '性能設計を行うステップ、 この設 計ステップに基づいたレチクルを製作するステップ、 シリコン材料からウェハを 製作するステップ、 前述した実施形態の露光装置によりレチクルのパターンをゥ ェハに転写するステップ、 デバイス組み立てステップ (ダイシング工程、 ボンデ イング工程、 パッケージ工程を含む)、 検査ステップ等を経て製造される。 産業上の利用可能性
以上説明したように、本発明に係る走査型露光装置及び走査露光方法によれば、 基板上の 1つの区画領域の露光終了から別の区画領域の露光開始までの基板 (基 板ステージ) の移動方法の種々の工夫により、 スループッ 卜の向上を図ることが できる。 また、 本発明に係るステージ装置によれば、 制御負担を軽減できるとと もに、 基板を保持する各第 1可動体の位置制御性をともに高〈維持することがで きる。 また、 ステージ装置そのものを小型軽量化することができるために、 走査 露光装置の基板ステージに好適であり、 特に、 9インチ以上の大型ウェハを露光 する走査露光装置に極めて有用である。

Claims

請求の範囲
1 . マスクと基板とを同期移動して、 前記マスクのパターンを投影光学系を介 して前記基板に転写する走査型露光装置であって、
前記投影光学系の物体面側に配置されるマスクステージと;
前記投影光学系の像面側に配置される基板ステージと;
前記マスクステージに設けられ、 前記マスクが同期移動される第 1方向と直交 する第 2方向に沿って配置される複数のコーナ一キューブと;
前記第 1方向に沿って測長ビームを前記マスクステージに向けて照射するとと もに、 前記マスクステージの前記第 2方向の 置に応じて選択される前記複数の コーナーキューブの 1つで反射される測長ビ—厶を受光する第 1の干渉計とを備 える走査型露光装置。
2 . 前記マスクのパターンを前記基板に転写するために、 前記マスクステージ を前記第 1方向に沿って少なくとも 1回往復させるとともに、 前記往復移動の間 に前記マスクステ—ジを前記第 2方向に沿つて移動させる駆動制御系とを更に備 え、
前記複数のコーナーキューブの内の 2つは、 前記マスクステ一ジの前記第 2方 向の移動量に応じた距離だけ離れて配置されることを特徴とする請求項 1に記載 の走査型露光装置。
3 . 前記基板ステージ上に所定の基準マークを配置し、
前記マスクステージの第 2方向の位置に応じて前記第 1の干渉計をリセッ卜す るために、 前記基準マ一クを用いて前記マスクと前記基板ステージとの位置関係 を計測する計測装置を更に備えることを特徴とする請求項 1に記載の走査型露光
4 . 前記投影光学系を支持する第 1架台と ;
前記マスクステージが配置される第 2架台と;
前記第 1架台を支持する防振装置と;
前記防振装置が配置される床上に設けられるとともに、 前記マスクステージの 移動によって生じる反力に応じた力を前記マスクステージ又は前記第 2架台に与 えるァクチユエ一タを有するフレームとを更に備えたことを特徴とする請求項 1 〜 3のいずれか一項に記載の走査型露光装置。
5 . 前記第 1の干渉計の測長ビームは、 その延長線が前記投影光学系の光軸と 交わることを特徴とする請求項 1〜 3のいずれか一項に記載の走査型露光装置。
6 . マスクと基板とを同期して所定の第 1方向に相対移動しつつ、 前記マスク に形成されたパターンを投影光学系を介して前記基板上に転写する走査型露光装 置であって、
前記マスクを保持して 2次元移動可能なマスクステージと;
前記基板を保持して前記第 1方向に移動可能な基板ステージと;
前記マスクステージに設けられ、 前記第 1方向に延びる第 1反射面と; 前記マスクステージに設けられ、 前記第 1方向に直交する第 2方向に所定間隔 で配置された複数のコーナーキューブと;
前記マスクステ—ジの第 2方向の位置に応じ、 前記複数のコーナ一キューブの
1つに前記第 1方向の測長ビームを照射し、 その反射光を受光することにより前 記マスクステージの前記第 1方向の位置を計測する第 1の干渉計と;
前記第 1反射面に前記第 2方向の測長ビームを照射し、 その反射光を受光する ことにより前記マスクステージの前記第 2方向の位置を計測する第 2の干渉計と を備える走査型露光装置。
7 . 前記複数のコーナーキューブは、 前記マスク上に第 2方向に沿って配置さ れた複数領域のそれぞれに対応して設けられていることを特徴とする請求項 6に 記載の走査型露光装置。
8 . 前記複数のコーナーキューブは、 前記マスクの第 2方向の中央部に配置さ れたコーナ一キューブを更に含むことを特徴とする請求項 7に記載の走査型露光
9 . 前記マスクステージの第 2方向の位置情報に応じて前記第 1の干渉計をリ セッ 卜するリセッ卜装置を更に備える請求項 6に記載の走査型露光装置。
1 0 . 前記第 2の干渉計の反対側から前記マスクステージに前記第 2方向の測 長ビームを照射する第 3の干渉計が更に設けられ、
前記マスクステ一ジが前記第 3の干渉計からの測長ビ一厶が照射される前言己第 1反射面と平行な第 2反射面を更に有し、
前記マスクステージの第 2方向の位置を、 前記第 2及び第 3の干渉計の計測値 の少なくとも一方に基づいて演算する演算装置を更に備えることを特徴とする請 求項 6〜 9のいずれか一項に記載の走査型露光装置。
1 1 . 前記演算装置は、 前記マスクステージの第 2方向の位置情報の一種であ る前記投影光学系の投影視野に対向して位置する前記マスク上の領域の情報に応 じて、 前記第 2及び第 3の干渉計の計測値の一方又は両方に基づいて前記マスク ステージの第 2方向の位置を求めることを特徴とする請求項 1 0に記載の走査型
1 2 . 前記基板ステージ上に所定の基準マークを配置し、
前記マスクステージの第 2方向の位置に応じて前記第 1の干渉計をリセッ卜す るために、 前記基準マークを用いて前記マスクと前記基板ステージとの位置関係 を計測する計測装置を更に備えることを特徴とする請求項 6〜 9のいずれか一項 に記載の走査型露光装置。
1 3 . 前記基板ステージ上に所定の基準マークを配置し、
前記マスクステージの第 2方向の位置に応じて前記第 1の干渉計をリセッ卜す るために、 前記基準マークを用いて前記マスクと前記基板ステージとの位置関係 を計測する計測装置を更に備えることを特徴とする請求項 1 0に記載の走査型露 光装置。
1 4 . 前記投影光学系を支持する第 1架台と;
前記マスクステージが配置される第 2架台と;
前記第 1架台を支持する防振装置と;
前記防振装置が配置される床上に設けられるとともに、 前記マスクステージの 移動によって生じる反力に応じた力を前記マスクステージ又は前記第 2架台に与 えるァクチユエ一タを有するフレームとを更に備えたことを特徴とする請求項 6 〜 9のいずれか一項に記載の走査型露光装置。
1 5 . 前記投影光学系を支持する第 1架台と;
前記マスクステージが配置される第 2架台と;
前記第 1架台を支持する防振装置と;
前記防振装置が配置される床上に設けられるとともに、 前記マスクステージの 移動によって生じる反力に応じた力を前記マスクステージ又は前記第 2架台に与 えるァクチユエ一夕を有するフレームとを更に備えたことを特徴とする請求項 1 0に記載の走査型露光装置。
1 6 . 前記第 1の干渉計の測長ビームは、 その延長線が前記投影光学系の光軸 と交わることを特徴とする請求項 6に記載の走査型露光装置。
1 7 . 前記第 1の干渉計の測長ビームは、 その延長線が前記投影光学系の光軸 と交わることを特徴とする請求項 1 0に記載の走査型露光装置。
1 8 . 前記第 1の干渉計の測長ビームは、 その延長線が前記投影光学系の光軸 と交わることを特徴とする請求項 1 2に記載の走査型露光装置。
1 9 . 前記第 2の干渉計は、 前記第 2方向に沿って 2本の測長ビ―厶を前記第 1反射面に照射し、 前記 2本の測長ビームの 1つはその延長線が前記投影光学系 の光軸と交わることを特徴とする請求項 1 6に記載の走査型露光装置。
2 0 . 前記第 1反射面は、 前記マスクステージの側面に形成されていることを 特徴とする請求項 6に記載の走査型露光装置。
2 1 . 前記第 1反射面は、 前記マスクステージの側面に形成されていることを 特徴とする請求項 1 0に記載の走査型露光装置。
2 2 . 前記第 1反射面は、 前記マスクステージの側面に形成されていることを 特徴とする請求項 1 2に記載の走査型露光装置。
2 3 . マスクを保持するマスクステージと基板を保持する基板ステージとを同 期して所定の第 1方向に相対移動させつつ、 前記マスクに形成されたパターンを 前記基板に転写する走査露光方法であつて、
前記マスクステージに前記第 1方向に沿って延設された第 1反射面に測長ビー ムを照射しその反射光を受光して前記マスクステージの第 2方向の位置を管理す るとともに、 前記マスクステ一ジに設けられた第 1のコーナ一キューブに測長ビ —ムを照射しその反射光を受光して前記マスクステージの第 1方向の位置を管理 しつつ、 前記マスク上の第 1領域のパターンを前記基板上の所定領域に転写する 第 1走査露光工程と;
前記第 1反射面に測長ビームを照射しその反射光を受光して前記マスクステ一 ジの第 2方向の位置を管理するとともに、 前記マスクステージに設けられた前記 第 1のコーナーキューブとは異なる第 2のコーナ一キューブに測長ビ―厶を照射 しその反射光を受光して前記マスクステージの第 1方向の位置を管理しつつ、 前 記マスク上の前記第 1領域の第 2方向に隣接された第 2領域のバタ一ンを前記基 板上の前記所定領域に転写する第 2の走査露光工程とを含む走査露光方法。
2 4 . 請求項 2 3に記載の方法において、 第 1の領域のパターンと第 2の領域 のパターンを、 基板上に重ね合わせて露光することにより所定の回路パターンを 形成することを特徴とする走査露光方法。
2 5 . 請求項 2 3に記載の方法において、 第 1のコーナ一キューブが第 1の領 域のパターンに対応するマスクステージ位置に設けられ、 第 2のコーナ一キュー ブが第 2の領域のノ \°ターンに対応するマスクステ一ジ位置に設けられていること を特徴とする走査露光方法。
2 6 . マスクと基板とを同期移動して、 前記マスクのパターンを投影光学系を 介して前記基板に転写する走査型露光装置を製造する方法であって、
投影光学系を設け; 前記投影光学系の物体面側に配置されるマスクステージを設け; 前記投影光学系の像面側に配置される基板ステージを設け;
前記マスクステージに、 前記マスクが同期移動される第 1方向と直交する第 2方 向に沿って配置される複数のコーナ一キューブを設け;
前記第 1方向に沿って測長ビームを前記マスクステージに向けて照射するとと もに、 前記マスクステージの前記第 2方向の位置に応じて選択される前記複数の コーナ一キューブの 1つで反射される測長ビ一厶を受光する第 1の干渉計を設け ることを含む製造方法。
2 7 . 請求項 2 6において、 前記マスクのパターンを前記基板に転写するため に、 前記マスクステージを前記第 1方向に沿って少なくとも 1回往復させるとと もに、 前記往復移動の間に前記マスクステージを前記第 2方向に沿って移動させ る駆動制御系とを更に設け、 前記複数のコーナ一キューブの内の 2つは、 前記マ スクステージの前記第 2方向の移動量に応じた距離だけ離れて配置することを特 徴とする製造方法。
2 8 . マスクと基板とを同期して第 1方向に相対移動しつつ、 前記マスクに形 成されたパターンを投影光学系を介して前記基板上に転写する走査型露光装置を 製造する方法であって、
投影光学系を設け;
前記マスクを保持して 2次元移動可能なマスクステージを設け;
前記基板を保持して前記第 1方向に移動可能な基板ステージを設け; 前記マスクステージに、 前記第 1方向に延びる第 1反射面を設け; 前記マスクステージに、 前記第 1方向に直交する第 2方向に所定間隔で配置さ れた複数のコーナ一キューブを設け;
前記マスクステ—ジの第 2方向の位置に応じ、 前記複数のコーナ一キューブの
) 74 1つに前記第 1方向の測長ビ一厶を照射し、 その反射光を受光することにより前 記マスクステージの前記第 1方向の位置を計測する第 1の干渉計を設け; 前記第 1反射面に前記第 2方向の測長ビームを照射し、 その反射光を受光する ことにより前記マスクステージの前記第 2方向の位置を計測する第 2の干渉計を 設けることを含む製造方法。
2 9 . 請求項 2 8に記載の方法において、 前記複数のコーナ一キューブは、 前 記マスク上に第 2方向に沿って配置された複数領域のそれぞれに対応して設ける ことを特徴とする方法。
3 0 . 請求項 2 8に記載の方法において、 さらに、 前記第 2の干渉計の反対側か ら前記マスクステージに前記第 2方向の測長ビームを照射する第 3の干渉計を設 け;
前記マスクステージに前記第 3の干渉計からの測長ビ一厶が照射される前記第 1反射面と平行な第 2反射面を設け;
前記マスクステージの第 2方向の位置を、 前記第 2及び第 3の干渉計の計測値 の少な〈とも一方に基づいて演算する演算装置を設けることを含むことを特徴と する方法。
3 1 . マスクを第 1方向に往復移動して、 前記マスク上の前記第 1方向と直交 する第 2方向に沿って並ぶ第 1及び第 2領域をそれぞれ照明光で照射するととも に、 前記マスクの移動に同期して基板を移動することにより、 前記マスクのバタ —ンを前記基板上に転写する走査露光方法において、
前記マスク上の第 1領域を前記照明光で照射するために、 前記マスクを保持す るマスクステージに設けられる第 1 ミラーに測長ビ一厶を照射する干渉計の出力 に基づいて前記マスクステージを前記第 1方向に駆動し、 前記マスク上の第 2領域を前記照明光で照射するために、 前記マスクステージ に設けられる第 1 ミラ一と異なる第 2ミラ一に前記干渉計の測長ビームを照射し ながら前言己マスクステージを前記第 1方向に駆動することを特徴とする走査露光 方法。
3 2 . 前記マスク上の第 1領域が転写される前記基板上の区画領域に、 前記マ スク上の第 2領域を重ねて合わせて転写して、 前記第 1領域内の第 1パターンと 前記第 2領域内の第 2バタ一ンとの合成バタ一ンを前記区画領域に形成すること を特徴とする請求項 3 1に記載の走査露光方法。
3 3 . 前記基板上の複数の区画領域に前記マスクのパターンを順次転写するた めに、 前記マスク上の第 1領域を前記複数の区画領域に順次転写し、 かつ前記マ スクを前記第 2方向に移動した後で、 前記マスク上の第 2領域を前記複数の区画 領域に順次転写することを特徴とする請求項 3 1又は 3 2に記載の走査露光方法。
3 4 . 前記マスク上の第 2領域を前記複数の区画領域に転写する前に、 前記照 明光の強度分布を変更することを特徴とする請求項 3 3に記載の走査露光方法。
3 5 . 前記マスク上の第 1領域を前記照明光で照射した後の前記マスクステ一 ジの減速中に、 前記マスクステージを前記第 1方向に対して斜めに移動すること を特徴とする請求項 3 1に記載の走査露光方法。
3 6 . 前記マスク上の第 2領域を前記照明光で照射する前に、 前記マスク上の 第 2領域が前記照明光に近づくように、 前記マスクステージを前記第 1及び第 2 方向と交差する方向に加速させることを特徴とする請求項 3 1又は 3 5に記載の 走査露光方法。
3 7 . 前記マスク上の第 1領域への前記照明光の照射と、 前記マスク上の第 2 領域への前記照明光の照射との間で、 前記マスクステージを停止させることなく 駆動することを特徴とする請求項 3 1 または 3 5に記載の走査露光方法。
3 8 . 前記マスク上の第 1領域への前記照明光の照射と、 前記マスク上の第 2 領域への前記照明光の照射との間で、 前記マスクステージを停止させることなく 駆動することを特徴とする請求項 3 6に記載の走査露光方法。
3 9 . 前記マスク上の第 1領域を前記基板上の第 1区画領域に転写する第 1走 査露光と、 前記マスク上の第 2領域を前記基板上の前記第 1区画領域と隣接する 第 2区画領域に転写する第 2走査露光との間で、 前記基板を保持する基板ステ一 ジを停止させることなく駆動することを特徴とする請求項 3 1 または 3 5に記載 の走査露光方法。
4 0 . 前記マスク上の第 1領域を前記基板上の第 1区画領域に転写する第 1走 査露光と、 前記マスク上の第 2領域を前記基板上の前記第 1区画領域と隣接する 第 2区画領域に転写する第 2走査露光との間で、 前記基板を保持する基板ステー ジを停止させることなく駆動することを特徴とする請求項 3 6に記載の走査露光 方法。
4 1 . 前記第 2走査露光前に、 前記基板ステージを前記第 1及び第 2方向と交 差する方向に加速させることを特徴とする請求項 4 0に記載の走査露光方法。
4 2 . 前記マスクは、 前記第 2方向に沿って配列される第 1及び第 2マスクを 含み、 前記第 1マスクは前記第 1領域内の第 1パターンが形成され、 前記第 2マ スクは前記第 2領域内の第 2バタ一ンが形成されることを特徴とする請求項 3 1 に記載の走査露光方法。
4 3 . 前記マスクは、 前記第 2方向に沿って配列される第 1及び第 2マスクを 含み、 前記第 1マスクは前記第 1領域内の第 1パターンが形成され、 前記第 2マ スクは前記第 2領域内の第 2バタ一ンが形成されることを特徴とする請求項 3 5 に記載の走査露光方法。
4 4 . 前記マスクは、 前記第 2方向に沿って配列される第 1及び第 2マスクを 含み、 前記第 1マスクは前記第 1領域内の第 1パターンが形成され、 前記第 2マ スクは前記第 2領域内の第 2パターンが形成されることを特徴とする請求項 4 0 に記載の走査露光方法。
4 5 . 第 1及び第 2ミラーが、 コーナ一キューブであることを特徴とする請求項 3 1に記載の走査露光方法。
4 6 . マスクを第 1方向に往復移動して、 前記マスク上の前記第 1方向と直交 する第 2方向に沿って並ぶ第 1及び第 2領域をそれぞれ照明光で照射するととも に、 前記マスクの移動に同期して基板を移動することにより、 前記マスクのバタ —ンを前記基板上に転写する走査露光方法において、
前記マスク上の第 1領域への前記照明光の照射と、 前記マスク上の第 2領域へ の前記照明光の照射との間で、 前記マスクを停止させることなく移動することを 特徴とする走査露光方法。
4 7 . 基板上に回路パターンを転写する走査露光方法であって、
前記回路ノ タ―ンの第 1及び第 2分解バターンを有するマスクを第 1方向に移 動して、 前記第 1分解パターンを照明光で照射するとともに、 前記マスクの移動 に同期して前記基板を移動し、 前記基板上の区画領域に前記第 1分解パターンを 転写する第 1工程と;
前記第 1方向に沿って前記マスクを前記第 1工程とは逆向きに移動して、 前記 第 2分解バタ一ンを前記照明光で照射するとともに、 前記マスクの移動に同期し て前記基板を移動し、 前記区画領域に前記第 2分解バタ一ンを転写する第 2工程 とを含む走査露光方法。
4 8 . 前記第 1工程と前記第 2工程の間で、 前記マスクは、 前記第 1方向、 及 びそれと直交する第 2方向の速度成分の少なくとも一方が零とならないように移 動されることを特徴とする請求項 4 7に記載の走査露光方法。
4 9 · 基板を保持して 2次元平面内を移動する第 1可動体と;
前記第 1可動体に設けられ、 前記 2次元平面内で所定の第 1軸及びこれに直交 する第 2軸と交差する方向に沿って延びる第 1反射面と;
前記第 1反射面に垂直に測長ビームを照射してその反射光を受光することによ り前記第 1可動体の第 3軸方向の位置を計測する第 1の干渉計と;
前記第 1の干渉計の計測値に基づいて前記第 1可動体の前記第 1軸及び第 2軸 で規定される直交座標系上の位置座標を演算する演算装置とを備えるステージ装
5 0 . 前記第 1可動体に設けられ、 前記第 2軸方向に延びる第 2反射面と; 前記第 2反射面に垂直に測長ビームを照射してその反射光を受光することによ り前記第 1可動体の前記第 1軸方向の位置を計測する第 2の干渉計とを更に備え、 前記演算装置が、 前記第 1の干渉計の計測値に基づいて前記第 1可動体の前記 第 2軸方向の位置座標を演算することを特徴とする請求項 4 9に記載のステージ
5 1 . 前記演算装置が、 前記第 1の干渉計の計測値と前記第 2の干渉計の計 測値との両者に基づいて前記第 1可動体の前記第 1軸方向の位置及び前記第 2軸 方向の位置の少なくとも一方を演算することを特徴とする請求項 4 9に記載のス テ―ジ装 0
5 2 . 前言己第 1可動体に設けられ、 前記 2次元平面内で前記第 1軸及びこれ に直交する第 2軸と交差し、 かつ前記第 1反射面とは異なる方向に延びる第 3反 射面と;
前記第 3反射面に垂直に測長ビームを照射してその反射光を受光することによ り前記第 1可動体の第 4軸方向の位置を計測する第 3の干渉計とを更に備え、 前記演算装置が、 前記第 1及び第 3の干渉計の計測値に基づいて前記第 1可動 体の前記第 1軸及び第 2軸で規定されるステ一ジ座標系上の前記第 2軸方向の位 置を演算することを特徴とする請求項 4 9に記載のステ一ジ装置。
5 3 . 前記第 1の干渉計は、 前記第 1反射面に、 2つの測長軸を有する測長 ビ一厶を、 前記 2次元平面と直交する方向に離れるように照射し、 それぞれの反 射光を受光することにより各測長軸毎に前記第 1可動体の第 3軸方向の位置を計 測し、
前記演算装置は、 前記第 1の干渉計の前記計測値に基づいて前記第 1可動体の 前記 2次元平面に対する傾斜をも算出することを特徴とする請求項 4 9〜5 2の し、ずれか一項に記載のステ一ジ装置。
5 4 . 前記第 1の干渉計は、 前記 2次元平面と平行な方向に離れた 2つの測長 軸の測長ビームを前記第 1反射面に照射し、 それぞれの反射光を受光することに より各測長軸毎に前記前記第 1可動体の第 3軸方向の位置を計測し、
前記演算装置は、前記第 1の干渉計の前記計測値に基づいて前記第 1可動体の 前記 2次元平面内での回転をも算出することを特徴とする請求項 4 9〜5 2のい ずれか一項に記載のステージ装置。
5 5 . 前記第 1の干渉計は、 前記 2次元平面と平行な方向に離れた 2つの測長 軸の測長ビ一厶を前記第 1反射面に照射し、 それぞれの反射光を受光することに より各測長軸毎に前記前記第 1可動体の第 3軸方向の位置を計測し、
前記演算装置は、前記第 1の干渉計の前記計測値に基づいて前記第 1可動体の 前記 2次元平面内での回転をも算出することを特徴とする請求項 5 3に記載のス テ一ジ装置。
5 6 . 前記第 2の干渉計は、前記第 2の干渉計は、 3つの測長軸の測長ビーム を、前記第 2反射面に、前記第 2反射面への入射点が第 2反射面上で同一直線上 に配列しないように照射し、 それぞれの反射光を受光することにより各測長軸毎 に前記第 1可動体の前記第 1軸方向の位置を計測し、
前記演算装置は、前記第 2の干渉計の前記計測値に基づいて前記第 1可動体の 前記 2次元平面内での回転及び前記第 1可動体の前記 2次元平面に対する傾斜を も算出することを特徴とする請求項 5 0〜5 2のいずれか一項に記載のステージ
5 7 . 前記第 1反射面は、前記第 1可動体の端面に形成されていることを特徴 とする請求項 4 9に記載のステージ装置。
5 8 . 前記第 1反射面は、 前記第 1可動体の端面に形成されていることを特徴 とする請求項 5 3に記載のステージ装置。
5 9 . 前記第 1反射面は、 前記第 1可動体の端面に形成されていることを特徴 とする請求項 5 6に記載のステージ装置。
6 0 . 前記第 1可動体はほぼ三角形状であり、 前記第 2反射面は、 前記第 1 可動体の端面に形成されていることを特徴とする請求項 5 0〜5 4のいずれか一 項に記載のステージ装置。
6 1 . 前記第 1可動体はほぼ三角形状であり、 前記第 2反射面は、 前記第 1 可動体の端面に形成されていることを特徴とする請求項 5 6に記載のステージ装
6 2 . 前記略三角形状の第 1可動体の 3つのコーナ一のうちの少なくともコ一 ナ一付近に、 前記第 1、 第 2、 及び第 3の干渉計の少な〈とも 1つの出力に応じ て前記 2次元平面に垂直な方向に駆動する駆動装置を更に備えることを特徴とす る請求項 6 0に記載のステージ装置。
6 3 . 前記略三角形状の第 1可動体の 3つのコーナ一のうちの少なくともコー ナ一付近に、 前記第 1、 第 2、 及び第 3の干渉計の少なくとも 1つの出力に応じ て前記 2次元平面に垂直な方向に駆動する駆動装置を更に備えることを特徴とす る請求項 6 1に記載のステージ装置。
6 4 . 前記各干渉計が、 3つの測長軸を有する測長ビームを、 対応する反射面 上に、 それらの入射点が反射面上で同一直線状に配列しないようにそれぞれ照射 し、 それそれの反射光を受光して各測長軸毎に、 前記第 1可動体の各測長軸の方 向の位置を計測し、 前記演算装置が前記第 1、 第 2、 及び第 3の干渉計の内の一つ、 又は任意の 2 つ又は 3つの干渉計の各測長軸の計測値を用いて前記第 1可動体の前記 2次元平 面内の回転及び前記 2次元平面に対する傾斜を演算することを特徴とする請求項 5 2に記載のステージ装置。
6 5 . 前記第 1可動体が、 前記 2次元平面内で移動する第 2プレー卜と、 この 第 2プレー卜上に搭載されたレべリング駆動機構と、 このレべリング駆動機構に より支持され前記基板を保持する第 1プレー卜とを有し、
前記第 1プレー卜に前記第 1、 第 2及び第 3反射面が設けられ、
前記レベリング駆動機構が、 前記第 1プレー卜を前記第 1、 第 2、 及び第 3の 干渉計の測長軸のそれぞれのほぼ延長線上の異なる 3点で支持するとともに各支 持点で前記 2次元平面に垂直な方向に独立して駆動可能な 3つのァクチユエ一夕 を含み、
前記演算装置が、 前記第 1、 第 2、 及び第 3の干渉計の計測値を用いて前記第 1反射面、 第 2反射面、 第 3反射面の前記 2次元平面に対する傾斜をそれぞれ演 算し、
前記演算装置の演算結果に応じて前記 3つのァクチユエ一夕を制御するァクチ ユエ一夕制御装置を更に備えることを特徴とする請求項 6 4に記載のステージ装
6 6 · 定盤と ;
前記第 1可動体がその上部に配置されるとともに、 前記定盤上に配置され、 か つ前記定盤、 及び前記第 1可動体の夫々に対して相対移動が可能な第 2可動体と を更に備え、
前記第 1可動体の移動によって生じる反力に応じて前記第 2可動体が移動する ように構成されていることを特徴とする請求項 4 9〜5 2のいずれか一項に記載 のステージ装置。
6 7 . 定盤と;
前記第 1可動体がその上部に配置されるとともに、 前記定盤上に配置され、 か つ前記定盤、 及び前記第 1可動体の夫々に対して相対移動が可能な第 2可動体と を更に備え、
前記第 1可動体の移動によって生じる反力に応じて前記第 2可動体が移動する ように構成されていることを特徴とする請求項 5 3に記載のステ一ジ装置。
6 8 . 定盤と ;
前記第 1可動体がその上部に配置されるとともに、 前記定盤上に配置され、 か つ前記定盤、 及び前記第 1可動体の夫々に対して相対移動が可能な第 2可動体と を更に備え、
前記第 1可動体の移動によって生じる反力に応じて前記第 2可動体が移動する ように構成されていることを特徴とする請求項 5 7〜5 9及び 6 1〜6 5のいず れか一項に記載のステージ装置。
6 9 . 前記定盤上に設けられた前記第 2可動体を所定の応答周波数で駆動可 能な駆動系と;
前記駆動系を介して数 H z以下の応答周波数で前記第 2可動体を位置制御する 制御装置とを更に備えることを特徴とする請求項 6 6に記載のステージ装置。
7 0 . 前記定盤上に設けられた前記第 2可動体を所定の応答周波数で駆動可 能な駆動系と;
前記駆動系を介して数 H z以下の応答周波数で前記第 2可動体を位置制御する 制御装置とを更に備えることを特徴とする請求項 6 7に記載のステージ装置。
7 1 . 前記定盤上に設けられた前記第 2可動体を所定の応答周波数で駆動可 能な駆動系と;
前記駆動系を介して数 H z以下の応答周波数で前記第 2可動体を位置制御する 制御装置とを更に備えることを特徴とする請求項 6 8に記載のステージ装置。
7 2 . マスクに形成されたパターンを基板上に転写して基板を露光する走査型 露光装置において、
基板を保持して 2次元平面内を移動する基板ステージと、 前記基板ステージに 設けられ、 前記 2次元平面内で所定の第 1軸及びこれに直交する第 2軸と交差す る方向に沿って延びる第 1反射面と、 前記第 1反射面に垂直に測長ビ―厶を照射 してその反射光を受光することにより前記基板ステージの第 3軸方向の位置を計 測する第 1の干渉計と、 前記第 1の干渉計の計測値に基づいて前記基板ステージ の前記第 1軸及び第 2軸で規定される直交座標系上の位置座標を演算する演算装 置とを有するステージ装置と;
マスクを保持するマスクステージと ;
前記マスクステージと基板ステージとを同期して前記第 1軸方向に沿つて相対 移動させるステージ制御系とを備え、
前記ステージ制御系による前記マスクステージと前記基板ステージとの相対移 動時に前記マスクに形成されたパターンを前記基板ステージ上の基板に転写する ことを特徴とする走査型露光装置。
7 3 . 前記基板ステージに設けられ、 前記第 2軸方向に延びる第 2反射面と; 前記第 2反射面に垂直に測長ビームを照射してその反射光を受光することによ り前記基板ステージの前記第 1軸方向の位置を計測する第 2の干渉計とを更に備 又、 前記演算装置が、 前記第 1の干渉計の計測値に基づいて前記基板ステージの前 記第 2軸方向の位置座標を演算することを特徴とする請求項 7 2に記載の走査型
7 4 . 前記基板ステージに設けられ、 前記 2次元平面内で前記第 1軸及びこ れに直交する第 2軸と交差し、 かつ前記第 1反射面とは異なる方向に延びる第 3 反射面と;
前記第 3反射面に垂直に測長ビームを照射してその反射光を受光することによ り前記基板ステージの第 4軸方向の位置を計測する第 3の干渉計とを更に備え、 前記演算装置が、 前記第 1及び第 3の干渉計の計測値に基づいて前記基板ステ -ジの前記第 1軸及び第 2軸で規定されるステ一ジ座標系上の前記第 2軸方向の 位置を演算することを特徴とする請求項 7 3に記載の走査型露光装置。
7 5 . 前記第 1及び第 3の干渉計は、 それぞれ 2軸の干渉計であり、 前記マスク及び前記基板の夫々と直交する光軸を有する投影光学系と、 前記投影光学系とは別個に設けられたァライメン卜光学系とを更に備え、 前記第 1及び第 3の干渉計のそれぞれの 1測長軸の延長した交点は前記投影光 学系中心とほぼ一致し、 それぞれ残りの測長軸の延長した交点は前記ァライメン 卜光学系中心とほぼ一致するように前記第 1及び第 3の干渉計の各測長軸が設定 されていることを特徴とする請求項 7 4に記載の走査型露光装置。
7 6 . 前記基板の周辺領域の走査露光時に前記第 1、 第 2、 及び第 3の干渉 計の各測長軸が、 前記第 1、 第 2、 及び第 3反射面の内の対応する反射面からい ずれも外れることがないように、 露光の際の前記基板ステージの加速度、 最高速 度及び整定時間が決定されていることを特徴とする請求項 7 4又は 7 5に記載の 走査型露光装置。
7 7 . 前記第 1、 第 2及び第 3の干渉計の各測長軸が、 前記第 1、 第 2、 及び 第 3反射面の内の対応する反射面からいずれも外れることがない前記基板ステ一 ジ上の所定の位置に、 前記第 1、 第 2、 及び第 3の干渉計の計測値を用いて露光 処理に関連する所定の計測を行うための基準マーク及びセンサが配置されている ことを特徴とする請求項 7 4又は 7 5に記載の走査型露光装置。
7 8 . 前記各干渉計が、 それぞれの反射面上で同一直線状にない 3軸の測長ビ ー厶を対応する反射面にそれぞれ照射し、 それぞれの反射光を受光して各測長軸 毎に、 前記基板ステージの各測長軸の方向の位置を計測し、
前記演算装置が前記第 1、 第 2、 及び第 3の干渉計の内の任意のいずれか、 又 は任意の 2つ又は 3つの干渉計の各測長軸の計測値を用いて前記基板ステージの 前記 2次元平面内の回転及び前記 2次元平面に対する傾斜を演算することを特徴 とする請求項 7 4に記載の走査型露光装置。
7 9 . 前記基板ステージが、 前記 2次元平面内で移動する第 2プレー卜と、 こ の第 2プレート上に搭載されたレベリング駆動機構と、 このレベリング駆動機構 により支持され前記基板を保持する第 1プレートとを有し、
前記第 1プレー卜に前記第 1、 第 2及び第 3反射面が設けられ、
前記レベリング駆動機構が、 前言己第 1プレー卜を前記第 1、 第 2、 及び第 3の 干渉計の測長軸のそれぞれのほぼ延長線上の異なる 3点で支持するとともに各支 持点で前記 2次元平面に垂直な方向に独立して駆動可能な 3つのァクチユエ一夕 を含み、
前記演算装置が、 前記第 1、 第 2、 及び第 3の干渉計の計測値を用いて前記第 1反射面、 第 2反射面、 第 3反射面の前記 2次元平面に対する傾斜をそれぞれ演 算し、 前記演算装置の演算結果に応じて前記 3つのァクチユエ一タを制御するァクチ ユエ一夕制御装置を更に備えることを特徴とする請求項 7 4に記載の走査型露光
8 0 . 前記マスクステージが前記 2次元面内で回動可能であり、 前記演算装 置が、 前記第 2干渉計の計測値に基づいて前記基板ステージの前記 2次元平面内 の回転ずれ量を演算し、
前記ステージ制御系が、 前記回転ずれ量が補正されるように前記マスクステー ジを回転制御することを特徴とする請求項 7 8または 7 9に記載の走査型露光装
8 1 . 7 2に記載の走査型露光装置であって、
さらに、 定盤と ;
前記基板ステージがその上部に配置されるとともに、 前記定盤上に配置され、 かつ前記定盤、 及び前記基板ステージの夫々に対して相対移動が可能な第 2可動 体と;
前記定盤上に設けられた前記第 2可動体を所定の応答周波数で駆動可能な駆動 系と;
前記駆動系を介して数 H z以下の応答周波数で前記第 2可動体を位置制御する 制御装置とを更に備え、 前記基板ステージの移動によって生じる反力に応じて前 記第 2可動体が移動するように構成されていることを特徴とする走査型露光装置 c
8 2 . 請求項 8 1に記載の走査型露光装置において、
前記基板ステ一ジの重量が前記第 2可動体の重量の 1 / 9以下であり、 前記制御装置が、 露光又はァラィメン卜前の前記第 2可動体の応答周波数と、 それ以外の応答周波数を可変としたことを特徴とする走査型露光装置。
8 3 . 前記第 2可動体の 2次元位置をモニタする位置計測装置を更に備え、 前記制御装置は、 露光及びァライメン卜以外の前記基板ステージの移動時に前 記位置計測装置の計測結果に基づいて前記第 2可動体の位置を所定の位置に補正 することを特徴とする請求項 8 2記載の走査型露光装置。
8 4 . 第 1、 第 2及び第 3干渉計の光束路を温度調整するために、 温度調整さ れた気体を供給する装置を少な〈とも二つ備えることを特徴とする請求項 7 5に 記載の走査型露光装置。
8 5 . 前記第 1反射面は、 前記基板ステージの端面に形成されていることを特徴 とする請求項 7 2に記載の走査型露光装置。
8 6 . 基板ステージがその端面に少なくとも 3つの反射面を有し、 当該 3つの反 射面の一つが前記第 1の反射面であることを特徴とする請求項 8 5に記載の走査 型露光装置。
8 7 . 前記ステージ制御系が、 スループッ卜のみならず第 1反射面の延在長に基 いてマスク及び基板の走査速度を決定する請求項 8 5に記載の走査型露光装置。
8 8 . さらに、 前記マスクと基板が移動される方向に沿って前記マスクステージ に取り付けられた複数のコーナ一キューブと、 前記複数のコーナ一キューブの 1 つに送光してそこからの反射光を受光する干渉計システムとを備える請求項 7 2 に記載の走査型露光装置。
8 9 . エネルギービームが投射された領域に対して、 パターンが形成されたマス クと感応基板とを同期して移動することにより感応基板を該パターンで暴露する 走査型暴露装置であって、
前記マスクを載置して移動可能なマスクステージと;
前記感応基板を載置して移動可能な基板ステ—ジであつて、 基板ステージの側 壁が少なくとも第 1、 第 2及び第 3の反射面を有し、 第 1〜第 3の反射面または それらの延長線が三角形を形成している基板ステージと;
第 1〜第 3の反射面にそれぞれ測長ビ一厶を送光する干渉計システムとを備え
9 0. 前記三角形が正三角形である請求項 8 9に記載の走査型露光装置。
9 1 . 第 1〜第 3の反射面のうちの一つの反射面が走査方向またはそれと直交す る方向に沿った方向に延在する請求項 8 9に記載の走査型露光装置。
9 2 . マスクステージが、 ステージの側部に複数のコーナ一キューブを備える請 求項 8 9に記載の走査型露光装置。
9 3 . さらに、 定盤と、 その上に浮上して支持される可動定盤とを備え、 前記基 板ステージが走査方向に移動するときに生じる反力に応じて可動定盤が移動する ように、 基板ステージが可動定盤上に浮上して支持されている請求項 8 9〜9 2 のいずれか一項に記載の走査露光装置。
9 4 . 上記基板ステージが、 それぞれ基板を保持する複数の三角ステージから構 成される請求項 9 3に記載の走査露光装置。
9 5 . 定盤と ; 前記定盤に対して相対移動が可能であるとともに基板を保持する第 1可動体 と;
前記第 1可動体がその上部に配置されるとともに、 前記定盤上に配置され、 か つ前記定盤と前記第 1可動体との夫々に対して相対移動する第 2可動体と; 前記第 2可動体に設けられ、 前記第 1可動体を 2次元平面内で移動する駆動装 置とを Ifeえ、
前記第 1可動体の移動によつて生じる反力に応じて前記第 2移動体が移動する ように構成されていることを特徴とするステージ装置。
9 6 . 前記駆動装置は、 前記第 2可動体上で前記第 1可動体を駆動するリニア ァクチユエ一夕を有し、
前記第 1可動体及び前記第 2可動体はそれぞれ前記第 2可動体及び前記定盤上 で非接触支持されていることを特徴とする請求項 9 5記載のステ一ジ装置。
9 7 . 前記第 1可動体は、 前記 2次元平面上で直交する第 1及び第 2軸の各々 と交差する方向に延びる第 1反射面と、 前記第 2軸方向に延びる第 2反射面と、 前記第 1軸に関して前記第 1反射面とほぼ対称に配置された第 3反射面とを有し、 前記第 1、 第 2及び第 3反射面にそれそれ測長ビームを照射する 3つの干渉計 を更に備えることを特徴とする請求項 9 5に記載のステージ装置。
9 8 · 前記第 1可動体は、 前記基板が載置される第 1プレー卜と、 前記第 1プ レ一卜を前記 2次元平面と垂直な方向に移動し、 かつ前記 2次元平面に対して相 対的に傾ける駆動機構と;
前記駆動機構が載置される第 2プレー卜とを有することを特徴とする請求項 9 5〜9 7のいずれか一項に記載のステージ装置。
9 9 . 第 1可動体は複数の可動部から構成され、 各可動部が第 2可動体上に配置 され、 前記駆動装置は各可動部を 2次元平面内で駆動し、 各可動部の駆動の際の 反力に応じて第 2可動体が移動することを特徴とする請求項 9 5〜9 7のいずれ か一項に記載のステ—ジ装置。
1 0 0 . 第 1可動体は複数の可動部から構成され、 各可動部が第 2可動体上に配 置され、 前記駆動装置は各可動部を 2次元平面内で駆動し、 各可動部の駆動の際 の反力に応じて第 2可動体が移動することを特徴とする請求項 9 8 (こ記載のステ —ジ装置。
1 0 1 . 前記第 1可動体の質量は前記第 2可動体の質量のほぼ 1 / 9以下であ •9、
前記定盤上で前記第 2可動体を低応答周波数で駆動する第 2駆動装置を更に備 えることを特徴とする請求項 9 5〜9 7のいずれか一項に記載のステージ装置。
1 0 2 . 前記第 1可動体の質量は前記第 2可動体の質量のほぼ 1 / 9以下であ り、
前記定盤上で前記第 2可動体を低応答周波数で駆動する第 2駆動装置を更に備 えることを特徴とする請求項 9 8に記載のステ一ジ装置。
1 0 3 . 前記第 1可動体の質量は前記第 2可動体の質量のぼぼ 1 / 9以下であ り、
前記定盤上で前記第 2可動体を低応答周波数で駆動する第 2駆動装置を更に備 えることを特徴とする請求項 9 9に記載のステージ装置。
1 0 4 . 請求項 4 9または 9 5に記載のステージ装置を備え、 前記ステージ装置 に保持される感光基板にマスクのパターンを転写することを特徴とする露光装置。
1 0 5 . 請求項 9 5に記載のステージ装置を備え、 前記第 1可動体に保持される 感光基板にマスクのパターンを転写することを特徴とする露光装置。
1 0 6 . 前記定盤上で第 2可動体を駆動する第 2駆動装置と、 前記感光基板の露 光動作を含む複数の動作で、 前記第 2駆動装置の制御応答を可変とする制御装置 とをさらに備えたことを特徴とする請求項 1 0 5に記載の露光装置。
1 0 7 . 前記制御装置は、 前記第 1可動体の移動後に前記第 2駆動装置で前記第 2可動体を移動し、 前記第 1可動体の移動時よりも前記第 2可動体の移動時に前 記第 2駆動装置の応答周波数を高めることを特徴とする請求項 1 0 6に記載の露 光 ii0
1 0 8 . 定盤と;前記定盤に対して相対移動が可能であるとともに基板を保持 する第 1可動体と;前記第 1可動体がその上部に配置されるとともに、 前記定盤 上に配置され、 かつ前記定盤と前記第 1可動体との夫々に対して相対移動する第 2可動体と;前記第 2可動体に設けられ、 前記第 1可動体を 2次元平面内で移動 する駆動装置とを備え、 前記第 1可動体の移動によって生じる反力に応じて前記 第 2移動体が移動するように構成されているステ一ジ装置と;
マスクを保持するマスクステージと;
前記マスク及び前記基板の夫々と直交する光軸を有する投影光学系と; 前記投影光学系を支持するとともに、 前記定盤が懸架される第 1架台と; 前記第 1架台を支持する防振装置とを備え、
前記マスクステージと前記ステージ装置とによって前記マスクと前言己基板とを 同期移動して、 前記マスクのバターンを前記投影光学系を介して前記基板上に転 写することを特徴とする走査型露光装置。
1 0 9 . 前記マスクステージが載置される第 2架台と;
前記防振装置が配置される床上に設けられるとともに、 前記マスクステージの 移動によって生じる反力に応じた力を前記マスクステージ又は前記第 2架台に与 えるァクチユエ一タを有するフレームとを更に備えたことを特徴とする請求項 1 0 8に記載の走査型露光装置。
1 1 0 . 前記防振装置が載置されるべ一スプレー卜と;
前記べ一スプレー卜と前記フレームとを接続する弾性体とを更に備えたことを 特徴とする請求項 1 0 9に記載の走査型露光装置。
1 1 1 . 前記第 1可動体は、 前記 2次元平面上で前記基板の走査方向、 及びこ れと直交する非走査方向の夫々と交差する方向に沿って延びる第 1反射面と前記 非走査方向に沿って延びる第 2反射面と、 前記走査方向に関して前記第 1反射面 とぼぼ対称に配置される第 3反射面とを有し、
前記第 1、 第 2及び第 3反射面にそれぞれ測長ビームを照射する 3組みの干渉 計を備えることを特徴とする請求項 1 0 8〜1 1 0のいずれか一項に記載の走査
1 1 2 . 前記定盤に対する前記第 2可動体の相対位置を検出する位置計測装置 と;
前記基板の露光動作、 及びァライメント動作以外では、 前記位置計測装置の出 力に基づいて、 前記第 2可動体を前記定盤上の所定点に位置決めする第 2駆動装 置とを更に備えたことを特徴とする請求項 1 0 8〜1 1 0のいずれか一項に記載 の走査型露光装置。
1 1 3 . 第 1可動体は複数の可動部から構成され、各可動部が第 2可動体上に配 置され、 前記駆動装置は各可動部を 2次元平面内で駆動し、各可動部の駆動の際 の反力に応じて第 2可動体が移動することを特徴とする請求項 1 0 8〜1 1 0の いずれか一項に記載のステージ装置。
1 1 4 . 前記定盤に対する前記第 2可動体の相対位置を検出する位置計測装置 と;
前記基板の露光動作、及びァライメン卜動作以外では、 前記位置計測装置の出 力に基づいて、 前記第 2可動体を前記定盤上の所定点に位置決めする第 2駆動装 置とを更に備えたことを特徴とする請求項 1 1 1に記載の走査型露光装置。
1 1 5 . 請求項 1 0 8に記載の走査型露光装置において、 第 1可動体が基板ステ ージである走査型露光装置。
1 1 6 . 請求項 1 0 8に記載の走査型露光装置において、 さらに、前記マスクと 基板が移動される方向に沿って前記マスクステージに取り付けられた複数のコ一 ナ一キューブと、前記複数のコーナ一キューブの 1つに送光してそこからの反射 光を受光する干渉計システムとを備える走査型露光装置。
1 1 7 . マスクのパターンを基板上に転写する露光装置であって、
定盤と;
前記定盤に対して相対移動が可能であるとともに基板をそれぞれ保持する少な くとも 2つの第 1可動体と;
前記各第 1可動体がその上部に配置されるとともに、前記定盤上に配置され、 かつ前記定盤及び前記各第 1可動体のそれぞれに対して相対移動する第 2可動体 と;
前記第 2可動体に設けられ、 前記各第 1可動体を 2次元平面内で駆動する駆動 装置とを 1厢ぇ、
前記各第 1可動体の駆動の際の反力に応じて前記第 2可動体が移動し、 前記マスクのバタ一ンが転写される基板が前記各第 1可動体に保持されること を特徴とする露光装置。
1 1 8 . 前記各第 1可動体の質量は前記第 2可動体の質量のほぼ 1 / 9以下で あり、
前記定盤上で前記第 2可動体を低応答周波数で駆動する第 2の駆動装置を更に 備えることを特徴とする請求項 1 1 7に記載の露光装置。
1 1 9 . 前記マスクのパターンを前記基板に投影する投影光学系を更に備え、 前記駆動装置が、 前記各第 1可動体にそれぞれ保持された基板に前記マスクの パターンを転写する際に、 そのパターン転写の対象の基板を保持する前記第 1可 動体を前記マスクと同期して前記投影光学系に対して走査方向に駆動することを 特徴とする請求項 1 1 8に記載の露光装置。
1 2 0 . マスクと基板とを同期移動して、 前記マスクのパターンを前記基板上 に転写する走査型露光装置であつて、
前記基板が同期移動される第 1方向、 及びこれに直交する第 2方向とそれぞれ 交差する方向に沿って延びる第 1反射面と、 前記第 2方向に沿って延びる第 2反 射面とを有し、 前記基板を載置する基板ステージと;
前記第 1及び第 2反射面にそれぞれ測長ビームを照射する第 1、 第 2の干渉計 とを備えたことを特徴とする走査型露光装置。
1 2 1 . 請求項 1 2 0に記載の走査型露光装置において、 前記マスク及び前記 基板の夫々とほぼ直交する光軸を有する投影光学系を更に備え、
前記第 1及び第 2の干渉計はそれぞれ測長軸が前記投影光学系の光軸で交差す るように配置されていることを特徴とする走査型露光装置。
1 2 2 . 請求項 1 2 1に記載の走査型露光装置において、 前記基板上のマーク に光ビームを照射するオファクシス■ァライメン卜センサを更に備え、
前記第 1の干渉計は、 前記投影光学系の光軸と交差する第 1測長軸と、 前記才 ファクシス ·ァライメン卜センサの検出中心と交差する第 2測長軸とを有するこ とを特徴とする走査型露光装置。
1 2 3 . 請求項 1 2 2に記載の走査型露光装置において、前記第 2の干渉計は、 第 2方向に離れた 2本の測長ビームを前記第 2反射面に照射し、
前記オファクシス ·ァライメントセンサの検出中心は、 前記 2本の測長ビーム によつて規定され、 かつ前記投影光学系の光軸を通る前記第 2の干渉計の測長軸 上に配置されていることを特徴とする走査型露光装置。
1 2 4 . 請求項 1 2 3に記載の走査型露光装置において、前記基板ステ—ジは、 前記第 1方向に関して前記第 1反射面とほぼ対称に配置される第 3反射面を有し、 前言己第 3反射面に測長ビームを照射する第 3の干渉計を更に備えたことを特徴 とする走査型露光装置。
1 2 5 . 請求項 1 2 4に記載の走査型露光装置において、 記第 3の干渉計は、 前 記投影光学系の光軸と交差する第 3測長軸と、 前記オファクシス■ァライメン卜 センサの検出中心と交差する第 4測長軸とを有することを特徴とする走査型露光 装置。
1 2 6 . 請求項 1 2 0に記載の走査型露光装置において、 さらに、 マスクステー ジと、 マスクと基板が移動される方向に沿ってマスクステージに取り付けられた 複数のコーナ一キューブと、 前記複数のコーナ—キューブの 1つに送光してそこ からの反射光を受光する干渉計システムとを備える走査型露光装置。
1 2 7 . マスクと感応基板とを同期移動させることにより、 前記マスクのバタ ーンを前記感応基板上に転写する露光方法であって、
前記感応基板の前記同期移動方向及びこれに直交する非走査方向の内、 少なく とも非走査方向については、 該非走査方向と異なる方向の測長ビームを用いて位 置制御しつつ露光動作を行うことを特徴とする露光方法。
1 2 8 . 前記同期移動方向と略平行な測長ビームを用いて、 前記同期移動方向 における前記感光基板の位置制御を行うことを特徴とする請求項 1 2 7に記載の 路先 ¾法。
1 2 9 . マスクのパターンを感光基板上に転写する露光方法において、 前記感光基板上の第 1領域への前記パターンの転写と、 前記感光基板上で前記第 1領域に隣接する第 2領域への前記パターンの転写との間で、 前記第 1及び第 2 領域が配列される第 1方向及びこれに直交する第 2方向と異なる方向の測長ビー 厶を用いて前記感光基板の位置制御を行うことを特徴とする露光方法。
1 3 0 . 前記第 1及び第 2領域にそれぞれ前記パターンを転写するために、 前記 第 2方向に沿って前記マスクと前記感光基板とを同期移動することを特徴とする 請求項 1 2 9に記載の露光方法。
! 98
1 3 1 . 前記第 1方向への前記感光基板の移動における加速時と減速時とで加速 度の大きさを異ならせることを特徴とする請求項 1 2 9または 1 3 0に記載の露 先 /3Λ Ο
1 3 2 . ステージ装置の製造方法であって、
基板を保持して 2次元平面内を移動する第 1可動体を設け;
前記第 1可動体に、 前記 2次元平面内で所定の第 1軸及びこれに直交する第 2 軸と交差する方向に沿って延びる第 1反射面を設け;
前記第 1反射面に垂直に測長ビームを照射してその反射光を受光することによ り前記第 1可動体の第 3軸方向の位置を計測する第 1の干渉計を設け;
前記第 1の干渉計の計測値に基づいて前記第 1可動体の前記第 1軸及び第 2軸 で規定される直交座標系上の位置座標を演算する演算装置を設けることを含むス テージ装置の製造方法。
1 3 3 . さらに、 前記第 1可動体に、 前記第 2軸方向に延びる第 2反射面を設 け;
前記第 2反射面に垂直に測長ビー厶を照射してその反射光を受光することによ り前記第 1可動体の前記第 1軸方向の位置を計測する第 2の干渉計を設け; 前記演算装置が、 前記第 1の干渉計の計測値に基づいて前記第 1可動体の前記 第 2軸方向の位置座標を演算することを特徴とする請求項 1 3 2に記載の方法。
1 3 4 . 前記第 1可動体に、 前記 2次元平面内で前記第 1軸及びこれに直交する 第 2軸と交差しかつ前記第 1反射面とは異なる方向に延びる第 3反射面を設け; 前記第 3反射面に垂直に測長ビームを照射してその反射光を受光することによ り前記第 1可動体の第 4軸方向の位置を計測する第 3の干渉計を設け;
前記演算装置が、 前記第 1及び第 3の干渉計の計測値に基づいて前記第 1可動 体の前記第 1軸及び第 2軸で規定されるステ一ジ座標系上の前記第 2軸方向の位 置を演算することを特徴とする請求項 1 3 3に記載の方法。
1 3 5 . マスクに形成されたパターンを基板上に転写して基板を露光する走査型 露光装置の製造方法であつて、
基板を保持して 2次元平面内を移動する基板ステージと、 前記基板ステージに 設けられ、 前記 2次元平面内で所定の第 1軸及びこれに直交する第 2軸と交差す る方向に沿って延びる第 1反射面と、 前記第 1反射面に垂直に測長ビームを照射 してその反射光を受光することにより前記基板ステージの第 3軸方向の位置を計 測する第 1の干渉計と、 前記第 1の干渉計の計測値に基づいて前記基板ステージ の前記第 1軸及び第 2軸で規定される直交座標系上の位置座標を演算する演算装 置とそれぞれ設けることによってステージ装置を製造し;さらに、
マスクを保持するマスクステージを設け;
前記マスクステージと基板ステージとを同期して前記第 1軸方向に沿って相対 移動させるステージ制御系を設けることを含み;
ここに、 走査型露光装置は、 前記ステージ制御系による前記マスクステージと 前記基板ステージとの相対移動時に前記マスクに形成されたバタ一ンを前記基板 ステージ上の基板に転写する、 走査型露光装置の製造方法。
1 3 6 . ステージ装置の製造方法であって、
定盤を設け;
前記定盤に対して相対移動が可能であるとともに基板を保持する第 1可動体を 設け;
前記第 1可動体がその上部に配置され、 かつ前記定盤と前記第 1可動体との 夫々に対して相対移動する第 2可動体を前記定盤上に配置し;
前記第 1可動体を 2次元平面内で移動する駆動装置を前記第 2可動体に設ける ことを含み、
ここに、 ステージ装置は前記第 1可動体の移動によって生じる反力に応じて前 記第 2移動体が移動するように構成されている、 ステージ装置の製造方法。
1 3 7 . 走査型露光装置の製造方法であって、
定盤と;前記定盤に対して相対移動が可能であるとともに基板を保持する第 1 可動体と;前記第 1可動体がその上部に配置されるとともに、 前記定盤上に配置 され、 かつ前記定盤と前記第 1可動体との夫々に対して相対移動する第 2可動体 と;前記第 2可動体に設けられ、 前記第 1可動体を 2次元平面内で移動する駆動 装置とをそれぞれ設けることによって、 前記第 1可動体の移動によって生じる反 力に応じて前記第 2移動体が移動するように構成されているステージ装置を製造 し;さらに、
マスクを保持するマスクステージを設け;
前記マスク及び前記基板の夫々と直交する光軸を有する投影光学系を設け; 前記投影光学系を支持するとともに、 前記定盤が懸架される第 1架台を設け; 前記第 1架台を支持する防振装置を設けることを含み、
ここに、 走査型露光装置は、 前記マスクステージと前記ステージ装置とによつ て前記マスクと前記基板とを同期移動して、 前記マスクのバタ一ンを前記投影光 学系を介して前記基板上に転写する、 走査型露光装置の製造方法。
1 3 8 . マスクと基板とを同期移動して、 前記マスクのパターンを前記基板上に 転写する走査型露光装置の製造方法であって、
前記基板を載置する基板ステージを設け
前記基板が同期移動される第 1方向及びこれに直交する第 2方向とそれぞれ交 差する方向に沿って延びる第 1反射面と、 前記第 2方向に沿って延びる第 2反射 面とを前記基板ステージに設け; 前記第 1及び第 2反射面にそれぞれ測長ビームを照射する第 1、 第 2の干渉計 とを設けることを含む走査型露光装置の製造方法。
1 3 9 . マスクと感応基板とを同期移動させることにより、 前記感応基板上の 複数のショッ卜領域に前記マスクのパターンを順次転写する走査型露光装置であ つて、
前記感応基板を保持して 2次元平面内を移動する基板ステージと; 前記マスクを保持して移動可能なマスクステージと;
前記基板ステージの露光終了後の次ショッ 卜露光のための助走動作と次ショッ 卜露光のための非走査方向へのステツビング動作とが同時並行的に行われ、 かつ 前記非走査方向へのステツビング動作が次ショット露光前の前記両ステージの同 期整定期間の前に終了するように、 前記両ステージを制御するステージ制御系と を備えることを特徴とする走査型露光装置。
1 4 0 . 前記ステージ制御系は、 前ショッ 卜露光後の前記マスクステージの等 速移動時間と減速時間とから成る才一バスキヤン時に対応する前記基板ステ一ジ の非走査方向の加速度が、 次ショッ卜の露光開始前の前記マスクステージのプリ スキャン時に対応する部分の前記基板ステージの非走査方向の減速度より絶対値 が大き〈なるように前記両ステージを制御することを特徴とする請求項 1 3 9に 記載の走査型露光装置。
1 4 1 . 基板上の複数の区画領域にマスクのパターンを順次転写する走査露光 方法において、
前記マスクと前記基板とを同期移動して、 前記複数の区画領域の 1つを走査露 光し、
前記基板が同期移動される第 1方向と直交する第 2方向に関して前記 1つの区 画領域と隣接する別の区画領域を走査露光するために、 前記 1つの区画領域の走 査露光終了後の前記基板の前記第 2方向へのステッピング動作が終了する前に前 記基板の前記第 1方向への加速を開始することを特徴とする走査露光方法。
1 4 2 . 前記基板は、 前記別の区画領域の走査露光前に、 前記加速によって前 記第 1及び第 2方向に対して斜めに移動され、 かつ前記第 1方向の移動速度が前 記基板の感度特性に応じた速度に設定されることを特徴とする請求項 1 4 1に記 載の走査露光方法。
1 4 3 . 前記 1つの区画領域の走査露光終了後に、 前記別の区画領域を走査露 光するために必要な助走距離だけ前記基板が前記第 1方向に離れるまで、 前記基 板を前記第 1方向については減速させつつ前記第 2方向に移動させることを特徴 とする請求項 1 4 1に記載の走査露光方法。
1 4 4 . 前記 1つの区画領域の走査露光終了後に、 前記別の区画領域を走査露 光するために必要な助走距離だけ前記基板が前記第 1方向に離れるまで、 前記基 板を前言己第 1方向については減速させつつ前記第 2方向に移動させることを特徴 とする請求項 1 4 2に記載の走査露光方法。
1 4 5 . 前記基板は、 前記 1つの区画領域の走査露光と前記別の区画領域の走 査露光との間で、 前記第 1方向の速度成分と前記第 2方向の速度成分との少なく とも一方が零にならないように移動されることを特徴とする請求項 1 4 1〜1 4 4のいずれか一項に記載の走査露光方法。
1 4 6 . 前記基板は、 前記 1つの区画領域の走査露光と前記別の区画領域の走 査露光との間で、 前記第 1方向の移動速度が零となる前記第 2方向の位置が前記 1つの区画領域よりも前記別の区画領域に近くなるように移動されることを特徴 とする請求項 1 4 1〜1 4 4のいずれか一項に記載の走査露光方法。
1 4 7 . 前記基板は、 前記 1つの区画領域の走査露光と前記別の区画領域の走 査露光との間で、 前記第 1方向の移動速度が零となる前記第 2方向の位置が前記 1つの区画領域よりも前記別の区画領域に近〈なるように移動されることを特徴 とする請求項 1 4 5に記載の走査露光方法。
1 4 8 . マスクと基板とを同期移動して、 前記基板が同期移動される第 1方向 とぼぼ直交する第 2方向に沿って配列される前記基板上の第 1区画領域と第 2区 画領域とをそれぞれ前記マスクのパターンで走査露光する方法において、 前記第 1区画領域の走査露光終了後に、 前記基板の第 1方向の移動速度が零と なるまで、 前記基板を減速させつつ前記第 2方向に移動し、 かつ前記第 2区画領 域の走査露光前に、 前記基板を前記第 1方向に加速させつつ前記第 2方向に移動 することを特徴とする走査露光方法。
1 4 9 . 前記基板は、 前記第 1区画領域の走査露光と前記第 2区画領域の走査 露光との間で停止することなく移動されることを特徴とする請求項 1 4 8に記載 の走査露光方法。
1 5 0 . マスクと基板とを同期移動して、 前記基板が同期移動される第 1方向 とほぼ直交する第 2方向に配列される前記基板上の第 1区画領域と第 2区画領域 とにそれぞれ前記マスクのパターンを転写する走査露光方法において、
前記第 1区画領域の走査露光後に、 前記基板をその移動軌跡がほぼ放物線にな るように移動した後、 前記マスクのバタ一ンで前記第 2区画領域を走査露光する ことを特徴とする走査露光方法。
1 5 1 . 前記マスクは、 前記基板の前記第 2方向の速度成分が零となる前に加 速が開始されることを特徴とする請求項 1 5 0に記載の走査露光方法。
1 5 2 . 前記基板は、 前記第 1区画領域の走査露光と前記第 2区画領域の走査 露光との間で停止することな〈移動されることを特徴とする請求項 1 5 0または 1 5 1に記載の走査露光方法。
1 5 3 . マスクと基板とを同期移動して、 前記基板が同期移動される第 1方向 とほぼ直交する第 2方向に配列される前記基板上の第 1区画領域と第 2区画領域 とにそれぞれ前記マスクのパターンを転写する走査露光方法において、
前記第 1区画領域の走査露光終了後の前記基板の減速中、 及び前記第 2区画領 域の走査露光前の前記基板の加速中に、 前記基板を前記第 1及び第 2方向と交差 する方向に移動することを特徴とする走査露光方法。
1 5 4 . 前記基板は、 前記第 1区画領域の走査露光と前記第 2区画領域の走査 露光との間で停止することなく移動されることを特徴とする請求項 1 5 3に記載 の走査露光方法。
1 5 5 . マスクと基板とを同期移動して、 前記基板が同期移動される第 1方向 と直交する第 2方向に沿って配列される前記基板上の第 1区画領域と第 2区画領 域とに前記マスクのパターンを順次転写する走査露光方法において、
前記第 1区画領域の走査露光終了後、 前記基板の前記第 2方向の位置が前記第 2区画領域の前記第 2方向の位置と一致する前に、 前記第 2区画領域の走査露光 のための前記基板の加速を開始することを特徴とする走査露光方法。
1 5 6 . 前記基板は、 前記第 1区画領域の走査露光と前記第 2区画領域の走査 露光との間で停止することなく移動されることを特徴とする請求項 1 5 5に記載 の走査露光方法。
1 5 7 . 前記第 1区画領域の走査露光終了後、 前記基板の前記第 1方向の速度 成分が零となる前に前記基板を前記第 1方向に対して斜めに移動し、 かつ前記基 板の加速開始直後は、 前記第 1及び第 2方向の各速度成分が零とならないように 前記基板を移動することを特徴とする請求項 1 5 5に記載の走査露光方法。
1 5 8 . 前記基板は、 前記第 1区画領域の走査露光と前記第 2区画領域の走査 露光との間で停止することなく移動されることを特徴とする請求項 1 5 7に記載 の走査露光方法。
1 5 9 . マスクと基板とを同期移動して、 前記基板が同期移動される第 1方向 と直交する第 2方向に沿って配列される前記基板上の第 1区画領域と第 2区画領 域とに前記マスクのパターンを順次転写する走査露光方法において、
前記第 1区画領域の走査露光終了後の前記基板の前記第 2方向の速度成分が零 となる前に、 前記第 2区画領域の走査露光のための前記基板の加速を開始するこ とを特徴とする走査露光方法。
1 6 0 . 前記基板は、 前記第 1方向に関して加速され、 かつ前記第 2方向に関 して減速されることを特徴とする請求項 1 5 9に記載の走査露光方法。
1 6 1 . 前記第 1区画領域の走査露光終了後の前記基板の前記第 1方向の速度 成分が零となる前に、 前記基板の前記第 2方向への加速を開始することを特徴と する請求項 1 5 9又は 1 6 0に記載の走査露光方法。
1 6 2 . 前記基板は、 前記第 1区画領域の走査露光と前記第 2区画領域の走査 露光との間で停止することなく移動されることを特徴とする請求項 1 5 9に記載 の走査露光方法。
1 6 3 . マスクと基板とを同期移動して、 前記基板が同期移動される第 1方向 と直交する第 2方向に沿つて配列される前記基板上の第 1区画領域と第 2区画領 域とに前記マスクのパターンを順次転写する走査露光方法において、
前記第 1区画領域の走査露光終了後に前記基板の前記第 1方向の速度成分が零 となる前記基板の前記第 2方向の位置を、 前記第 2区画領域の前記第 2方向の位 置よりも前記第 1区画領域側とし、かつ前記第 2区画領域を走査露光するために、 前記第 1及び第 2方向に対して斜めに前記基板を移動することを特徴とする走査 路 法。
1 6 4 . 前記基板は、 前記第 1区画領域の走査露光と前記第 2区画領域の走査 露光との間で停止することなく移動されることを特徴とする請求項 1 6 3に記載 の走査露光方法。
1 6 5 . マスクと基板とを同期移動して、 前記基板が同期移動される第 1方向 と直交する第 2方向に沿って配列される前記基板上の第 1区画領域と第 2区画領 域とに前記マスクのパターンを順次転写する走査露光方法において、
前記第 1区画領域の第 1走査露光と前記第 2区画領域の第 2走査露光とで前記 基板を逆向きに移動するために、 前記第 1走査露光終了後に前記基板の前記第 1 方向の速度成分を零とし、 かつ前記第 2走査露光に先立って前記第 1及び第 2方 向の各速度成分が零とならないように前記基板を加速することを特徴とする走査
E¾7C¾法。
1 6 6 . 前記基板は、 前記第 1区画領域の走査露光と前記第 2区画領域の走査 露光との間で停止することな〈移動されることを特徴とする請求項 1 6 5に記載 の走査露光方法。
1 6 7 . マスクと基板とを同期移動して、 前記基板が同期移動される第 1方向 と直交する第 2方向に沿って配列される前記基板上の第 1区画領域と第 2区画領 域とに前記マスクのパターンを順次転写する走査露光方法において、
前記第 1区画領域の第 1走査露光と前記第 2区画領域の第 2走査露光との間、 前記第 1走査露光終了後の前記第 1方向の速度成分が零となる前記基板の前記第 2方向の位置が、 前記第 1区画領域の前記第 2方向の位置と前記第 2区画領域の 前記第 2方向の位置との間になるように前記基板を移動することを特徴とする走 査露光方法。
1 6 8 . 前記基板は、 前記第 1区画領域の走査露光と前記第 2区画領域の走査 露光との間で停止することなく移動されることを特徴とする請求項 1 6 7に記載 の走査露光方法。
1 6 9 . マスクと基板とを同期移動して、 前記基板が同期移動される第 1方向 と直交する第 2方向に沿って配列される前記基板上の第 1区画領域と第 2区画領 域とに前記マスクのパターンを順次転写する走査露光方法において、
前記第 1区画領域の第 1走査露光と前記第 2区画領域の第 2走査露光との間の 前記基板の移動軌跡がほぼ放物線状となるように、 前記第 1走査露光後の前記基 板の減速中、 及び前記第 2走査露光前の前記基板の加速中、 前記第 2方向の速度 成分を零とすることなく前記基板を移動することを特徴とする走査露光方法。
1 7 0 . 前記第 1走査露光の終了直後、及び前記第 2走査露光の開始直前は、 前記基板の前記第 2方向の速度成分をほぼ零とすることを特徴とする請求項 1 6 9に記載の走査露光方法。
1 7 1 . 前記基板は、前記第 1区画領域の走査露光と前記第 2区画領域の走査 露光との間で停止することなく移動されることを特徴とする請求項 1 6 9または 1 7 0に記載の走査露光方法。
1 7 2 . マスクと基板とを同期移動して、 前記基板が同期移動される第 1方向 と直交する第 2方向に沿って配列される前記基板上の第 1区画領域と第 2区画領 域とに前記マスクのパターンを順次転写する走査露光方法において、
前記第 1区画領域の第 1走査露光と前記第 2区画領域の第 2走査露光との間、 前記第 1走査露光終了後の前記基板の前記第 1方向の速度成分が零となる前に、 前記基板の前記第 2方向への加速を開始し、 かつ前記基板の前記第 2方向の速度 成分が零となる前に、前記基板の前記第 1方向への加速を開始することを特徴と する走査露光方法。
1 7 3 . 前記基板の前記第 1方向への加速は、前記第 2方向に関する前記基板 の減速中に開始されることを特徴とする請求項 1 7 2に記載の走査露光方法。
1 7 4 . 前記基板の前記第 2方向への加速は、前記第 1走査露光終了後の前記 基板の減速中に開始されることを特徴とする請求項 1 7 3に記載の走査露光方法 c
1 7 5 . 前記基板の前記第 2方向への加速は、前記第 1走査露光終了後の前記 基板の減速中に開始されることを特徴とする請求項 1 7 3に記載の走査露光方法 c
1 7 6 . 前記基板は、 前記第 1区画領域の走査露光と前記第 2区画領域の走査 露光との間で停止することな〈移動されることを特徴とする請求項 1 7 2 ~ 1 7 5のいずれか一項に記載の走査露光方法。
1 7 7 . 基板上の区画領域毎にマスクと前記基板とを同期移動して、 前記基板 上の複数の区画領域に前記マスクのパターンを順次転写するステップアンドスキ ャン方式の走査露光方法において、
前記マスクの往復移動によつて前記マスクのパターンが転写される前記基板上 の 2つの区画領域の走査露光間で前記基板を停止することなく移動することを特 徴とする走査露光方法。
1 7 8 . 前記基板は、 前記マスクのパターンを転写すべき前記基板上の最後の 区画領域の走査露光が終了するまで、 前記基板が同期移動される第 1方向、 及び それと直交する第 2方向の少なくとも一方の速度成分が零とならないように移動 されることを特徴とする請求項 1 7 7に記載の走査露光方法。
1 7 9 . マスクと基板とを同期移動して、 前記基板上の 1又は 2以上の区画領 域に前記マスクのパターンを転写する走査露光方法において、
前記各区画領域に対する走査露光に際して、 前記マスクと前記基板との同期移 動に先立って、 前記マスク及び前記基板の少なくとも一方を、 その加速度が徐々 に零に収束するような加速度変化曲線に基づいて前記同期移動方向に沿って加速 することを特徴とする走査露光方法。
1 8 0 . 前記基板が同期移動される第 1方向に直交する第 2方向に沿って配列 される前記基板上の第 1区画領域と第 2区画領域とに前記マスクのバタ一ンを順 次転写するに際し、 前記第 1区画領域の走査露光終了後の前記基板の第 1方向の減速中及び前記第 2区画領域の走査露光前の前記基板の第 1方向の加速中に、 前記基板を前記第 1 及び第 2方向と交差する方向に移動することを特徴とする請求項 1 7 9に記載の 走査露光方法。
1 8 1 . マスクと基板とを同期移動して、 前記基板が同期移動される第 1方向 に直交する第 2方向に沿って配列される前記基板上の第 1区画領域と第 2区画領 域とに前記マスクのパターンを順次転写する走査露光方法において、
少な〈とも前言己第 1の区画領域に対する走査露光に際して、 前記マスク及び前 記基板の少なくとも一方を、 前記マスクと前記基板との同期移動に先立ってその 加速度が徐々に零に収束するような加速度変化曲線に基づいて前記第 1方向に沿 つて加速するとともに、 前記同期移動の終了後に一定減速度で前記第 1方向に沿 つて減速することを特徴とする走査露光方法。
1 8 2 . 前記第 1区画領域の走査露光終了後の!^己基板の第 1方向の減速中及 び前記第 2区画領域の走査露光前の前記基板の第 1方向の加速中に、 前記基板を 前記第 1及び第 2方向と交差する方向に移動することを特徴とする請求項 1 8 1 に記載の走査露光方法。
1 8 3 . エネルギー線が照射される領域に対してマスクと基板とを同期して移 動して前記基板上に前記マスクのパターンを転写する走査露光方法において、 マスク及び基板を加速しながら移動を開始し、
マスク及び基板の一方の加速度を連続的に低下させながら、 マスク及び基板を 等速度に至らしめ、
該マスク及び基板が等速度で移動しているときに走査露光を実行することを特 徴とする走査露光方法。
1 8 4 . 該マスク及び基板が等速度で移動した後に、 マスク及び基板の一方を一 定の減速度で減速することを特徴とする請求項 1 8 3に記載の走査露光方法。
1 8 5 . マスクと感応基板とを同期移動させることにより、 前記感応基板上の 複数のショッ 卜領域に前記マスクのパターンを順次転写する走査型露光装置の製 造方法であって、
前記感応基板を保持して 2次元平面内を移動する基板ステージを設け; 前記マスクを保持して移動可能なマスクステージを設け;
前記基板ステージの露光終了後の次ショッ卜露光のための助走動作と次ショッ 卜露光のための非走査方向へのステツビング動作とが同時並行的に行われ、 かつ 前記非走査方向へのステツビング動作が次ショッ卜露光前の前記両ステージの同 期整定期間の前に終了するように、 前記両ステージを制御するステージ制御系と を設けることを含むことを特徴とする走査型露光装置の製造方法。
1 8 6 . 請求項 4 9または 9 5に記載のステージ装置を備えたリソグラフィ装
1 8 7 . 第 1可動体に保持される感光基板を照明ビームで露光する露光システ 厶を備えたことを特徴とする請求項 1 8 6に記載のリソグラフィ装置。
1 8 8 . 前記露光システムは、 前記照明ビームをマスクに照射する照明系と、 前記照明ビームを前記感光基板上に投射する投影系とを含むことを特徴とする請 求項 1 8 7に記載のリソグラフィ装置。
1 8 9 . 前記照明ビームは、 遠紫外線、 真空紫外線、 X線及び荷電粒子線からな る群から選ばれた一種であることを特徴とする請求項 1 87または 1 88に記載 のリソグラフィ装置。
1 90. 請求項 23 , 31 , 46及び 47のいずれか一項に記載の露光方法を用 いて製造されたマイクロデバイス。
1 91 . 請求項 1 27, 1 41 , 1 48, 1 50, 1 53, 1 55, 1 57, 1 59, 1 63, 1 65, 1 67, 1 72, 1 77, 1 79及び 1 81のいずれか 一項に記載の露光方法を用いて製造されたマイクロデバイス。
PCT/JP1998/004223 1997-09-19 1998-09-18 Platine, dispositif d'alignement de balayage et procede d'exposition de balayage, et dispositif fabrique par ce moyen WO1999016113A1 (fr)

Priority Applications (7)

Application Number Priority Date Filing Date Title
IL13513998A IL135139A0 (en) 1997-09-19 1998-09-18 Stage apparatus, scanning type exposure apparatus, and device produced with the same
KR10-2000-7002815A KR100521704B1 (ko) 1997-09-19 1998-09-18 스테이지장치, 주사형 노광장치 및 방법, 그리고 이것으로제조된 디바이스
EP98943052A EP1028456A4 (en) 1997-09-19 1998-09-18 PLATINUM, SCANNING ALIGNMENT DEVICE, AND SCANNING EXPOSURE METHOD, AND DEVICE MANUFACTURED THEREBY
AU90957/98A AU9095798A (en) 1997-09-19 1998-09-18 Stage device, a scanning aligner and a scanning exposure method, and a device manufactured thereby
US09/525,732 US6331885B1 (en) 1997-09-19 2000-03-14 Stage apparatus, scanning type exposure apparatus, and device produced with the same
US09/981,976 US20020018192A1 (en) 1997-09-19 2001-10-19 Stage apparatus, scanning type exposure apparatus, and device produced with the same
US10/347,688 US6906782B2 (en) 1997-09-19 2003-01-22 Stage apparatus, scanning type exposure apparatus, and device produced with the same

Applications Claiming Priority (8)

Application Number Priority Date Filing Date Title
JP27342097 1997-09-19
JP9/273420 1997-09-19
JP9/279500 1997-09-26
JP27950097 1997-09-26
JP9/293249 1997-10-10
JP29324997 1997-10-10
JP10/226500 1998-07-27
JP22650098 1998-07-27

Related Child Applications (2)

Application Number Title Priority Date Filing Date
US09/525,732 Continuation-In-Part US6331885B1 (en) 1997-09-19 2000-03-14 Stage apparatus, scanning type exposure apparatus, and device produced with the same
US09/525,732 Continuation US6331885B1 (en) 1997-09-19 2000-03-14 Stage apparatus, scanning type exposure apparatus, and device produced with the same

Publications (1)

Publication Number Publication Date
WO1999016113A1 true WO1999016113A1 (fr) 1999-04-01

Family

ID=27477216

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP1998/004223 WO1999016113A1 (fr) 1997-09-19 1998-09-18 Platine, dispositif d'alignement de balayage et procede d'exposition de balayage, et dispositif fabrique par ce moyen

Country Status (7)

Country Link
US (3) US6331885B1 (ja)
EP (1) EP1028456A4 (ja)
KR (1) KR100521704B1 (ja)
AU (1) AU9095798A (ja)
IL (1) IL135139A0 (ja)
TW (1) TW408364B (ja)
WO (1) WO1999016113A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6603562B1 (en) * 1999-10-29 2003-08-05 Yokogawa Electric Corporation Two-dimensional positioning apparatus and method for measuring laser light from the apparatus

Families Citing this family (121)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6718227B1 (en) * 1999-12-16 2004-04-06 Texas Instruments Incorporated System and method for determining a position error in a wafer handling device
TWI231405B (en) * 1999-12-22 2005-04-21 Asml Netherlands Bv Lithographic projection apparatus, position detection device, and method of manufacturing a device using a lithographic projection apparatus
TW522287B (en) * 2000-01-14 2003-03-01 Asml Netherlands Bv Lithographic projection apparatus, method of calibrating a lithographic projection apparatus, method of manufacturing a device using a lithographic projection apparatus, and device manufactured thereby
US6625556B1 (en) * 2000-03-08 2003-09-23 Advanced Micro Devices, Inc. Wafer rotation randomization for process defect detection in semiconductor fabrication
US6622111B1 (en) * 2000-03-08 2003-09-16 Advanced Micro Devices, Inc. Wafer rotation in semiconductor processing
EP1269813B1 (de) * 2000-03-28 2007-05-30 Siemens Aktiengesellschaft Verfahren und vorrichtung zum überprüfen von elektrischen bauteilen in einer bestückvorrichtung für substrate
JP2002025886A (ja) * 2000-07-03 2002-01-25 Canon Inc ステップ&スキャン式投影露光装置、その保守方法並びに同装置を用いた半導体デバイス製造方法および半導体製造工場
US7136159B2 (en) * 2000-09-12 2006-11-14 Kla-Tencor Technologies Corporation Excimer laser inspection system
US6668099B1 (en) * 2000-10-26 2003-12-23 General Phosphorix Llc Method of measuring an angle of inclination of trapezoidal micro object side faces
WO2002037526A1 (fr) * 2000-11-02 2002-05-10 Ebara Corporation Appareil a faisceau electronique et procede de fabrication d'un dispositif a semi-conducteur comprenant ledit appareil
US6807332B1 (en) * 2000-11-06 2004-10-19 Western Digital (Fremont), Inc. Piezoelectric actuated optical switch
JP2002217084A (ja) * 2001-01-15 2002-08-02 Semiconductor Leading Edge Technologies Inc ウェハ周辺露光装置およびウェハ周辺露光方法
JP4174972B2 (ja) * 2001-02-09 2008-11-05 三菱電機株式会社 位置決め制御方法
US6558883B2 (en) * 2001-03-08 2003-05-06 Infineon Technologies Ag Apparatus and method for patterning a semiconductor wafer
JP2002333721A (ja) * 2001-05-10 2002-11-22 Adtec Engineeng Co Ltd 露光装置
US7442629B2 (en) 2004-09-24 2008-10-28 President & Fellows Of Harvard College Femtosecond laser-induced formation of submicrometer spikes on a semiconductor substrate
US7057256B2 (en) 2001-05-25 2006-06-06 President & Fellows Of Harvard College Silicon-based visible and near-infrared optoelectric devices
JP2003022962A (ja) * 2001-07-10 2003-01-24 Canon Inc 露光システム、デバイス製造方法、半導体製造工場および露光装置の保守方法
DE60217771T3 (de) * 2001-07-27 2012-02-09 Canon K.K. Belichtungssystem, Projektionsbelichtungsapparat und Verfahren zur Herstellung eines Artikels
KR100816340B1 (ko) * 2001-08-27 2008-03-24 삼성전자주식회사 액정 표시 장치 제조용 노광 마스크와 이를 이용한 액정표시 장치 제조에서의 기판의 노광 방법
JP2003086492A (ja) * 2001-09-12 2003-03-20 Canon Inc 露光装置及びその制御方法並びにデバイスの製造方法
US7361894B2 (en) * 2002-10-22 2008-04-22 Hitachi High-Technologies Corporation Method of forming a sample image and charged particle beam apparatus
US7034296B2 (en) * 2001-11-21 2006-04-25 Hitachi High-Technologies Corporation Method of forming a sample image and charged particle beam apparatus
JP4011919B2 (ja) * 2002-01-16 2007-11-21 キヤノン株式会社 移動装置及び露光装置並びに半導体デバイスの製造方法
KR20040097992A (ko) * 2002-02-08 2004-11-18 로베르트 보쉬 게엠베하 광학 각도 및 토크 센서
JP3967935B2 (ja) * 2002-02-25 2007-08-29 株式会社日立製作所 合わせ精度計測装置及びその方法
US6677167B2 (en) * 2002-03-04 2004-01-13 Hitachi High-Technologies Corporation Wafer processing apparatus and a wafer stage and a wafer processing method
US20030183337A1 (en) * 2002-03-28 2003-10-02 James Fordemwalt Apparatus and method for use of optical diagnostic system with a plasma processing system
KR101013347B1 (ko) * 2002-04-09 2011-02-10 가부시키가이샤 니콘 노광방법, 노광장치, 및 디바이스 제조방법
KR100461024B1 (ko) 2002-04-15 2004-12-13 주식회사 이오테크닉스 칩 스케일 마커 및 마킹 방법
JP2004072076A (ja) * 2002-06-10 2004-03-04 Nikon Corp 露光装置及びステージ装置、並びにデバイス製造方法
KR100855038B1 (ko) * 2002-06-28 2008-08-29 매그나칩 반도체 유한회사 미세패턴 형성을 위한 노광장치
EP1396757A3 (en) * 2002-09-06 2008-12-17 ASML Holding N.V. Reticle focus measurement system and method using multiple interferometric beams
US6934005B2 (en) * 2002-09-06 2005-08-23 Asml Holding N.V. Reticle focus measurement method using multiple interferometric beams
US6744058B1 (en) * 2002-12-20 2004-06-01 Taiwan Semiconductor Manufacturing Co., Ltd Geometric compensation method for charged particle beam irradiation
US20040119436A1 (en) * 2002-12-23 2004-06-24 Michael Binnard Method and apparatus for reducing countermass stroke with initial velocity
JP3785141B2 (ja) * 2002-12-27 2006-06-14 株式会社東芝 荷電粒子ビーム描画装置の縮小率測定方法、荷電粒子ビーム描画装置のステージ位相測定方法、荷電粒子ビーム描画装置の制御方法、及び荷電粒子ビーム描画装置
KR100575230B1 (ko) * 2002-12-28 2006-05-02 엘지.필립스 엘시디 주식회사 노광 장치를 이용한 노광 방법
EP1457834A3 (en) * 2003-03-14 2008-10-29 Canon Kabushiki Kaisha Positioning apparatus, exposure apparatus and method for producing device
US6765734B1 (en) * 2003-03-14 2004-07-20 Hinds Instruments, Inc. Adjustable sample holder for optical equipment
SG194264A1 (en) 2003-04-11 2013-11-29 Nikon Corp Apparatus having an immersion fluid system configured to maintain immersion fluid in a gap adjacent an optical assembly
TW201515064A (zh) * 2003-05-23 2015-04-16 尼康股份有限公司 曝光方法及曝光裝置以及元件製造方法
US7060990B2 (en) * 2003-06-16 2006-06-13 Sumitomo Heavy Industries, Ltd. Stage base, substrate processing apparatus, and maintenance method for stage
TWI515769B (zh) 2003-06-19 2016-01-01 尼康股份有限公司 An exposure apparatus, an exposure method, and an element manufacturing method
US7911584B2 (en) * 2003-07-30 2011-03-22 Carl Zeiss Smt Gmbh Illumination system for microlithography
DE10335321A1 (de) * 2003-08-01 2005-03-03 Siemens Ag Betriebsverfahren für eine medizintechnische Anlage, insbesondere eine Röntgenanlage
KR101475995B1 (ko) * 2003-08-21 2014-12-23 가부시키가이샤 니콘 노광 장치, 노광 방법 및 디바이스 제조 방법
US7589822B2 (en) 2004-02-02 2009-09-15 Nikon Corporation Stage drive method and stage unit, exposure apparatus, and device manufacturing method
US7102729B2 (en) * 2004-02-03 2006-09-05 Asml Netherlands B.V. Lithographic apparatus, measurement system, and device manufacturing method
US7670758B2 (en) * 2004-04-15 2010-03-02 Api Nanofabrication And Research Corporation Optical films and methods of making the same
US20050275944A1 (en) * 2004-06-11 2005-12-15 Wang Jian J Optical films and methods of making the same
US8289384B2 (en) * 2004-06-07 2012-10-16 Nuflare Technology, Inc. Electron beam displacement measuring method, electron beam displacement measuring device, and electron beam recording apparatus
US20060001969A1 (en) * 2004-07-02 2006-01-05 Nanoopto Corporation Gratings, related optical devices and systems, and methods of making such gratings
KR100712115B1 (ko) * 2004-09-21 2007-04-27 삼성에스디아이 주식회사 레이저 조사 장치 및 그를 이용한 유기 전계 발광 소자의제조 방법
JP4041109B2 (ja) * 2004-09-27 2008-01-30 株式会社東芝 荷電粒子ビーム処理装置
US7388663B2 (en) 2004-10-28 2008-06-17 Asml Netherlands B.V. Optical position assessment apparatus and method
US7411657B2 (en) * 2004-11-17 2008-08-12 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7436524B2 (en) * 2004-11-26 2008-10-14 Olympus Corporation Apparatus and method for three-dimensional measurement and program for allowing computer to execute method for three-dimensional measurement
US20060127830A1 (en) * 2004-12-15 2006-06-15 Xuegong Deng Structures for polarization and beam control
US7619816B2 (en) * 2004-12-15 2009-11-17 Api Nanofabrication And Research Corp. Structures for polarization and beam control
TWI402890B (zh) * 2005-01-24 2013-07-21 尼康股份有限公司 Measurement methods, measurement systems, inspection methods, inspection systems, exposure methods and exposure systems
KR101494115B1 (ko) * 2005-03-29 2015-02-16 가부시키가이샤 니콘 노광 장치, 노광 장치의 제조 방법 및 마이크로 디바이스 제조 방법
US8693006B2 (en) 2005-06-28 2014-04-08 Nikon Corporation Reflector, optical element, interferometer system, stage device, exposure apparatus, and device fabricating method
US7679029B2 (en) * 2005-10-28 2010-03-16 Cymer, Inc. Systems and methods to shape laser light as a line beam for interaction with a substrate having surface variations
US20070165308A1 (en) * 2005-12-15 2007-07-19 Jian Wang Optical retarders and methods of making the same
US20070139771A1 (en) * 2005-12-15 2007-06-21 Jian Wang Optical retarders and methods of making the same
JP4801996B2 (ja) * 2006-01-05 2011-10-26 株式会社ニューフレアテクノロジー 試料移動機構及び荷電粒子ビーム描画装置
EP2752714B8 (en) * 2006-01-19 2015-10-28 Nikon Corporation Exposure apparatus and exposure method
TWI292031B (en) * 2006-02-10 2008-01-01 Ind Tech Res Inst Dimension measuring method and optical measuring system implemented with the method
US20070217008A1 (en) * 2006-03-17 2007-09-20 Wang Jian J Polarizer films and methods of making the same
KR20180085820A (ko) * 2006-09-01 2018-07-27 가부시키가이샤 니콘 이동체 구동 방법 및 이동체 구동 시스템, 패턴 형성 방법 및 장치, 노광 방법 및 장치, 디바이스 제조 방법, 그리고 캘리브레이션 방법
US7834980B2 (en) * 2006-12-21 2010-11-16 Asml Netherlands B. V. Lithographic apparatus and method
US7746465B2 (en) * 2007-01-18 2010-06-29 Hinds Instruments, Inc. Sample holder for an optical element
TWI407244B (zh) * 2007-08-03 2013-09-01 Hon Hai Prec Ind Co Ltd X射線成像設備
DE502007002871D1 (de) * 2007-08-07 2010-04-01 Micronas Gmbh Positioniereinrichtung zum Positionieren einer Blende in einem lonenstrahl
KR100853801B1 (ko) * 2007-08-23 2008-08-25 주식회사 동부하이텍 반도체 소자의 마스크 및 그를 이용한 패터닝 방법
KR20090062027A (ko) * 2007-12-12 2009-06-17 삼성전기주식회사 3차원자세측정장치 및 이를 이용한 3차원자세측정방법
JP2009236819A (ja) * 2008-03-28 2009-10-15 Topcon Corp 光学装置、フォトマスク検査装置および露光装置
DE102008048660B4 (de) * 2008-09-22 2015-06-18 Carl Zeiss Sms Gmbh Verfahren und Vorrichtung zur Vermessung von Strukturen auf Photolithographiemasken
US8120304B2 (en) * 2008-12-12 2012-02-21 Formfactor, Inc. Method for improving motion times of a stage
JP5369720B2 (ja) * 2009-01-29 2013-12-18 三洋電機株式会社 観察装置、観察システム、制御装置、および制御プログラム
US8422026B2 (en) * 2009-06-15 2013-04-16 Artur G. Olszak Spectrally controllable light sources in interferometry
US8488109B2 (en) * 2009-08-25 2013-07-16 Nikon Corporation Exposure method, exposure apparatus, and device manufacturing method
JP4939583B2 (ja) * 2009-09-09 2012-05-30 日東電工株式会社 回路付きサスペンション基板集合体シートおよびその製造方法
US9911781B2 (en) 2009-09-17 2018-03-06 Sionyx, Llc Photosensitive imaging devices and associated methods
US9673243B2 (en) 2009-09-17 2017-06-06 Sionyx, Llc Photosensitive imaging devices and associated methods
JP5294489B2 (ja) * 2009-12-14 2013-09-18 株式会社ブイ・テクノロジー 露光方法及び露光装置
US8488106B2 (en) * 2009-12-28 2013-07-16 Nikon Corporation Movable body drive method, movable body apparatus, exposure method, exposure apparatus, and device manufacturing method
US8692198B2 (en) 2010-04-21 2014-04-08 Sionyx, Inc. Photosensitive imaging devices and associated methods
CN106449684B (zh) 2010-06-18 2019-09-27 西奥尼克斯公司 高速光敏设备及相关方法
JPWO2012081234A1 (ja) 2010-12-14 2014-05-22 株式会社ニコン 露光方法及び露光装置、並びにデバイス製造方法
US8575791B2 (en) * 2010-12-17 2013-11-05 National Formosa University Manufacturing-process equipment
WO2012134290A1 (en) 2011-03-30 2012-10-04 Mapper Lithography Ip B.V. Lithography system with differential interferometer module
US9496308B2 (en) 2011-06-09 2016-11-15 Sionyx, Llc Process module for increasing the response of backside illuminated photosensitive imagers and associated methods
EP2732402A2 (en) 2011-07-13 2014-05-21 Sionyx, Inc. Biometric imaging devices and associated methods
JP2013042114A (ja) * 2011-07-19 2013-02-28 Canon Inc 描画装置、及び、物品の製造方法
NL2009197A (en) * 2011-08-25 2013-02-27 Asml Netherlands Bv System for detection motion, lithographic apparatus and device manufacturing method.
US20150176973A1 (en) * 2011-12-09 2015-06-25 Kla-Tencor Corporation A dual interferometer system with a short reference flat distance for wafer shape and thickness variation measurement
JP5971965B2 (ja) * 2012-02-07 2016-08-17 キヤノン株式会社 面形状計測方法、面形状計測装置、プログラム、および、光学素子の製造方法
CN103246170B (zh) * 2012-02-09 2015-07-08 中芯国际集成电路制造(上海)有限公司 曝光装置及曝光方法
US9064764B2 (en) 2012-03-22 2015-06-23 Sionyx, Inc. Pixel isolation elements, devices, and associated methods
TWI582837B (zh) * 2012-06-11 2017-05-11 應用材料股份有限公司 在脈衝式雷射退火中使用紅外線干涉技術之熔化深度測定
DE102012210071A1 (de) * 2012-06-15 2013-12-19 Carl Zeiss Smt Gmbh Projektionsbelichtungsanlage sowie Verfahren zum Steuern einer Projektionsbelichtungsanlage
US20140154891A1 (en) * 2012-08-22 2014-06-05 Sionyx, Inc. Beam Delivery Systems for Laser Processing Materials and Associated Methods
WO2014051431A1 (en) 2012-09-27 2014-04-03 Mapper Lithography Ip B.V. Multi-axis differential interferometer
KR20150130303A (ko) 2013-02-15 2015-11-23 사이오닉스, 아이엔씨. 안티 블루밍 특성 및 관련 방법을 가지는 높은 동적 범위의 cmos 이미지 센서
KR20140114500A (ko) 2013-03-14 2014-09-29 삼성전자주식회사 스테이지 장치 및 이의 구동 방법
WO2014151093A1 (en) 2013-03-15 2014-09-25 Sionyx, Inc. Three dimensional imaging utilizing stacked imager devices and associated methods
US9209345B2 (en) 2013-06-29 2015-12-08 Sionyx, Inc. Shallow trench textured regions and associated methods
US10732372B2 (en) * 2015-04-24 2020-08-04 Commscope, Inc. Of North Carolina Shelf for communications rack or cabinet
JP6233538B2 (ja) * 2016-03-23 2017-11-22 旭硝子株式会社 マスクブランク用基板およびマスクブランク
EP3589998B1 (en) * 2017-03-03 2023-08-23 Apton Biosystems, Inc. High speed scanning system with acceleration tracking
WO2019044489A1 (ja) 2017-08-28 2019-03-07 キヤノン株式会社 駆動装置および撮像装置の制御方法
US10600614B2 (en) * 2017-09-29 2020-03-24 Hitachi High-Technologies Corporation Stage device and charged particle beam device
WO2019185298A1 (en) * 2018-03-29 2019-10-03 Asml Netherlands B.V. Position measurement system, interferometer system and lithographic apparatus
WO2021168359A1 (en) 2020-02-21 2021-08-26 Onto Innovation, Inc. System and method for correcting overlay errors in a lithographic process
US11226194B1 (en) 2020-06-25 2022-01-18 International Business Machines Corporation Apparatus and method for measuring distance between fiducial features, such as magnetic transducers, to an accuracy of within one nanometer
KR20220044016A (ko) 2020-09-29 2022-04-06 삼성전자주식회사 극자외선(euv) 포토마스크 및 이를 이용한 반도체 장치 제조 방법
TW202217929A (zh) * 2020-10-09 2022-05-01 日商東京威力科創股份有限公司 基板處理方法、基板處理裝置及記錄媒體
US11556065B2 (en) * 2021-04-29 2023-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer stage and method thereof
KR102590798B1 (ko) * 2023-04-05 2023-10-19 한국기계연구원 리소그래피 장치 및 방법

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05121294A (ja) * 1991-03-07 1993-05-18 Philips Gloeilampenfab:Nv 力が補償されるマシンフレームを有する光リソグラフ装置
JPH06291019A (ja) * 1993-04-02 1994-10-18 Nikon Corp ステージ駆動方法
JPH08241845A (ja) * 1995-03-06 1996-09-17 Nikon Corp 投影露光方法
JPH09190224A (ja) * 1996-01-08 1997-07-22 Canon Inc Xyステージ制御装置
JPH09251955A (ja) * 1996-01-08 1997-09-22 Canon Inc 露光方法および装置、ならびにデバイス製造方法
JPH10116779A (ja) * 1996-10-11 1998-05-06 Nikon Corp ステージ装置

Family Cites Families (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60123716A (ja) * 1983-12-08 1985-07-02 Toshiba Corp Xyステ−ジのxy交差角測定方法及びその測定装置
US4780617A (en) 1984-08-09 1988-10-25 Nippon Kogaku K.K. Method for successive alignment of chip patterns on a substrate
JPS6145988A (ja) * 1984-08-09 1986-03-06 キヤノン株式会社 防振構造
JPS62108185A (ja) 1985-11-06 1987-05-19 大日本スクリ−ン製造株式会社 移動テ−ブル装置
JPS62150106A (ja) 1985-12-25 1987-07-04 Nippon Kogaku Kk <Nikon> 位置検出装置
JPS6347926A (ja) 1986-08-18 1988-02-29 Hitachi Ltd 半導体露光方法
US5307207A (en) 1988-03-16 1994-04-26 Nikon Corporation Illuminating optical apparatus
US4924257A (en) 1988-10-05 1990-05-08 Kantilal Jain Scan and repeat high resolution projection lithography system
EP0393994B1 (en) 1989-04-17 1994-06-15 SHARP Corporation A linear driving apparatus
US5151749A (en) * 1989-06-08 1992-09-29 Nikon Corporation Method of and apparatus for measuring coordinate position and positioning an object
US5220454A (en) 1990-03-30 1993-06-15 Nikon Corporation Cata-dioptric reduction projection optical system
JP2691319B2 (ja) 1990-11-28 1997-12-17 株式会社ニコン 投影露光装置および走査露光方法
US5473410A (en) 1990-11-28 1995-12-05 Nikon Corporation Projection exposure apparatus
JP2830492B2 (ja) 1991-03-06 1998-12-02 株式会社ニコン 投影露光装置及び投影露光方法
JP3084773B2 (ja) 1991-04-04 2000-09-04 株式会社ニコン 走査露光装置
US5506684A (en) 1991-04-04 1996-04-09 Nikon Corporation Projection scanning exposure apparatus with synchronous mask/wafer alignment system
US5227839A (en) * 1991-06-24 1993-07-13 Etec Systems, Inc. Small field scanner
JPH0540184A (ja) 1991-08-07 1993-02-19 Nikon Corp ステージ位置検出装置
DE69322983T2 (de) 1992-02-21 1999-07-15 Canon Kk System zum Steuern von Trägerplatten
JP3412704B2 (ja) 1993-02-26 2003-06-03 株式会社ニコン 投影露光方法及び装置、並びに露光装置
JP3747951B2 (ja) 1994-11-07 2006-02-22 株式会社ニコン 反射屈折光学系
US5464715A (en) * 1993-04-02 1995-11-07 Nikon Corporation Method of driving mask stage and method of mask alignment
US5534970A (en) 1993-06-11 1996-07-09 Nikon Corporation Scanning exposure apparatus
JP3401769B2 (ja) 1993-12-28 2003-04-28 株式会社ニコン 露光方法、ステージ装置、及び露光装置
US5715064A (en) 1994-06-17 1998-02-03 International Business Machines Corporation Step and repeat apparatus having enhanced accuracy and increased throughput
JP3800616B2 (ja) * 1994-06-27 2006-07-26 株式会社ニコン 目標物移動装置、位置決め装置及び可動ステージ装置
US6246204B1 (en) 1994-06-27 2001-06-12 Nikon Corporation Electromagnetic alignment and scanning apparatus
JP3893626B2 (ja) 1995-01-25 2007-03-14 株式会社ニコン 投影光学装置の調整方法、投影光学装置、露光装置及び露光方法
US5677758A (en) 1995-02-09 1997-10-14 Mrs Technology, Inc. Lithography System using dual substrate stages
DE69608204T2 (de) 1995-05-30 2001-01-04 Asm Lithography Bv Lithographisches gerät mit einem sowie horizontal als auch vertikal justierbaren maskenhalter
JP3629772B2 (ja) * 1995-09-05 2005-03-16 株式会社ニコン 除振装置、ステージ装置、露光装置及び走査型露光装置
JPH09120224A (ja) * 1995-10-25 1997-05-06 Ricoh Co Ltd 定着装置
EP0785571B1 (en) * 1996-01-08 2000-10-18 Canon Kabushiki Kaisha Exposure method and apparatus therefore
JPH09320933A (ja) * 1996-05-28 1997-12-12 Nikon Corp 走査型露光装置
JP3659529B2 (ja) 1996-06-06 2005-06-15 キヤノン株式会社 露光装置およびデバイス製造方法
CN1244020C (zh) 1996-11-28 2006-03-01 株式会社尼康 曝光装置
KR100512450B1 (ko) 1996-12-24 2006-01-27 에이에스엠엘 네델란즈 비.브이. 두개의물체홀더를가진이차원적으로안정화된위치설정장치와이런위치설정장치를구비한리소그래픽장치
US5815246A (en) 1996-12-24 1998-09-29 U.S. Philips Corporation Two-dimensionally balanced positioning device, and lithographic device provided with such a positioning device
JP3626504B2 (ja) 1997-03-10 2005-03-09 アーエスエム リソグラフィ ベスローテン フェンノートシャップ 2個の物品ホルダを有する位置決め装置
US6028376A (en) 1997-04-22 2000-02-22 Canon Kabushiki Kaisha Positioning apparatus and exposure apparatus using the same
US5959427A (en) 1998-03-04 1999-09-28 Nikon Corporation Method and apparatus for compensating for reaction forces in a stage assembly

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05121294A (ja) * 1991-03-07 1993-05-18 Philips Gloeilampenfab:Nv 力が補償されるマシンフレームを有する光リソグラフ装置
JPH06291019A (ja) * 1993-04-02 1994-10-18 Nikon Corp ステージ駆動方法
JPH08241845A (ja) * 1995-03-06 1996-09-17 Nikon Corp 投影露光方法
JPH09190224A (ja) * 1996-01-08 1997-07-22 Canon Inc Xyステージ制御装置
JPH09251955A (ja) * 1996-01-08 1997-09-22 Canon Inc 露光方法および装置、ならびにデバイス製造方法
JPH10116779A (ja) * 1996-10-11 1998-05-06 Nikon Corp ステージ装置

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See also references of EP1028456A4 *

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6603562B1 (en) * 1999-10-29 2003-08-05 Yokogawa Electric Corporation Two-dimensional positioning apparatus and method for measuring laser light from the apparatus

Also Published As

Publication number Publication date
EP1028456A4 (en) 2003-03-05
TW408364B (en) 2000-10-11
US6331885B1 (en) 2001-12-18
KR100521704B1 (ko) 2005-10-14
AU9095798A (en) 1999-04-12
IL135139A0 (en) 2001-05-20
US20020018192A1 (en) 2002-02-14
US20030128348A1 (en) 2003-07-10
EP1028456A1 (en) 2000-08-16
US6906782B2 (en) 2005-06-14
KR20010024070A (ko) 2001-03-26

Similar Documents

Publication Publication Date Title
WO1999016113A1 (fr) Platine, dispositif d&#39;alignement de balayage et procede d&#39;exposition de balayage, et dispositif fabrique par ce moyen
US6894763B2 (en) Exposure apparatus and methods utilizing plural mask and object stages movable in opposite directions, and methods of producing devices using the same
JP4029183B2 (ja) 投影露光装置及び投影露光方法
JP5804299B2 (ja) 露光装置及び露光方法、並びにデバイス製造方法
US7068350B2 (en) Exposure apparatus and stage device, and device manufacturing method
JP4345098B2 (ja) 露光装置及び露光方法、並びにデバイス製造方法
JP4029180B2 (ja) 投影露光装置及び投影露光方法
US20010055117A1 (en) Alignment method, exposure method, exposure apparatus and device manufacturing method
US20080094594A1 (en) Movable body system, pattern formation apparatus, exposure apparatus and exposure method, and device manufacturing method
JP2000106340A (ja) 露光装置及び走査露光方法、並びにステージ装置
KR20010033118A (ko) 스테이지 장치 및 노광장치
KR101070202B1 (ko) 계측방법, 전사특성 계측방법, 노광장치의 조정방법 및디바이스 제조방법
JP4029181B2 (ja) 投影露光装置
JP5455166B2 (ja) 露光方法及び露光装置、並びにデバイス製造方法
WO2000067302A1 (fr) Procede d&#39;exposition, dispositif d&#39;exposition, systeme d&#39;exposition, masque et procede de fabrication de composants
JPH11224854A (ja) 露光装置及び露光方法、並びにデバイス製造方法
JPWO2004012245A1 (ja) 位置計測方法、位置制御方法、露光方法及び露光装置、並びにデバイス製造方法
JP4078683B2 (ja) 投影露光装置及び投影露光方法並びに走査露光方法
JP2004241666A (ja) 計測方法及び露光方法
JPWO2002047132A1 (ja) X線投影露光装置およびx線投影露光方法および半導体デバイス
JP4029360B2 (ja) 投影露光装置及び投影露光方法並びに走査露光方法
JP2002246287A (ja) 露光方法及び装置、並びにデバイス製造方法
JPH11214302A (ja) 走査型露光装置及び走査露光方法
JPH11168063A (ja) ステージ装置、走査型露光装置及び露光方法
JP2003173960A (ja) 露光装置

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 135139

Country of ref document: IL

AK Designated states

Kind code of ref document: A1

Designated state(s): AL AU BA BB BG BR CA CN CU CZ EE GE HR HU ID IL IS KR LC LK LR LT LV MG MK MN MX NO NZ PL RO SG SI SK SL TR TT UA US UZ VN YU

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): GH GM KE LS MW SD SZ UG ZW AM AZ BY KG KZ MD RU TJ TM AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE BF BJ CF CG CI CM GA GN GW ML MR NE SN TD TG

DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 09525732

Country of ref document: US

WWE Wipo information: entry into national phase

Ref document number: 1020007002815

Country of ref document: KR

WWE Wipo information: entry into national phase

Ref document number: 1998943052

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 1998943052

Country of ref document: EP

NENP Non-entry into the national phase

Ref country code: CA

WWP Wipo information: published in national office

Ref document number: 1020007002815

Country of ref document: KR

WWW Wipo information: withdrawn in national office

Ref document number: 1998943052

Country of ref document: EP

WWG Wipo information: grant in national office

Ref document number: 1020007002815

Country of ref document: KR