WO1999010918A1 - Process of isolation in integrated circuit fabrication, using an antireflective coating - Google Patents

Process of isolation in integrated circuit fabrication, using an antireflective coating Download PDF

Info

Publication number
WO1999010918A1
WO1999010918A1 PCT/US1998/017267 US9817267W WO9910918A1 WO 1999010918 A1 WO1999010918 A1 WO 1999010918A1 US 9817267 W US9817267 W US 9817267W WO 9910918 A1 WO9910918 A1 WO 9910918A1
Authority
WO
WIPO (PCT)
Prior art keywords
layer
forming
diffusion barrier
silicon
oxidation diffusion
Prior art date
Application number
PCT/US1998/017267
Other languages
French (fr)
Inventor
Ravi Iyer
Steven M. Mcdonald
Thomas R. Glass
Zhiping Yin
Original Assignee
Micron Technology, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology, Inc. filed Critical Micron Technology, Inc.
Priority to JP2000508135A priority Critical patent/JP3978310B2/en
Priority to EP98943267A priority patent/EP1019951A1/en
Priority to AU91097/98A priority patent/AU9109798A/en
Publication of WO1999010918A1 publication Critical patent/WO1999010918A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/092Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by backside coating or layers, by lubricating-slip layers or means, by oxygen barrier layers or by stripping-release layers or means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76202Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using a local oxidation of silicon, e.g. LOCOS, SWAMI, SILO
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24355Continuous and nonuniform or irregular surface on layer or component [e.g., roofing, etc.]
    • Y10T428/24471Crackled, crazed or slit
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24802Discontinuous or differential coating, impregnation or bond [e.g., artwork, printing, retouched photograph, etc.]
    • Y10T428/24917Discontinuous or differential coating, impregnation or bond [e.g., artwork, printing, retouched photograph, etc.] including metal layer

Definitions

  • the present invention relates to the fabrication of integrated circuits. 5 More particularly, the present invention relates to the use of antireflective layers in isolation processes.
  • ICs semiconductor integrated circuits
  • Photolithography involves reproducing an image from an optical mask in a layer of photoresist that is supported by underlying layers of a semiconductor substrate assembly.
  • Photolithography is one of the most complicated and critical processes in the fabrication of ICs. The ability to reproduce precise images in a photoresist is
  • an optical mask is positioned between a radiation source and the photoresist layer on the underlying layers.
  • the radiation source can be, for example, visible light or ultraviolet radiation. Then, the image is reproduced by exposing the photoresist to radiation through the optical
  • Portions of the mask contain an opaque layer, such as, for example, chromium, that prevents exposure of the underlying photoresist. Remaining portions of the mask are transparent, allowing exposure of the underlying photoresist.
  • the layers underlying the photoresist layer generally include one or
  • a patterned photoresist layer is able to be formed on underlying layers.
  • One of the problems experienced with conventional optical photolithography is the difficulty of obtaining uniform exposure of photoresist underlying transparent portions of the mask. It is desired that the light intensity exposing the photoresist be uniform to obtain optimum results.
  • the photoresist When sufficiently thick layers of photoresist are used, the photoresist must be (or become) partially transparent upon exposure, so that photoresist at the surface of underlying layers is exposed to a substantially similar extent as the photoresist at the outer surface. Often, however, light that penetrates the photoresist is reflected back toward the light source from the surface of the underlying layers of the substrate assembly. The angle at which the light is reflected is dependent on the topography of the surface of the underlying layers and the type of material of the underlying layers. The reflected light intensity can vary in the photoresist throughout its depth or partially though its depth, leading to nonuniform exposure and undesirable exposure of the photoresist. Such exposure of the photoresist can lead to poorly controlled features (e.g., gates, metal lines, etc.) of the IC.
  • poorly controlled features e.g., gates, metal lines, etc.
  • antireflective coatings have been utilized between the underlying layers of a substrate assembly and the photoresist layer or between the photoresist layer and the radiation source. Such antireflective coatings minimize photoresist exposure from surface reflections, allowing exposure across a photoresist layer to be controlled more easily from the radiation incident on the photoresist from the radiation source.
  • antireflective coatings are organic materials. Organic layers can, however, lead to particle contamination in the integrated circuit (IC) due to the incomplete removal of organic material from the underlying layers after the photolithography step is performed. Such particle contamination can potentially be detrimental to the electrical performance of the IC.
  • the underlying layers upon which the organic materials are formed may be uneven resulting in different thicknesses of the organic material used as the antireflective coating, e.g., thicker regions of the organic material may be present at various locations of the underlying layers. As such, when attempting to remove such organic material, if the etch is stopped when the underlying layers are reached, then some organic material may be left. If the etch is allowed to progress to etch the additional thickness in such regions or locations, then the underlying layers may be undesirable etched (e.g., punchthrough of an underlying layer may occur).
  • Inorganic antireflective layers have also recently been introduced. For example, silicon-rich silicon dioxide, silicon-rich nitride, and silicon-rich oxynitride have been utilized as inorganic antireflective layers. Such inorganic antireflective layers have been utilized, for example, in the patterning of metal lines and polysilicon gates.
  • the photoresist can act as an implantation barrier during an implant step.
  • the photoresist can also be utilized to define the outer perimeter of an area (e.g., a contact hole) that is etched in the substrate or individual layers therein. Once again, the photoresist acts as a barrier during the etching process.
  • One common photolithographic process involves utilizing the patterned photoresist layer over a pad oxide layer and silicon nitride layer on a supporting substrate.
  • the pad oxide layer is utilized as a stress buffer due to the volumetric increase of adjacent growing oxide and the large difference in thermal expansion coefficients of the silicon wafer and the silicon nitride layer that are problematic during subsequent thermal oxidation.
  • the patterned photoresist layer is utilized to selectively remove the pad oxide layer and silicon nitride layer (e.g., LPCVD silicon nitride) in field regions of a substrate.
  • the patterned photoresist layer is removed. Then, the field regions of the substrate are oxidized, for example, using a wet oxidation process, to form field oxide in the field regions.
  • the silicon nitride layer acts as a barrier to oxygen diffusion, preventing oxidation in underlying active regions. This technique is well known as the LOCOS (Local Oxidation of Silicon) process.
  • LOCOS Local Oxidation of Silicon
  • One recurring problem, however, with the LOCOS process is encroachment of field oxide under the edges of the silicon nitride in the active regions. This is often referred to as the "bird's beak" phenomenon. As device density increases, the bird's beak problem becomes more problematic because the active region containing the bird's beak is essentially unusable for the fabrication of devices.
  • trench isolation Another well known electrical isolation technique is trench isolation.
  • trench isolation a trench is etched in the substrate and then filled with deposited oxide.
  • Trench isolation is referred to as shallow trench isolation (STI) or deep trench isolation (DTI), depending on the depth of the trench etched in the substrate.
  • STI shallow trench isolation
  • DTI deep trench isolation
  • the oxide is deposited to fill the trench, it is patterned so that the oxide is removed from areas of the substrate outside of the trench etched in the substrate.
  • Conventional photolithography is utilized to pattern the oxide.
  • isolation regions for integrated circuits that are well-controlled.
  • One way in which such processes can be better controlled is through improvement of the photolithographic steps used therein. For example, it is desirable to obtain a uniform level of exposure of photoresist used in patterning steps.
  • the present invention provides various methods and structures using inorganic antireflective layers.
  • a method of forming an oxidation diffusion barrier stack for use in fabrication of integrated circuits in accordance with the present invention includes providing a semiconductor substrate assembly and forming an inorganic antireflective material layer on the semiconductor substrate assembly. An oxidation diffusion barrier layer is then formed on the inorganic antireflective material layer.
  • Another method of forming an oxidation diffusion barrier stack for use in the fabrication of integrated circuits in accordance with the present invention includes providing a semiconductor substrate assembly and forming a pad oxide layer on the semiconductor substrate assembly. An inorganic antireflective material layer is then formed on the pad oxide layer and an oxidation diffusion barrier layer is formed on the antireflective material layer.
  • the semiconductor substrate assembly is a silicon substrate;
  • the antireflective material layer includes a layer of material selected from the group of silicon nitride, silicon oxide, and silicon oxynitride;
  • the antireflective material layer is a silicon-rich layer;
  • the antireflective material has an index of refraction of about 1.7 to about 3.0;
  • the antireflective material layer has an absorptive coefficient of about 0.2 to about 2.0;
  • the oxidation diffusion barrier layers may be layers of silicon nitride or silicon oxynitride.
  • a method for use in forming isolation for an integrated circuit includes providing a semiconductor substrate and forming an oxidation diffusion barrier stack on the semiconductor substrate.
  • the oxidation diffusion barrier stack includes an inorganic antireflective material layer.
  • the oxidation diffusion barrier stack is patterned resulting in exposed regions of the semiconductor substrate and the exposed regions of the semiconductor substrate are oxidized.
  • An oxidation diffusion barrier stack in accordance with the present invention includes an inorganic antireflective material layer formed on a semiconductor substrate assembly and an oxidation diffusion barrier layer formed on the inorganic antireflective material layer.
  • Another oxidation diffusion barrier stack in accordance with the present invention includes a pad oxide layer formed on a semiconductor substrate, an oxidation diffusion barrier layer, and an inorganic antireflective material layered between the pad oxide and the oxidation diffusion barrier layer.
  • Yet another oxidation diffusion barrier stack in accordance with the present invention includes a first oxidation diffusion barrier layer, a second oxidation diffusion barrier layer, and an inorganic antireflective material layered between the first and second oxidation diffusion barrier layers.
  • the inorganic antireflective material may be selected from the group of silicon-rich silicon oxide, silicon-rich silicon nitride, and silicon-rich silicon oxynitride; and/or oxidation diffusion barrier layers may be silicon nitride layers or silicon oxynitride layers.
  • Figure 1 A is a cross-sectional representation of a pad oxide layer supported by a semiconductor substrate.
  • Figure IB is a cross-sectional representation of the structure of Fig. 1 A, wherein an inorganic antireflective coating is formed thereon in accordance with the present invention.
  • Figure IC is a cross-sectional representation of the structure of Fig. IB, wherein a silicon nitride is formed thereon.
  • Figure ID is a cross-sectional representation of the structure of Fig. IC, wherein a photoresist layer is formed thereon to pattern the underlying material.
  • Figure IE is a cross-sectional representation of the structure of Fig.
  • Figure IF is a cross-sectional representation of the structure of Fig. IE, wherein field oxide is formed in field regions of the substrate between the patterned stack.
  • Figure 2A is a cross-sectional representation of a pad oxide layer supported by a semiconductor substrate.
  • Figure 2B is a cross-sectional representation of the structure of Fig. 2A, wherein a first silicon nitride layer is formed thereon.
  • Figure 2C is a cross-sectional representation of the structure of Fig.
  • Figure 2D is a cross-sectional representation of the structure of Fig. 2C, wherein a second silicon nitride layer is formed thereon.
  • Figure 2E is a cross-sectional representation of the structure of Fig. 2D, wherein a photoresist layer is formed thereon to pattern the underlying material.
  • Figure 2F is a cross-sectional representation of the structure of Fig. 2E, wherein the underlying stack of second silicon nitride, antireflective, first silicon nitride and pad oxide layers have been patterned.
  • Figure 2G is a cross-sectional representation of the structure of Fig. 2F, wherein field oxide is formed in field regions of the substrate between the patterned stack.
  • Figure 3 A is a cross-sectional representation of an antireflective layer supported by a semiconductor substrate.
  • Figure 3B is a cross-sectional representation of the structure of Fig. 3A, wherein a silicon nitride layer is formed thereon.
  • Figure 3C is a cross-sectional representation of the structure of Fig. 3B, wherein a photoresist layer is formed thereon to pattern the underlying material.
  • Figure 3D is a cross-sectional representation of the structure of Fig. 3C, wherein the underlying stack of antireflective coating and silicon nitride layers have been patterned.
  • Figure 3E is a cross-sectional representation of the structure of Fig. 3D, wherein field oxide is formed in field regions of the substrate between the patterned stack.
  • FIGS. 4A and 4B are cross-sectional representations of a method of trench isolation in accordance with the present invention. Detailed Description of the Embodiments
  • an antireflective coating is used.
  • ARC antireflective coating
  • Using an ARC results in uniform exposure to photoresist which has been formed on underlying layers of a substrate assembly.
  • well-defined patterns are able to be reproduced in the photoresist.
  • Forming well-defined patterns in the photoresist leads to well-defined patterning of underlying material. As device density is increasing, such precise definition is becoming increasingly important.
  • substrate assembly includes a wide variety of semiconductor-based structures, including but not limited to a semiconductor substrate and a semiconductor substrate having one or more layers, regions formed thereon or therein.
  • Semiconductor substrates can be a single layer of material, such as a silicon wafer or is understood to include silicon-on- sapphire (SOS) technology, silicon-on-insulator (SOI) technology, doped .and undoped semiconductors, epitaxial layers of silicon supported by a base semiconductor, as well as other semiconductor substrate structures.
  • SOS silicon-on- sapphire
  • SOI silicon-on-insulator
  • doped .and undoped semiconductors epitaxial layers of silicon supported by a base semiconductor, as well as other semiconductor substrate structures.
  • various process steps may have been utilized to form regions/junctions in a semiconductor substrate or may have been used to form one or more layers or regions of material relative to the substrate.
  • ARCs according to the present invention are preferably inorganic. Such preferred inorganic ARCs are formed between a photoresist layer and underlying layers for use in photolithography.
  • Suitable ARC materials according to the present invention include a nonstoichiometric silicon-rich oxide, silicon-rich nitride, and silicon-rich oxynitride.
  • a preferred chemical formula of the silicon-rich oxide is SiO x , where x is in the range of about 0.3 to about 1.9.
  • a preferred chemical formula of the silicon-rich nitride is SiN y , where y is in the range of about 0.2 to about 1.0.
  • a preferred chemical formula of the silicon-rich oxynitride is SiO x N y , where x is in the range of about 0.2 to about 1.9 and y is in the range of about .01 to about 1.0.
  • suitable ARC materials generally have an index of refraction of about 1.7 to about 3.0 and an absorptive coefficient of about 0.2 to about 2.0 at a wavelength of about 248 nanometers.
  • the index of refraction is about 2.0 to about 2.7 and the absorptive coefficient is about 0.4 to about 1.5 at a wavelength of about 248 nanometers.
  • the absorptive coefficient needed depends on the index of refraction and the absorptive coefficient of the photoresist and other underlying layers of the substrate assembly upon which the photoresist is formed, as well as the dimensions of the underlying substrate assembly features and layers.
  • the index of refraction and the absorptive coefficient of the ARC material typically increase as well. For example, this is generally the case for a wavelength of about 365 nanometers.
  • a layer of ARC material is formed on a substrate assembly using chemical vapor deposition (CVD).
  • CVD chemical vapor deposition
  • PECVD plasma-enhanced chemical vapor deposition
  • PECVD allows formation of the layer at relatively low temperatures of about 400 °C.
  • Silicon-rich oxide is formed by flowing a silicon-containing precursor gas and an oxygen-containing precursor gas in a process chamber.
  • an inert carrier gas for example, argon or helium
  • the silicon- containing precursor gas may be any member of the silane family (e.g., silane, disilane, dichlorosilane, etc.).
  • the oxygen-containing precursor gas is nitrous oxide (N 2 0); however, other oxygen-containing precursors, including 0 2 , NO, N 2 0 2 , and N0 2 , may be utilized.
  • Silicon-rich nitride is formed by flowing a silicon-containing precursor gas and a nitrogen-containing precursor gas in a process chamber.
  • a carrier gas for example, nitrogen
  • the silicon- containing precursor gas may be any member of the silane family (e.g., silane, disil.ane, dichlorosilane, etc.).
  • the nitrogen-containing precursor gas is ammonia (NH 3 ); however, other nitrogen-containing precursor gases, such as nitrogen or a gas from the family of [C n H 2n+1 ] 2 NH (e.g., [CH 3 ] 2 NH), may be used.
  • Silicon-rich oxynitride is formed by flowing a silicon-containing precursor gas, an oxygen-containing precursor gas, and a nitrogen-containing precursor gas in a process chamber.
  • a carrier gas for example, nitrogen
  • the silicon-containing precursor gas may be any member of the silane family (e.g., silane, disilane, dichlorosilane, etc.).
  • the oxygen- containing precursor gas is nitrous oxide (N 2 0); however, for example, 0 2 , NO, N 2 0 2 , and N0 2 , may be used.
  • the nitrogen-containing precursor gas is ammonia (NH 3 ); however, for example, nitrogen or a gas from the family of [C n H 2n+1 ] 2 NH, may be used.
  • a layer of the ARC material is formed in an oxidation diffusion barrier stack.
  • the oxidation diffusion barrier stack is formed as a blanket layer over the supporting semiconductor structure.
  • a layer of photoresist is then formed over the oxidation diffusion barrier stack.
  • the photoresist is then exposed using conventional photolithography. After the photoresist is contacted with a developer solution, photoresist is selectively removed from the substrate surface according to the pattern exposed therein.
  • the oxidation diffusion barrier stack is selectively etched in regions where the photoresist has been removed therefrom to pattern the stack.
  • the etchant is selected according to the materials in the oxidation diffusion barrier stack.
  • a dry etch is used due to the ability of dry etchants to etch anisotropically.
  • the various oxide and nitride layers described herein can be etched using any suitable etching technique.
  • reactive ion etching using a fluorine chemistry may be used to etch oxides and nitrides, e.g., a chemistry using a CHF 3 or CF 4 .
  • the type of gas flow can be easily controlled in a plasma reactor by selectively opening and closing mass controllers coupled to the plasma reactor.
  • the oxidation diffusion barrier stack includes more than one type of material, the stack can be etched in-situ due to the ability to selectively control the flow of gas.
  • the exposed portions of the semiconductor substrate assembly can be oxidized.
  • the semiconductor substrate assembly e.g., doped silicon, undoped silicon
  • wet oxidation is typically utilized to perform the oxidization. This process is well known to one of ordinary skill in the art. In such a process, for example, the substrate is placed in a furnace, ramped to a temperature of about 900 °C to about 1,150°C. Once the desired thickness of field oxide is grown, the temperature of the furnace is decreased and the substrate is removed from the furnace.
  • the patterned oxidation diffusion barrier stack is typically removed. Once again, a dry etch, as described above, is utilized.
  • the oxidation diffusion barrier stack is supported by a silicon wafer. As illustrated in Fig. 1 A, a pad oxide layer 102 is formed on the silicon wafer 100.
  • the silicon wafer 100 is typically doped (i.e., n-type or p-type), but it is not necessary for the practice of this invention.
  • the thickness 104 of the pad oxide layer 102 is typically about a few hundred angstroms or less.
  • an ARC layer 106 is formed on the pad oxide layer 102.
  • the thickness 108 of the ARC layer 106 is preferably about 100A to about 500A. More preferably, the thickness 108 of the ARC layer 106 is about 250A to about 350A. Depending on the wavelength of incident radiation during photolithography and the dimensions of the oxidation diffusion barrier stack, however, the thickness 108 of the ARC layer 106 can vary.
  • a silicon nitride layer 110 is formed on the ARC layer 106.
  • the thickness 112 of the silicon nitride layer 110 is preferably about 3000 A or less.
  • the thickness 112 of the silicon nitride layer 110 is about 1000 A to about 2400 A.
  • the silicon nitride layer 110 is preferably formed using low pressure chemical vapor deposition (LPCVD), for example, at a temperature of about 500°C to about 750°C.
  • LPCVD low pressure chemical vapor deposition
  • any material known to prevent (or minimize) the diffusion of oxygen therethrough can be utilized in place of, or in addition to, the silicon nitride layer 110.
  • silicon oxynitride, boron nitride, and aluminum nitride may be used.
  • a photoresist layer 114 is formed on the silicon nitride layer 110.
  • the thickness 116 of the photoresist layer 114 is typically about 7000 A to about 10,000 A.
  • the minimum thickness 116 of the photoresist layer 114 is governed by the need to have the patterned photoresist layer 114 remain after a subsequent etching step, when the oxidation diffusion barrier stack is selectively removed from the silicon wafer 100 in exposed regions underlying the patterned photoresist layer.
  • the oxidation diffusion barrier stack is etched according to the technique previously described and the photoresist layer 114 is removed, resulting in the structure illustrated in Fig. IE.
  • the photoresist layer 114 is removed according to well known methods to one of ordinary skill in the art. For example, an oxygen ash can be used to remove the photoresist layer 114.
  • Regions where the oxidation diffusion barrier stack is etched to the underlying silicon wafer 100 are field regions 118.
  • Regions where the patterned oxidation diffusion barrier stack remains on the silicon wafer 100 are active regions 120. Individual or multiple active devices can subsequently be formed in the active regions 120 of the substrate 100, as is readily known to one skilled in the art.
  • Field oxide 122 is then formed in the field regions 118, resulting in the structure illustrated in Fig. IF.
  • the thickness 124 of the field oxide 122 is governed by the device density and operating voltages of individual devices later formed in the active regions 120 illustrated in Fig. IE. Typically, the thickness 124 of the field oxide 122 is about a few thousand angstroms. The thickness 124 of the field oxide 122, however, is not critical to the practice of this invention.
  • a pad oxide layer 202 is formed on the silicon wafer 200, as illustrated in Fig. 2A.
  • the silicon wafer 200 is typically doped (i.e., n-type or p-type), but it is not necessary for the practice of this invention.
  • the thickness 204 of the pad oxide layer 202 is typically about a few hundred angstroms or less.
  • a first silicon nitride layer 226 is formed on the pad oxide layer 202.
  • the thickness 228 of the first silicon nitride layer 226 is preferably small enough that the first silicon nitride layer 226 does not delaminate from the pad oxide layer 202. More preferably the thickness 228 of the first silicon nitride layer 226 is about 1,500A or less. Even more preferably, the thickness 228 of the first silicon nitride layer 226 is about 50 ⁇ to about 1,500 A. Most preferably, the thickness 228 of the first silicon nitride layer 226 is about 300 A to about 1000 A.
  • the first silicon nitride layer 226 can be formed using techniques well known to one of ordinary skill in the art. Preferably, one of PECVD and LPCVD is used to form the first silicon nitride layer 226. More preferably, PECVD is used.
  • an ARC layer 206 is formed on the first silicon nitride layer 226, as illustrated in Fig. 2C.
  • the thickness 208 of the ARC layer 206 is about lOOA to about 500A.
  • the thickness 208 of the ARC layer 206 is about
  • a second silicon nitride layer 210 is formed on the ARC layer 206.
  • the thickness 212 of the second silicon nitride layer 210 is preferably about 3, 000 A or less. More preferably, the thickness 212 of the second silicon nitride layer 210 is selected such that a total thickness of the first and second silicon nitride layers 226 and 210, respectively, is about 1,000 A to about 2,000A.
  • the second silicon nitride layer 110 is preferably formed using low pressure chemical vapor deposition (LPCVD).
  • a photoresist layer 214 is formed on the second silicon nitride layer 210.
  • the thickness 216 of the photoresist layer 214 is typically about a few thousand angstroms.
  • the minimum thickness 216 of the photoresist layer 214 is governed by the need to prevent removal of the photoresist layer 214 through to the underlying layer during a subsequent etching step, where the oxidation diffusion barrier stack is selectively removed from the silicon wafer 200 in exposed regions underlying the photoresist layer 214.
  • the oxidation diffusion barrier stack is etched according to the technique previously described and the photoresist layer 214 is removed, resulting in the structure illustrated in Fig. 2F.
  • the photoresist layer 214 is removed according to well known methods to one of ordinary skill in the art. For example, an oxygen ash can be used to remove the photoresist layer 214.
  • Regions where the oxidation diffusion barrier stack is etched to the underlying silicon wafer 200 are field regions 218.
  • Regions where the patterned oxidation diffusion barrier stack remains on the silicon wafer 200 are active regions 220. Individual or multiple active devices can subsequently be formed in the active regions 220 of the substrate 200.
  • Field oxide 222 is then formed in the field regions 218, resulting in the structure illustrated in Fig. 2G.
  • the thickness 224 of the field oxide 222 is governed by the device density and operating voltages of individual devices later formed in the active regions 220 illustrated in Fig. 2F. Typically, the thickness 224 of the field oxide 222 is about a few thousand angstroms. The thickness 224 of the field oxide 222, however, is not critical to the practice of this invention.
  • an ARC layer 306 is formed directly on the silicon wafer 300 as illustrated in Fig. 3 A.
  • the silicon wafer 300 is typically doped (i.e., n-type or p-type), but it is not necessary for the practice of this invention.
  • the thickness 308 of the ARC layer 306 is about lOOA to about 500A.
  • the thickness 308 of the ARC layer 306 is about 200 A to about 400A.
  • the thickness 308 of the ARC layer 306 can vary.
  • a silicon nitride layer 310 is formed on the ARC layer 306.
  • the thickness 312 of the silicon nitride layer 310 is preferably about 3000 A or less. More preferably, the thickness 312 of the silicon nitride layer 310 is about 1000 A to about 2000 A.
  • the silicon nitride layer 310 is preferably formed using low pressure chemical vapor deposition (LPCVD). It is to be appreciated that, while a silicon nitride layer is utilized in this illustration for the purpose of an oxidation diffusion material, any material known to prevent (or minimize) the diffusion of oxygen therethrough can be utilized in place of, or in addition to, the silicon nitride layer 310.
  • a photoresist layer 314 is formed on the silicon nitride layer 310.
  • the thickness 316 of the photoresist layer 314 is typically about 7000 A to about 10,000 A.
  • the minimum thickness 316 of the photoresist layer 314 is governed by the need to prevent removal of the photoresist layer 314 through to the underlying layer during a subsequent etching step, where the oxidation diffusion barrier stack is selectively removed from the silicon wafer 300 in exposed regions underlying the photoresist layer 314.
  • the oxidation diffusion barrier stack is etched according to the technique previously described and the photoresist layer 314 is removed, resulting in the structure illustrated in Fig. 3D.
  • the photoresist layer 314 is removed according to well known methods to one of ordinary skill in the art. For example, an oxygen ash can be used to remove the photoresist layer 314. Regions where the oxidation diffusion barrier stack is etched to the underlying silicon wafer 300 are field regions 318. Regions where the patterned oxidation diffusion barrier stack remains on the silicon wafer 300 are active regions 320. Individual or multiple active devices can subsequently be formed in the active regions 320 of the substrate 300.
  • Field oxide 322 is then formed in the field regions 318, resulting in the structure illustrated in Fig. 3E.
  • the thickness 324 of the field oxide 322 is governed by the device density and operating voltages of individual devices later formed in the active regions 320 illustrated in Fig. 3D. Typically, the thickness 324 of the field oxide layer 322 is about a few thousand angstroms.
  • the thickness 324 of the field oxide layer 322, however, is not critical to the practice of this invention. As compared to the first and second embodiments of the invention, this third embodiment is preferably used when process complexity is a concern. Due to the elimination of the pad oxide layer used in both the first and second embodiments and elimination of the first silicon nitride layer of the second embodiment, process efficiency is increased.
  • the pad oxide layer is not necessary in this third embodiment due to the use of inorganic ARC material of the present invention.
  • Such ARC material provides a lower stress interface with the underlying silicon substrate because it is silicon-rich.
  • a pad oxide layer is not necessary to relieve excess stress at the silicon interface, as is usually seen at the interface between silicon nitride and the silicon wafer.
  • a trench 440 is etched in the substrate assembly 442 through the oxidation diffusion barrier stack 438.
  • the trench 440 is then filled with deposited oxide 444, as illustrated in Fig. 4B.
  • Trench isolation is referred to as shallow trench isolation (STI) or deep trench isolation (DTI), depending on the thickness of the trench etched in the substrate assembly.
  • the oxidation diffusion barrier stack of the present invention is utilized in the photolithography step that defines regions in the substrate assembly to be etched for providing the trench 440.
  • the method of forming trench isolation includes providing a semiconductor substrate assembly; forming an oxidation diffusion barrier stack having an inorganic antireflective material on the semiconductor substrate assembly; patterning the oxidation diffusion barrier stack resulting in exposed regions of the semiconductor substrate assembly; etching a trench in the semiconductor substrate assembly as defined by the patterned stack; and depositing oxide in the trench of the semiconductor substrate assembly. After the oxide is deposited, it is patterned so that it can be removed from areas of the substrate outside of the trench etched in the substrate. Conventional photolithography is utilized to pattern the oxide.
  • the oxidation diffusion barrier stack of the present invention can be utilized in this photolithography step. A wide variety of other uses are also suitable for use of the present invention.

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Structural Engineering (AREA)
  • Architecture (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Element Separation (AREA)
  • Formation Of Insulating Films (AREA)
  • Local Oxidation Of Silicon (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

A method of forming an oxidation diffusion barrier stack for use in fabrication of integrated circuits includes forming an inorganic antireflective material layer (306) on a semiconductor substrate assembly (300) with an oxidation diffusion barrier layer (310) then formed on the inorganic antireflective material layer. Another method of forming such a stack includes forming a pad oxide layer (102) on the semiconductor substrate assembly (100) with an inorganic antireflective material layer (106) then formed on the pad oxide layer and an oxidation diffusion barrier layer (110) formed on the antireflective material layer. Another method of forming the stack includes forming a pad oxide layer (202) on the semiconductor substrate assembly (200). A first oxidation diffusion barrier layer (226) is then formed on the pad oxide layer, an inorganic antireflective material layer (206) is formed on the first oxidation diffusion barrier layer (210), and a second oxidation diffusion barrier layer is formed on the inorganic antireflective material layer. The antireflective material layer may include a layer of material selected from the group of silicon nitride, silicon oxide, and silicon oxynitride and further may be a silicon-rich layer. The oxidation diffusion barrier stacks may be used for oxidation of field regions for isolation in an integration circuit. Further, the various oxidation diffusion barrier stacks are also described.

Description

PROCESS OF ISOLATION IN INTEGRATED CIRCUIT FABRICATION, USING AN ANTIREFLECTIVE COATING
Field of the Invention
The present invention relates to the fabrication of integrated circuits. 5 More particularly, the present invention relates to the use of antireflective layers in isolation processes.
Background of the Invention
One of the most important processes in the fabrication of
10 semiconductor integrated circuits (ICs) is photolithography. Optical photolithography involves reproducing an image from an optical mask in a layer of photoresist that is supported by underlying layers of a semiconductor substrate assembly. Photolithography is one of the most complicated and critical processes in the fabrication of ICs. The ability to reproduce precise images in a photoresist is
15 crucial to meeting demands for increasing device density.
In the photolithographic process, first, an optical mask is positioned between a radiation source and the photoresist layer on the underlying layers. The radiation source can be, for example, visible light or ultraviolet radiation. Then, the image is reproduced by exposing the photoresist to radiation through the optical
20 mask. Portions of the mask contain an opaque layer, such as, for example, chromium, that prevents exposure of the underlying photoresist. Remaining portions of the mask are transparent, allowing exposure of the underlying photoresist.
The layers underlying the photoresist layer, generally include one or
25 more individual layers that are to be patterned. That is, when a layer is patterned, material from the layer is selectively removed. The ability to pattern layers of material enables ICs to be fabricated. In other words, the patterned layers are used as building blocks in individual devices of the IC. Depending on the type of photoresist utilized (i.e., positive type or negative type), exposed photoresist is either
30 removed when the substrate is contacted with a developer solution, or the exposed photoresist becomes more resistant to dissolution in the developer solution. Thus, a patterned photoresist layer is able to be formed on underlying layers.
One of the problems experienced with conventional optical photolithography is the difficulty of obtaining uniform exposure of photoresist underlying transparent portions of the mask. It is desired that the light intensity exposing the photoresist be uniform to obtain optimum results.
When sufficiently thick layers of photoresist are used, the photoresist must be (or become) partially transparent upon exposure, so that photoresist at the surface of underlying layers is exposed to a substantially similar extent as the photoresist at the outer surface. Often, however, light that penetrates the photoresist is reflected back toward the light source from the surface of the underlying layers of the substrate assembly. The angle at which the light is reflected is dependent on the topography of the surface of the underlying layers and the type of material of the underlying layers. The reflected light intensity can vary in the photoresist throughout its depth or partially though its depth, leading to nonuniform exposure and undesirable exposure of the photoresist. Such exposure of the photoresist can lead to poorly controlled features (e.g., gates, metal lines, etc.) of the IC.
In an attempt to minimize the variable reflection of light in a photoresist layer, antireflective coatings have been utilized between the underlying layers of a substrate assembly and the photoresist layer or between the photoresist layer and the radiation source. Such antireflective coatings minimize photoresist exposure from surface reflections, allowing exposure across a photoresist layer to be controlled more easily from the radiation incident on the photoresist from the radiation source. Typically, antireflective coatings are organic materials. Organic layers can, however, lead to particle contamination in the integrated circuit (IC) due to the incomplete removal of organic material from the underlying layers after the photolithography step is performed. Such particle contamination can potentially be detrimental to the electrical performance of the IC. Further, the underlying layers upon which the organic materials are formed may be uneven resulting in different thicknesses of the organic material used as the antireflective coating, e.g., thicker regions of the organic material may be present at various locations of the underlying layers. As such, when attempting to remove such organic material, if the etch is stopped when the underlying layers are reached, then some organic material may be left. If the etch is allowed to progress to etch the additional thickness in such regions or locations, then the underlying layers may be undesirable etched (e.g., punchthrough of an underlying layer may occur).
Inorganic antireflective layers have also recently been introduced. For example, silicon-rich silicon dioxide, silicon-rich nitride, and silicon-rich oxynitride have been utilized as inorganic antireflective layers. Such inorganic antireflective layers have been utilized, for example, in the patterning of metal lines and polysilicon gates.
After a patterned photoresist layer is formed on a substrate, many other processes are typically performed in the fabrication of ICs. For example, the photoresist can act as an implantation barrier during an implant step. The photoresist can also be utilized to define the outer perimeter of an area (e.g., a contact hole) that is etched in the substrate or individual layers therein. Once again, the photoresist acts as a barrier during the etching process.
One common photolithographic process involves utilizing the patterned photoresist layer over a pad oxide layer and silicon nitride layer on a supporting substrate. The pad oxide layer is utilized as a stress buffer due to the volumetric increase of adjacent growing oxide and the large difference in thermal expansion coefficients of the silicon wafer and the silicon nitride layer that are problematic during subsequent thermal oxidation. The patterned photoresist layer is utilized to selectively remove the pad oxide layer and silicon nitride layer (e.g., LPCVD silicon nitride) in field regions of a substrate.
After such field regions are defined by the remaining regions of the pad oxide layer and the silicon nitride layer, the patterned photoresist layer is removed. Then, the field regions of the substrate are oxidized, for example, using a wet oxidation process, to form field oxide in the field regions. The silicon nitride layer acts as a barrier to oxygen diffusion, preventing oxidation in underlying active regions. This technique is well known as the LOCOS (Local Oxidation of Silicon) process. One recurring problem, however, with the LOCOS process is encroachment of field oxide under the edges of the silicon nitride in the active regions. This is often referred to as the "bird's beak" phenomenon. As device density increases, the bird's beak problem becomes more problematic because the active region containing the bird's beak is essentially unusable for the fabrication of devices.
Another well known electrical isolation technique is trench isolation. In trench isolation, a trench is etched in the substrate and then filled with deposited oxide. Trench isolation is referred to as shallow trench isolation (STI) or deep trench isolation (DTI), depending on the depth of the trench etched in the substrate. After the oxide is deposited to fill the trench, it is patterned so that the oxide is removed from areas of the substrate outside of the trench etched in the substrate. Conventional photolithography is utilized to pattern the oxide.
Summary of the Invention
There is a need for methods of forming isolation regions for integrated circuits that are well-controlled. One way in which such processes can be better controlled is through improvement of the photolithographic steps used therein. For example, it is desirable to obtain a uniform level of exposure of photoresist used in patterning steps. The present invention provides various methods and structures using inorganic antireflective layers.
A method of forming an oxidation diffusion barrier stack for use in fabrication of integrated circuits in accordance with the present invention includes providing a semiconductor substrate assembly and forming an inorganic antireflective material layer on the semiconductor substrate assembly. An oxidation diffusion barrier layer is then formed on the inorganic antireflective material layer. Another method of forming an oxidation diffusion barrier stack for use in the fabrication of integrated circuits in accordance with the present invention includes providing a semiconductor substrate assembly and forming a pad oxide layer on the semiconductor substrate assembly. An inorganic antireflective material layer is then formed on the pad oxide layer and an oxidation diffusion barrier layer is formed on the antireflective material layer.
In yet another method of forming an oxidation diffusion barrier stack for use in the fabrication of integrated circuits in accordance with the present invention includes providing a semiconductor substrate assembly and forming a pad oxide layer on the semiconductor substrate assembly. A first oxidation diffusion barrier layer is then formed on the pad oxide layer, an inorganic antireflective material layer is formed on the first oxidation diffusion barrier layer, and a second oxidation diffusion barrier layer is formed on the inorganic antireflective material layer.
In various embodiments of the methods, the semiconductor substrate assembly is a silicon substrate; the antireflective material layer includes a layer of material selected from the group of silicon nitride, silicon oxide, and silicon oxynitride; the antireflective material layer is a silicon-rich layer; the antireflective material has an index of refraction of about 1.7 to about 3.0; the antireflective material layer has an absorptive coefficient of about 0.2 to about 2.0; and/or the oxidation diffusion barrier layers may be layers of silicon nitride or silicon oxynitride.
Further, a method for use in forming isolation for an integrated circuit is provided. The method includes providing a semiconductor substrate and forming an oxidation diffusion barrier stack on the semiconductor substrate. The oxidation diffusion barrier stack includes an inorganic antireflective material layer. The oxidation diffusion barrier stack is patterned resulting in exposed regions of the semiconductor substrate and the exposed regions of the semiconductor substrate are oxidized.
An oxidation diffusion barrier stack in accordance with the present invention includes an inorganic antireflective material layer formed on a semiconductor substrate assembly and an oxidation diffusion barrier layer formed on the inorganic antireflective material layer. Another oxidation diffusion barrier stack in accordance with the present invention includes a pad oxide layer formed on a semiconductor substrate, an oxidation diffusion barrier layer, and an inorganic antireflective material layered between the pad oxide and the oxidation diffusion barrier layer.
Yet another oxidation diffusion barrier stack in accordance with the present invention includes a first oxidation diffusion barrier layer, a second oxidation diffusion barrier layer, and an inorganic antireflective material layered between the first and second oxidation diffusion barrier layers.
In various embodiments of the stacks, the inorganic antireflective material may be selected from the group of silicon-rich silicon oxide, silicon-rich silicon nitride, and silicon-rich silicon oxynitride; and/or oxidation diffusion barrier layers may be silicon nitride layers or silicon oxynitride layers.
Brief Description of the Drawing
The following figures are provided for ease of description and understanding of the invention. Thus, scaling and dimensions in the figures are not exact.
Figure 1 A is a cross-sectional representation of a pad oxide layer supported by a semiconductor substrate.
Figure IB is a cross-sectional representation of the structure of Fig. 1 A, wherein an inorganic antireflective coating is formed thereon in accordance with the present invention.
Figure IC is a cross-sectional representation of the structure of Fig. IB, wherein a silicon nitride is formed thereon.
Figure ID is a cross-sectional representation of the structure of Fig. IC, wherein a photoresist layer is formed thereon to pattern the underlying material. Figure IE is a cross-sectional representation of the structure of Fig.
ID, wherein the underlying stack of silicon nitride, antireflective coating, and pad oxide layers have been patterned.
Figure IF is a cross-sectional representation of the structure of Fig. IE, wherein field oxide is formed in field regions of the substrate between the patterned stack. Figure 2A is a cross-sectional representation of a pad oxide layer supported by a semiconductor substrate.
Figure 2B is a cross-sectional representation of the structure of Fig. 2A, wherein a first silicon nitride layer is formed thereon. Figure 2C is a cross-sectional representation of the structure of Fig.
2B, wherein an antireflective layer is formed thereon.
Figure 2D is a cross-sectional representation of the structure of Fig. 2C, wherein a second silicon nitride layer is formed thereon.
Figure 2E is a cross-sectional representation of the structure of Fig. 2D, wherein a photoresist layer is formed thereon to pattern the underlying material.
Figure 2F is a cross-sectional representation of the structure of Fig. 2E, wherein the underlying stack of second silicon nitride, antireflective, first silicon nitride and pad oxide layers have been patterned.
Figure 2G is a cross-sectional representation of the structure of Fig. 2F, wherein field oxide is formed in field regions of the substrate between the patterned stack.
Figure 3 A is a cross-sectional representation of an antireflective layer supported by a semiconductor substrate.
Figure 3B is a cross-sectional representation of the structure of Fig. 3A, wherein a silicon nitride layer is formed thereon.
Figure 3C is a cross-sectional representation of the structure of Fig. 3B, wherein a photoresist layer is formed thereon to pattern the underlying material.
Figure 3D is a cross-sectional representation of the structure of Fig. 3C, wherein the underlying stack of antireflective coating and silicon nitride layers have been patterned.
Figure 3E is a cross-sectional representation of the structure of Fig. 3D, wherein field oxide is formed in field regions of the substrate between the patterned stack.
Figures 4A and 4B are cross-sectional representations of a method of trench isolation in accordance with the present invention. Detailed Description of the Embodiments
To provide better controlled photolithography when forming electrical isolation in an integrated circuit (IC), an antireflective coating (ARC) is used. Using an ARC results in uniform exposure to photoresist which has been formed on underlying layers of a substrate assembly. Thus, well-defined patterns are able to be reproduced in the photoresist. Forming well-defined patterns in the photoresist leads to well-defined patterning of underlying material. As device density is increasing, such precise definition is becoming increasingly important.
It is to be understood that the term substrate assembly, as used herein, includes a wide variety of semiconductor-based structures, including but not limited to a semiconductor substrate and a semiconductor substrate having one or more layers, regions formed thereon or therein. Semiconductor substrates can be a single layer of material, such as a silicon wafer or is understood to include silicon-on- sapphire (SOS) technology, silicon-on-insulator (SOI) technology, doped .and undoped semiconductors, epitaxial layers of silicon supported by a base semiconductor, as well as other semiconductor substrate structures. When reference is made to a semiconductor substrate assembly in the following description, various process steps may have been utilized to form regions/junctions in a semiconductor substrate or may have been used to form one or more layers or regions of material relative to the substrate.
ARCs according to the present invention are preferably inorganic. Such preferred inorganic ARCs are formed between a photoresist layer and underlying layers for use in photolithography. Suitable ARC materials according to the present invention include a nonstoichiometric silicon-rich oxide, silicon-rich nitride, and silicon-rich oxynitride. A preferred chemical formula of the silicon-rich oxide is SiOx, where x is in the range of about 0.3 to about 1.9. A preferred chemical formula of the silicon-rich nitride is SiNy, where y is in the range of about 0.2 to about 1.0. A preferred chemical formula of the silicon-rich oxynitride is SiOxNy, where x is in the range of about 0.2 to about 1.9 and y is in the range of about .01 to about 1.0. Further, suitable ARC materials generally have an index of refraction of about 1.7 to about 3.0 and an absorptive coefficient of about 0.2 to about 2.0 at a wavelength of about 248 nanometers. Preferably, the index of refraction is about 2.0 to about 2.7 and the absorptive coefficient is about 0.4 to about 1.5 at a wavelength of about 248 nanometers. The absorptive coefficient needed depends on the index of refraction and the absorptive coefficient of the photoresist and other underlying layers of the substrate assembly upon which the photoresist is formed, as well as the dimensions of the underlying substrate assembly features and layers. Depending on the wavelength, as the amount of silicon in the nonstoichiometric ARC materials increase, the index of refraction and the absorptive coefficient of the ARC material typically increase as well. For example, this is generally the case for a wavelength of about 365 nanometers.
A layer of ARC material is formed on a substrate assembly using chemical vapor deposition (CVD). Preferably, plasma-enhanced chemical vapor deposition (PECVD) is used. PECVD allows formation of the layer at relatively low temperatures of about 400 °C. By controlling flow rates of certain precursor gases, material of the desired stoichiometry can be formed on the substrate. For example, when more of a particular component is desired in the resulting material, the flow rate of the precursor gas of that component is increased or the flow rate of the other precursor gas(es) is decreased.
Silicon-rich oxide is formed by flowing a silicon-containing precursor gas and an oxygen-containing precursor gas in a process chamber. Typically, an inert carrier gas, for example, argon or helium, is used as well. The silicon- containing precursor gas may be any member of the silane family (e.g., silane, disilane, dichlorosilane, etc.). Preferably, the oxygen-containing precursor gas is nitrous oxide (N20); however, other oxygen-containing precursors, including 02, NO, N202, and N02, may be utilized.
Silicon-rich nitride is formed by flowing a silicon-containing precursor gas and a nitrogen-containing precursor gas in a process chamber. Typically, a carrier gas, for example, nitrogen, is used as well. The silicon- containing precursor gas may be any member of the silane family (e.g., silane, disil.ane, dichlorosilane, etc.). Preferably, the nitrogen-containing precursor gas is ammonia (NH3); however, other nitrogen-containing precursor gases, such as nitrogen or a gas from the family of [CnH2n+1]2NH (e.g., [CH3]2NH), may be used. Silicon-rich oxynitride is formed by flowing a silicon-containing precursor gas, an oxygen-containing precursor gas, and a nitrogen-containing precursor gas in a process chamber. Typically, a carrier gas, for example, nitrogen, is used as well. The silicon-containing precursor gas may be any member of the silane family (e.g., silane, disilane, dichlorosilane, etc.). Preferably, the oxygen- containing precursor gas is nitrous oxide (N20); however, for example, 02, NO, N202, and N02, may be used. Preferably, the nitrogen-containing precursor gas is ammonia (NH3); however, for example, nitrogen or a gas from the family of [CnH2n+1]2NH, may be used.
When using an ARC material according to the present invention, a layer of the ARC material is formed in an oxidation diffusion barrier stack. Typically, the oxidation diffusion barrier stack is formed as a blanket layer over the supporting semiconductor structure. A layer of photoresist is then formed over the oxidation diffusion barrier stack. The photoresist is then exposed using conventional photolithography. After the photoresist is contacted with a developer solution, photoresist is selectively removed from the substrate surface according to the pattern exposed therein.
Next, the oxidation diffusion barrier stack is selectively etched in regions where the photoresist has been removed therefrom to pattern the stack. The etchant is selected according to the materials in the oxidation diffusion barrier stack. Preferably, a dry etch is used due to the ability of dry etchants to etch anisotropically. The various oxide and nitride layers described herein can be etched using any suitable etching technique. For example, reactive ion etching using a fluorine chemistry may be used to etch oxides and nitrides, e.g., a chemistry using a CHF3 or CF4. The type of gas flow can be easily controlled in a plasma reactor by selectively opening and closing mass controllers coupled to the plasma reactor. Thus, if the oxidation diffusion barrier stack includes more than one type of material, the stack can be etched in-situ due to the ability to selectively control the flow of gas.
Once the oxidation diffusion barrier stack is patterned, the exposed portions of the semiconductor substrate assembly (e.g., doped silicon, undoped silicon) can be oxidized. For field oxidation, wet oxidation is typically utilized to perform the oxidization. This process is well known to one of ordinary skill in the art. In such a process, for example, the substrate is placed in a furnace, ramped to a temperature of about 900 °C to about 1,150°C. Once the desired thickness of field oxide is grown, the temperature of the furnace is decreased and the substrate is removed from the furnace.
After the oxidation process, the patterned oxidation diffusion barrier stack is typically removed. Once again, a dry etch, as described above, is utilized. In one embodiment of the present invention, the oxidation diffusion barrier stack is supported by a silicon wafer. As illustrated in Fig. 1 A, a pad oxide layer 102 is formed on the silicon wafer 100. The silicon wafer 100 is typically doped (i.e., n-type or p-type), but it is not necessary for the practice of this invention. The thickness 104 of the pad oxide layer 102 is typically about a few hundred angstroms or less.
Next, as illustrated in Fig. IB, an ARC layer 106 is formed on the pad oxide layer 102. The thickness 108 of the ARC layer 106 is preferably about 100A to about 500A. More preferably, the thickness 108 of the ARC layer 106 is about 250A to about 350A. Depending on the wavelength of incident radiation during photolithography and the dimensions of the oxidation diffusion barrier stack, however, the thickness 108 of the ARC layer 106 can vary. Then, as illustrated in Fig. IC, a silicon nitride layer 110 is formed on the ARC layer 106. The thickness 112 of the silicon nitride layer 110 is preferably about 3000 A or less. More preferably, the thickness 112 of the silicon nitride layer 110 is about 1000 A to about 2400 A. The silicon nitride layer 110 is preferably formed using low pressure chemical vapor deposition (LPCVD), for example, at a temperature of about 500°C to about 750°C. It is to be appreciated that, while a silicon nitride layer is utilized in this illustration for the purpose of an oxidation diffusion material, any material known to prevent (or minimize) the diffusion of oxygen therethrough can be utilized in place of, or in addition to, the silicon nitride layer 110. For example, silicon oxynitride, boron nitride, and aluminum nitride may be used. Next, as illustrated in Fig. ID, a photoresist layer 114 is formed on the silicon nitride layer 110. The thickness 116 of the photoresist layer 114 is typically about 7000 A to about 10,000 A. The minimum thickness 116 of the photoresist layer 114 is governed by the need to have the patterned photoresist layer 114 remain after a subsequent etching step, when the oxidation diffusion barrier stack is selectively removed from the silicon wafer 100 in exposed regions underlying the patterned photoresist layer.
The oxidation diffusion barrier stack is etched according to the technique previously described and the photoresist layer 114 is removed, resulting in the structure illustrated in Fig. IE. The photoresist layer 114 is removed according to well known methods to one of ordinary skill in the art. For example, an oxygen ash can be used to remove the photoresist layer 114. Regions where the oxidation diffusion barrier stack is etched to the underlying silicon wafer 100 are field regions 118. Regions where the patterned oxidation diffusion barrier stack remains on the silicon wafer 100 are active regions 120. Individual or multiple active devices can subsequently be formed in the active regions 120 of the substrate 100, as is readily known to one skilled in the art.
Field oxide 122 is then formed in the field regions 118, resulting in the structure illustrated in Fig. IF. The thickness 124 of the field oxide 122 is governed by the device density and operating voltages of individual devices later formed in the active regions 120 illustrated in Fig. IE. Typically, the thickness 124 of the field oxide 122 is about a few thousand angstroms. The thickness 124 of the field oxide 122, however, is not critical to the practice of this invention.
In another embodiment of the invention, a pad oxide layer 202 is formed on the silicon wafer 200, as illustrated in Fig. 2A. The silicon wafer 200 is typically doped (i.e., n-type or p-type), but it is not necessary for the practice of this invention. The thickness 204 of the pad oxide layer 202 is typically about a few hundred angstroms or less.
Next, as illustrated in Fig. 2B, a first silicon nitride layer 226 is formed on the pad oxide layer 202. The thickness 228 of the first silicon nitride layer 226 is preferably small enough that the first silicon nitride layer 226 does not delaminate from the pad oxide layer 202. More preferably the thickness 228 of the first silicon nitride layer 226 is about 1,500A or less. Even more preferably, the thickness 228 of the first silicon nitride layer 226 is about 50 Λ to about 1,500 A. Most preferably, the thickness 228 of the first silicon nitride layer 226 is about 300 A to about 1000 A. The first silicon nitride layer 226 can be formed using techniques well known to one of ordinary skill in the art. Preferably, one of PECVD and LPCVD is used to form the first silicon nitride layer 226. More preferably, PECVD is used.
Then, an ARC layer 206 is formed on the first silicon nitride layer 226, as illustrated in Fig. 2C. The thickness 208 of the ARC layer 206 is about lOOA to about 500A. Preferably, the thickness 208 of the ARC layer 206 is about
200 A to about 350A. Depending on the wavelength of incident radiation during photolithography and the dimensions of the oxidation diffusion barrier stack, however, the thickness 208 of the ARC layer 206 can vary. Then, as illustrated in Fig. 2D, a second silicon nitride layer 210 is formed on the ARC layer 206. The thickness 212 of the second silicon nitride layer 210 is preferably about 3, 000 A or less. More preferably, the thickness 212 of the second silicon nitride layer 210 is selected such that a total thickness of the first and second silicon nitride layers 226 and 210, respectively, is about 1,000 A to about 2,000A. The second silicon nitride layer 110 is preferably formed using low pressure chemical vapor deposition (LPCVD). It is to be appreciated that, while silicon nitride is utilized for the first and second silicon nitride layers in this illustration for the purpose of an oxidation diffusion material, any material known to prevent (or minimize) the diffusion of oxygen therethrough can be utilized in place of, or in addition to, the first and second silicon nitride layers 226 and 210. Next, as illustrated in Fig. 2E, a photoresist layer 214 is formed on the second silicon nitride layer 210. The thickness 216 of the photoresist layer 214 is typically about a few thousand angstroms. The minimum thickness 216 of the photoresist layer 214 is governed by the need to prevent removal of the photoresist layer 214 through to the underlying layer during a subsequent etching step, where the oxidation diffusion barrier stack is selectively removed from the silicon wafer 200 in exposed regions underlying the photoresist layer 214.
The oxidation diffusion barrier stack is etched according to the technique previously described and the photoresist layer 214 is removed, resulting in the structure illustrated in Fig. 2F. The photoresist layer 214 is removed according to well known methods to one of ordinary skill in the art. For example, an oxygen ash can be used to remove the photoresist layer 214. Regions where the oxidation diffusion barrier stack is etched to the underlying silicon wafer 200 are field regions 218. Regions where the patterned oxidation diffusion barrier stack remains on the silicon wafer 200 are active regions 220. Individual or multiple active devices can subsequently be formed in the active regions 220 of the substrate 200.
Field oxide 222 is then formed in the field regions 218, resulting in the structure illustrated in Fig. 2G. The thickness 224 of the field oxide 222 is governed by the device density and operating voltages of individual devices later formed in the active regions 220 illustrated in Fig. 2F. Typically, the thickness 224 of the field oxide 222 is about a few thousand angstroms. The thickness 224 of the field oxide 222, however, is not critical to the practice of this invention.
In yet another embodiment, an ARC layer 306 is formed directly on the silicon wafer 300 as illustrated in Fig. 3 A. The silicon wafer 300 is typically doped (i.e., n-type or p-type), but it is not necessary for the practice of this invention. The thickness 308 of the ARC layer 306 is about lOOA to about 500A. Preferably, the thickness 308 of the ARC layer 306 is about 200 A to about 400A. Depending on the wavelength of incident radiation during photolithography and the dimensions of the oxidation diffusion barrier stack, however, the thickness 308 of the ARC layer 306 can vary. Then, as illustrated in Fig. 3B, a silicon nitride layer 310 is formed on the ARC layer 306. The thickness 312 of the silicon nitride layer 310 is preferably about 3000 A or less. More preferably, the thickness 312 of the silicon nitride layer 310 is about 1000 A to about 2000 A. The silicon nitride layer 310 is preferably formed using low pressure chemical vapor deposition (LPCVD). It is to be appreciated that, while a silicon nitride layer is utilized in this illustration for the purpose of an oxidation diffusion material, any material known to prevent (or minimize) the diffusion of oxygen therethrough can be utilized in place of, or in addition to, the silicon nitride layer 310. Next, as illustrated in Fig. 3C, a photoresist layer 314 is formed on the silicon nitride layer 310. The thickness 316 of the photoresist layer 314 is typically about 7000 A to about 10,000 A. The minimum thickness 316 of the photoresist layer 314 is governed by the need to prevent removal of the photoresist layer 314 through to the underlying layer during a subsequent etching step, where the oxidation diffusion barrier stack is selectively removed from the silicon wafer 300 in exposed regions underlying the photoresist layer 314.
The oxidation diffusion barrier stack is etched according to the technique previously described and the photoresist layer 314 is removed, resulting in the structure illustrated in Fig. 3D. The photoresist layer 314 is removed according to well known methods to one of ordinary skill in the art. For example, an oxygen ash can be used to remove the photoresist layer 314. Regions where the oxidation diffusion barrier stack is etched to the underlying silicon wafer 300 are field regions 318. Regions where the patterned oxidation diffusion barrier stack remains on the silicon wafer 300 are active regions 320. Individual or multiple active devices can subsequently be formed in the active regions 320 of the substrate 300.
Field oxide 322 is then formed in the field regions 318, resulting in the structure illustrated in Fig. 3E. The thickness 324 of the field oxide 322 is governed by the device density and operating voltages of individual devices later formed in the active regions 320 illustrated in Fig. 3D. Typically, the thickness 324 of the field oxide layer 322 is about a few thousand angstroms. The thickness 324 of the field oxide layer 322, however, is not critical to the practice of this invention. As compared to the first and second embodiments of the invention, this third embodiment is preferably used when process complexity is a concern. Due to the elimination of the pad oxide layer used in both the first and second embodiments and elimination of the first silicon nitride layer of the second embodiment, process efficiency is increased. The pad oxide layer is not necessary in this third embodiment due to the use of inorganic ARC material of the present invention. Such ARC material provides a lower stress interface with the underlying silicon substrate because it is silicon-rich. Thus, it has been found that a pad oxide layer is not necessary to relieve excess stress at the silicon interface, as is usually seen at the interface between silicon nitride and the silicon wafer.
All patents disclosed herein are incorporated by reference in their entirety, as if individually incorporated. The foregoing detailed description, illustrations, and examples have been given for clarity of understanding only. No unnecessary limitations are to be understood therefrom. The invention is not limited to the exact details shown and described, for variations obvious to one skilled in the art will be included within the invention defined by the claims. For example, while the present invention has been described with reference and illustration supporting a LOCOS process, other isolation techniques can benefit from the use of the present invention. For example, trench isolation (the method for forming such trenches is illustrated in Figures 4A and 4B) can benefit from oxidation diffusion barrier stacks, represented generally as 438, of the present invention. As illustrated in Fig. 4 A, in trench isolation, a trench 440 is etched in the substrate assembly 442 through the oxidation diffusion barrier stack 438. The trench 440 is then filled with deposited oxide 444, as illustrated in Fig. 4B. Trench isolation is referred to as shallow trench isolation (STI) or deep trench isolation (DTI), depending on the thickness of the trench etched in the substrate assembly.
As described, the oxidation diffusion barrier stack of the present invention is utilized in the photolithography step that defines regions in the substrate assembly to be etched for providing the trench 440. The method of forming trench isolation includes providing a semiconductor substrate assembly; forming an oxidation diffusion barrier stack having an inorganic antireflective material on the semiconductor substrate assembly; patterning the oxidation diffusion barrier stack resulting in exposed regions of the semiconductor substrate assembly; etching a trench in the semiconductor substrate assembly as defined by the patterned stack; and depositing oxide in the trench of the semiconductor substrate assembly. After the oxide is deposited, it is patterned so that it can be removed from areas of the substrate outside of the trench etched in the substrate. Conventional photolithography is utilized to pattern the oxide. Once again, the oxidation diffusion barrier stack of the present invention can be utilized in this photolithography step. A wide variety of other uses are also suitable for use of the present invention.

Claims

What is claimed is:
1. A method of forming an oxidation diffusion barrier stack for use in fabrication of integrated circuits, the method comprising the steps of: providing a semiconductor substrate assembly; forming an inorganic antireflective material layer on the semiconductor substrate assembly; and forming an oxidation diffusion barrier layer on the antireflective material layer.
2. The method of claim 1, wherein the semiconductor substrate assembly is a silicon substrate.
3. The method of claim 2, wherein the step of forming the antireflective material layer comprises forming a layer of material selected from the group of silicon nitride, silicon oxide, and silicon oxynitride.
4. The method of claim 3, wherein the step of forming the antireflective material layer comprises forming a silicon-rich layer.
5. The method of claim 1 , wherein the step of forming an antireflective material layer comprises forming a layer of silicon-rich silicon oxide.
6. The method of claim 1 , wherein the step of forming an antireflective material layer comprises forming a layer of silicon-rich silicon nitride.
7. The method of claim 1 , wherein the step of forming an antireflective material layer comprises forming a layer of silicon-rich silicon oxynitride.
8. The method of claim 1 , wherein the step of forming an antireflective material layer comprises forming an antireflective material layer having an index of refraction of about 1.7 to about 3.0.
9. The method of claim 8, wherein the step of forming an antireflective material layer comprises forming an antireflective material layer having an absorptive coefficient of about 0.2 to about 2.0.
10. The method of claim 1 , wherein the step of forming an antireflective material layer comprises forming an antireflective material layer having an absorptive coefficient of about 0.2 to about 2.0.
11. The method of claim 1 , wherein the step of forming an oxidation diffusion barrier layer comprises forming a layer of one of silicon nitride an silicon oxynitride.
12. A method of forming an oxidation diffusion barrier stack for use in the fabrication of integrated circuits, the method comprising the steps of: providing a semiconductor substrate assembly; forming a pad oxide layer on the semiconductor substrate assembly; forming an inorganic antireflective material layer on the pad oxide layer; and forming an oxidation diffusion barrier layer on the antireflective material layer.
13. The method of claim 12, wherein the semiconductor substrate assembly is a silicon substrate.
14. The method of claim 13, wherein the step of forming an inorganic antireflective material layer comprises forming a layer of material selected from the group of silicon-rich silicon oxide, silicon-rich silicon nitride, and silicon-rich silicon oxynitride.
15. The method of claim 12, wherein the inorganic antireflective material layer is SiOx, where x is in the range of about 0.3 to about 1.9.
16. The method of claim 12, wherein the inorganic antireflective material layer is SiNy, where y is in the range of about 0.2 to about 1.0.
17. The method of claim 12, wherein the inorganic antireflective material layer is SiOxNy, where x is in the range of about 0.01 to about 1.0.
18. The method of claim 12, wherein the step of forming an oxidation diffusion barrier layer comprises forming a layer of one of silicon nitride and silicon oxynitride.
19. A method of forming an oxidation diffusion barrier stack for use in fabrication of integrated circuits, the method comprising the steps of: providing a semiconductor substrate assembly; forming a pad oxide layer on the semiconductor substrate assembly; forming a first oxidation diffusion barrier layer on the pad oxide layer; forming an inorganic antireflective material layer on the first oxidation diffusion barrier layer; and forming a second oxidation diffusion barrier layer on the inorganic antireflective material layer.
20. The method of claim 19, wherein the semiconductor substrate assembly is a silicon substrate.
21. The method of claim 20, wherein the step of forming an inorganic antireflective material layer comprises forming a layer of material selected from the group of silicon-rich silicon oxide, silicon-rich silicon nitride, and silicon-rich silicon oxynitride.
22. The method of claim 21 , wherein the inorganic antireflective material layer is SiOx, where x is in the range of about 0.3 to about 1.9.
23. The method of claim 21 , wherein the inorganic antireflective material layer is SiNy, where y is in the range of about 0.2 to about 1.0.
24. The method of claim 21 , wherein the inorganic antireflective material layer is SiOxNy, where x is in the range of about 0.01 to about 1.0.
25. The method of claim 19, wherein the steps of forming the first and second oxidation diffusion barrier layers comprises forming the first and second oxidation diffusion layers from materials selected from the group of silicon nitride and silicon oxynitride.
26. A method for use in forming isolation for an integrated circuit, the method comprising the steps of: providing a semiconductor substrate; forming an oxidation diffusion barrier stack on the semiconductor substrate, the oxidation diffusion barrier stack comprising an inorganic antireflective material layer; patterning the oxidation diffusion barrier stack resulting in exposed regions of the semiconductor substrate; and oxidizing the exposed regions of the semiconductor substrate.
27. The method of claim 26, wherein the step of forming the oxidation diffusion barrier stack comprises the steps of: forming the inorganic antireflective material layer on the semiconductor substrate; and forming an oxidation diffusion barrier layer on the inorganic antireflective material layer.
28. The method of claim 26, wherein the step of forming the oxidation diffusion barrier stack comprises the steps of: forming a pad oxide layer on the semiconductor substrate; forming the inorganic antireflective material layer on the pad oxide layer; and forming an oxidation diffusion barrier layer on the inorganic antireflective material layer.
29. The method of claim 26, wherein the step of forming the oxidation diffusion barrier stack comprises the steps of: forming a pad oxide layer on the semiconductor substrate; forming a first oxidation diffusion barrier layer on the pad oxide layer; forming the inorganic antireflective material layer on the first oxidation diffusion barrier layer; and forming a second oxidation diffusion barrier layer on the inorganic antireflective material layer.
30. The method of claim 26, wherein the step of patterning the oxidation diffusion barrier stack comprises continuously flowing at least a fluorocarbon gas in a plasma reactor containing the oxidation diffusion barrier stack to remove portions of the oxidation diffusion barrier stack.
31. A method for use in forming isolation for an integrated circuit, the method comprising the steps of: providing a semiconductor substrate assembly; forming an oxidation diffusion barrier stack comprising an inorganic antireflective material on the semiconductor substrate assembly; patterning the oxidation diffusion barrier stack resulting in exposed regions of the semiconductor substrate assembly; etching a trench in the semiconductor substrate assembly through the oxidation diffusion barrier stack; and depositing an insulating material in the trench of the semiconductor substrate assembly.
32. An oxidation diffusion barrier stack, comprising: an inorganic antireflective material layer formed on a semiconductor substrate assembly; and an oxidation diffusion barrier layer formed on the inorganic antireflective material layer.
33. The stack of claim 32, wherein the semiconductor substrate assembly is a antireflective material layer is silicon substrate.
34. The stack of claim 32, wherein the inorganic antireflective material is selected from the group of silicon-rich silicon oxide, silicon-rich silicon nitride, and silicon-rich silicon oxynitride.
35. The stack of claim 32, wherein the oxidation diffusion barrier layer comprises silicon nitride.
36. The stack of claim 32, wherein the inorganic antireflective material has a thickness of about 100 angstroms to about 500 angstroms.
37. The stack of claim 32, wherein the oxidation diffusion barrier layer comprises silicon oxynitride.
38. An oxidation diffusion barrier stack, comprising: a pad oxide layer formed on a semiconductor substrate; an oxidation diffusion barrier layer; and an inorganic antireflective material layered between the pad oxide and the oxidation diffusion barrier layer.
39. The stack of claim 37, wherein the inorganic antireflective material is selected from the group of silicon oxide, silicon nitride, and silicon oxynitride.
40. The stack of claim 38, wherein the inorganic antireflective material is a silicon-rich inorganic antireflective material.
41. An oxidation diffusion barrier stack, comprising: a first oxidation diffusion barrier layer; a second oxidation diffusion barrier layer; and an inorganic antireflective material layered between the first and second oxidation diffusion barrier layers.
42. The stack of claim 40, further comprising a pad oxide layer formed on a substrate assembly, the first oxidation diffusion barrier layer being formed on the pad oxide layer.
43. The stack of claim 41 , wherein the inorganic antireflective material is selected from the group of silicon-rich silicon oxide, silicon-rich silicon nitride, and silicon-rich silicon oxynitride.
44. The stack of claim 40, wherein at least one of the first and second oxidation diffusion barrier layers comprises silicon nitride.
45. The stack of claim 40, wherein at least one of the first and second oxidation diffusion barrier layers comprises silicon nitride.
PCT/US1998/017267 1997-08-22 1998-08-20 Process of isolation in integrated circuit fabrication, using an antireflective coating WO1999010918A1 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2000508135A JP3978310B2 (en) 1997-08-22 1998-08-20 Isolation methods in integrated circuit fabrication using antireflective coatings.
EP98943267A EP1019951A1 (en) 1997-08-22 1998-08-20 Processes of isolation in integrated circuit fabrication, using an antireflective coating
AU91097/98A AU9109798A (en) 1997-08-22 1998-08-20 Process of isolation in integrated circuit fabrication, using an antireflective coating

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/916,276 US6121133A (en) 1997-08-22 1997-08-22 Isolation using an antireflective coating
US08/916,276 1997-08-22

Publications (1)

Publication Number Publication Date
WO1999010918A1 true WO1999010918A1 (en) 1999-03-04

Family

ID=25436986

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US1998/017267 WO1999010918A1 (en) 1997-08-22 1998-08-20 Process of isolation in integrated circuit fabrication, using an antireflective coating

Country Status (6)

Country Link
US (5) US6121133A (en)
EP (1) EP1019951A1 (en)
JP (1) JP3978310B2 (en)
KR (1) KR100424533B1 (en)
AU (1) AU9109798A (en)
WO (1) WO1999010918A1 (en)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0959496A2 (en) * 1998-05-22 1999-11-24 Applied Materials, Inc. Methods for forming self-planarized dielectric layer for shallow trench integration
WO2001099164A2 (en) * 2000-06-20 2001-12-27 Infineon Technologies North America Corp. Patterning method using a removable inorganic antireflection coating
US6423631B1 (en) * 1997-08-22 2002-07-23 Micron Technology, Inc. Isolation using an antireflective coating
US6444588B1 (en) 1999-04-26 2002-09-03 Micron Technology, Inc. Anti-reflective coatings and methods regarding same
WO2002099857A1 (en) * 2001-06-06 2002-12-12 Advanced Micro Devices, Inc. Anti-reflective coating and methods of making the same
US6541843B2 (en) 1998-09-03 2003-04-01 Micron Technology, Inc. Anti-reflective coatings and methods for forming and using same
DE10218955A1 (en) * 2002-04-27 2003-11-13 Infineon Technologies Ag Production of structured layer on semiconductor substrate used in highly integrated electrical circuits comprises forming an N-containing dielectric antireflection layer on the layer to be structured on the substrate and further processing
US6858898B1 (en) * 1999-03-23 2005-02-22 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US6940124B2 (en) 1999-04-30 2005-09-06 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
JP2010217744A (en) * 2009-03-18 2010-09-30 Toppan Printing Co Ltd Method of manufacturing transfer mask, and transfer mask

Families Citing this family (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6376337B1 (en) * 1997-11-10 2002-04-23 Nanodynamics, Inc. Epitaxial SiOx barrier/insulation layer
US6436746B1 (en) * 1998-01-12 2002-08-20 Texas Instruments Incorporated Transistor having an improved gate structure and method of construction
US6274292B1 (en) 1998-02-25 2001-08-14 Micron Technology, Inc. Semiconductor processing methods
US7804115B2 (en) 1998-02-25 2010-09-28 Micron Technology, Inc. Semiconductor constructions having antireflective portions
US6268282B1 (en) * 1998-09-03 2001-07-31 Micron Technology, Inc. Semiconductor processing methods of forming and utilizing antireflective material layers, and methods of forming transistor gate stacks
US6291361B1 (en) * 1999-03-24 2001-09-18 Conexant Systems, Inc. Method and apparatus for high-resolution in-situ plasma etching of inorganic and metal films
US6335235B1 (en) * 1999-08-17 2002-01-01 Advanced Micro Devices, Inc. Simplified method of patterning field dielectric regions in a semiconductor device
US7067414B1 (en) 1999-09-01 2006-06-27 Micron Technology, Inc. Low k interlevel dielectric layer fabrication methods
US6440860B1 (en) * 2000-01-18 2002-08-27 Micron Technology, Inc. Semiconductor processing methods of transferring patterns from patterned photoresists to materials, and structures comprising silicon nitride
JP2001313389A (en) * 2000-05-01 2001-11-09 Seiko Epson Corp Semiconductor device and method of manufacturing the same
US6268299B1 (en) * 2000-09-25 2001-07-31 International Business Machines Corporation Variable stoichiometry silicon nitride barrier films for tunable etch selectivity and enhanced hyrogen permeability
US7172960B2 (en) * 2000-12-27 2007-02-06 Intel Corporation Multi-layer film stack for extinction of substrate reflections during patterning
US6569784B1 (en) 2001-07-20 2003-05-27 Taiwan Semiconductor Manufacturing Company Material of photoresist protect oxide
US6841491B1 (en) * 2001-11-08 2005-01-11 Cypress Semiconductor Corporation In situ deposition of a nitride layer and of an anti-reflective layer
DE10156865A1 (en) * 2001-11-20 2003-05-28 Infineon Technologies Ag Process for forming a structure in a semiconductor substrate comprises transferring a photolithographic structure on a photoresist layer into an anti-reflective layer
US6573175B1 (en) * 2001-11-30 2003-06-03 Micron Technology, Inc. Dry low k film application for interlevel dielectric and method of cleaning etched features
US6613649B2 (en) * 2001-12-05 2003-09-02 Chartered Semiconductor Manufacturing Ltd Method for buffer STI scheme with a hard mask layer as an oxidation barrier
US6713404B2 (en) * 2002-03-05 2004-03-30 Micron Technology, Inc. Methods of forming semiconductor constructions
US6743726B2 (en) * 2002-07-11 2004-06-01 Promos Technologies, Inc. Method for etching a trench through an anti-reflective coating
DE10250902B4 (en) * 2002-10-31 2009-06-18 Advanced Micro Devices, Inc., Sunnyvale A method of removing structural elements using an improved ablation process in the manufacture of a semiconductor device
US7510927B2 (en) * 2002-12-26 2009-03-31 Intel Corporation LOCOS isolation for fully-depleted SOI devices
US6946352B2 (en) * 2003-07-24 2005-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS image sensor device and method
US7300702B2 (en) * 2003-08-18 2007-11-27 Honeywell International, Inc. Diffusion barrier coating for Si-based components
US6979627B2 (en) * 2004-04-30 2005-12-27 Freescale Semiconductor, Inc. Isolation trench
US7915175B1 (en) 2004-06-25 2011-03-29 Cypress Semiconductor Corporation Etching nitride and anti-reflective coating
US20060071301A1 (en) * 2004-10-06 2006-04-06 Luo Shing A Silicon rich dielectric antireflective coating
US7651955B2 (en) * 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
JP4499623B2 (en) * 2005-06-28 2010-07-07 Okiセミコンダクタ株式会社 Manufacturing method of semiconductor device
JP5069109B2 (en) * 2005-06-29 2012-11-07 スパンション エルエルシー Semiconductor device and manufacturing method thereof
US7276417B2 (en) * 2005-12-28 2007-10-02 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid STI stressor with selective re-oxidation anneal
US20070236798A1 (en) * 2006-04-05 2007-10-11 Shelestak Larry J Antireflective coating and substrates coated therewith
US7491622B2 (en) * 2006-04-24 2009-02-17 Freescale Semiconductor, Inc. Process of forming an electronic device including a layer formed using an inductively coupled plasma
US7670895B2 (en) 2006-04-24 2010-03-02 Freescale Semiconductor, Inc Process of forming an electronic device including a semiconductor layer and another layer adjacent to an opening within the semiconductor layer
US20070249127A1 (en) * 2006-04-24 2007-10-25 Freescale Semiconductor, Inc. Electronic device including a semiconductor layer and a sidewall spacer and a process of forming the same
US7528078B2 (en) 2006-05-12 2009-05-05 Freescale Semiconductor, Inc. Process of forming electronic device including a densified nitride layer adjacent to an opening within a semiconductor layer
DE102006048960B4 (en) * 2006-10-17 2016-12-15 Texas Instruments Deutschland Gmbh Method for producing insulation structures with integrated deep and shallow trenches
US7951707B2 (en) * 2007-03-21 2011-05-31 Macronix International Co., Ltd. Etching method for semiconductor element
CA2684967C (en) * 2007-05-07 2014-08-19 Georgia Tech Research Corporation Formation of high quality back contact with screen-printed local back surface field
US7772094B2 (en) 2007-12-28 2010-08-10 Texas Instuments Incorporated Implant damage of layer for easy removal and reduced silicon recess
US20090286349A1 (en) * 2008-05-13 2009-11-19 Georgia Tech Research Corporation Solar cell spin-on based process for simultaneous diffusion and passivation
US20110151222A1 (en) * 2009-12-22 2011-06-23 Agc Flat Glass North America, Inc. Anti-reflective coatings and methods of making the same
US8980711B2 (en) * 2012-02-28 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Memory device structure and method
US10566200B2 (en) * 2018-04-03 2020-02-18 Texas Instruments Incorporated Method of fabricating transistors, including ambient oxidizing after etchings into barrier layers and anti-reflecting coatings
US20200286742A1 (en) * 2019-03-06 2020-09-10 Kateeva, Inc. Remote plasma etch using inkjet printed etch mask

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6159820A (en) * 1984-08-31 1986-03-27 Fujitsu Ltd Manufacture of semiconductor device
US4764248A (en) * 1987-04-13 1988-08-16 Cypress Semiconductor Corporation Rapid thermal nitridized oxide locos process
JPH03101147A (en) * 1989-09-13 1991-04-25 Toshiba Corp Manufacture of semiconductor device
JPH0831811A (en) * 1994-07-15 1996-02-02 Sony Corp Method for forming element isolation area of semiconductor device
JPH0831812A (en) * 1994-07-15 1996-02-02 Sony Corp Formation of element-isolating area for semiconductor device
JPH09134914A (en) * 1995-11-08 1997-05-20 Oki Electric Ind Co Ltd Formation of element isolation region
US5639687A (en) * 1993-07-06 1997-06-17 Motorola Inc. Method for forming an integrated circuit pattern on a semiconductor substrate using silicon-rich silicon nitride

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5642377A (en) 1979-09-14 1981-04-20 Fujitsu Ltd Ultraviolet ray erasable type rewritable read-only memory
JPS5690525A (en) 1979-11-28 1981-07-22 Fujitsu Ltd Manufacture of semiconductor device
JPS5693344A (en) 1979-12-26 1981-07-28 Fujitsu Ltd Manufacture of semiconductor device
US4451969A (en) 1983-01-10 1984-06-05 Mobil Solar Energy Corporation Method of fabricating solar cells
JPH0752673B2 (en) * 1989-01-18 1995-06-05 シャープ株式会社 Thin film EL device
US4921572A (en) 1989-05-04 1990-05-01 Olin Corporation Etchant solutions containing hydrogen fluoride and a polyammonium fluoride salt
DE3941797A1 (en) * 1989-12-19 1991-06-20 Leybold Ag BELAG, CONSISTING OF AN OPTICAL LAYER SYSTEM, FOR SUBSTRATES, IN WHICH THE LAYER SYSTEM IN PARTICULAR HAS A HIGH ANTI-FLEXIBLE EFFECT, AND METHOD FOR PRODUCING THE LAMINATE
US5677111A (en) 1991-12-20 1997-10-14 Sony Corporation Process for production of micropattern utilizing antireflection film
US5472827A (en) 1991-12-30 1995-12-05 Sony Corporation Method of forming a resist pattern using an anti-reflective layer
US5270241A (en) 1992-03-13 1993-12-14 Micron Technology, Inc. Optimized container stacked capacitor DRAM cell utilizing sacrificial oxide deposition and chemical mechanical polishing
DE4231312C2 (en) * 1992-09-18 1996-10-02 Siemens Ag Anti-reflective layer and method for the lithographic structuring of a layer
US5392189A (en) 1993-04-02 1995-02-21 Micron Semiconductor, Inc. Capacitor compatible with high dielectric constant materials having two independent insulative layers and the method for forming same
US5580815A (en) * 1993-08-12 1996-12-03 Motorola Inc. Process for forming field isolation and a structure over a semiconductor substrate
US5441914A (en) * 1994-05-02 1995-08-15 Motorola Inc. Method of forming conductive interconnect structure
US5374585A (en) * 1994-05-09 1994-12-20 Motorola, Inc. Process for forming field isolation
US5418019A (en) * 1994-05-25 1995-05-23 Georgia Tech Research Corporation Method for low temperature plasma enhanced chemical vapor deposition (PECVD) of an oxide and nitride antireflection coating on silicon
US5510271A (en) * 1994-09-09 1996-04-23 Georgia Tech Research Corporation Processes for producing low cost, high efficiency silicon solar cells
US5710067A (en) 1995-06-07 1998-01-20 Advanced Micro Devices, Inc. Silicon oxime film
US5716535A (en) 1996-03-05 1998-02-10 Micron Technology, Inc. Methods and etchants for etching oxides of silicon with low selectivity
US6127262A (en) 1996-06-28 2000-10-03 Applied Materials, Inc. Method and apparatus for depositing an etch stop layer
US5994217A (en) 1996-12-16 1999-11-30 Chartered Semiconductor Manufacturing Ltd. Post metallization stress relief annealing heat treatment for ARC TiN over aluminum layers
US5796151A (en) * 1996-12-19 1998-08-18 Texas Instruments Incorporated Semiconductor stack having a dielectric sidewall for prevention of oxidation of tungsten in tungsten capped poly-silicon gate electrodes
US5886391A (en) 1997-04-18 1999-03-23 Micron Technology, Inc. Antireflective structure
US5930627A (en) 1997-05-05 1999-07-27 Chartered Semiconductor Manufacturing Company, Ltd. Process improvements in self-aligned polysilicon MOSFET technology using silicon oxynitride
US6121133A (en) * 1997-08-22 2000-09-19 Micron Technology, Inc. Isolation using an antireflective coating
US6114235A (en) 1997-09-05 2000-09-05 Advanced Micro Devices, Inc. Multipurpose cap layer dielectric
US5990524A (en) 1997-12-18 1999-11-23 Advanced Micro Devices, Inc. Silicon oxime spacer for preventing over-etching during local interconnect formation
US6117741A (en) 1998-01-09 2000-09-12 Texas Instruments Incorporated Method of forming a transistor having an improved sidewall gate structure
US6274292B1 (en) 1998-02-25 2001-08-14 Micron Technology, Inc. Semiconductor processing methods
US6103456A (en) 1998-07-22 2000-08-15 Siemens Aktiengesellschaft Prevention of photoresist poisoning from dielectric antireflective coating in semiconductor fabrication
US6380611B1 (en) 1998-09-03 2002-04-30 Micron Technology, Inc. Treatment for film surface to reduce photo footing
US6294459B1 (en) 1998-09-03 2001-09-25 Micron Technology, Inc. Anti-reflective coatings and methods for forming and using same
US6228760B1 (en) 1999-03-08 2001-05-08 Taiwan Semiconductor Manufacturing Company Use of PE-SiON or PE-OXIDE for contact or via photo and for defect reduction with oxide and W chemical-mechanical polish
US6444588B1 (en) 1999-04-26 2002-09-03 Micron Technology, Inc. Anti-reflective coatings and methods regarding same
US6124178A (en) 1999-08-26 2000-09-26 Mosel Vitelic, Inc. Method of manufacturing MOSFET devices
US6225216B1 (en) 1999-10-15 2001-05-01 Advanced Micro Devices, Inc. Method of forming a local interconnect with improved etch selectivity of silicon dioxide/silicide

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6159820A (en) * 1984-08-31 1986-03-27 Fujitsu Ltd Manufacture of semiconductor device
US4764248A (en) * 1987-04-13 1988-08-16 Cypress Semiconductor Corporation Rapid thermal nitridized oxide locos process
JPH03101147A (en) * 1989-09-13 1991-04-25 Toshiba Corp Manufacture of semiconductor device
US5639687A (en) * 1993-07-06 1997-06-17 Motorola Inc. Method for forming an integrated circuit pattern on a semiconductor substrate using silicon-rich silicon nitride
JPH0831811A (en) * 1994-07-15 1996-02-02 Sony Corp Method for forming element isolation area of semiconductor device
JPH0831812A (en) * 1994-07-15 1996-02-02 Sony Corp Formation of element-isolating area for semiconductor device
JPH09134914A (en) * 1995-11-08 1997-05-20 Oki Electric Ind Co Ltd Formation of element isolation region

Non-Patent Citations (7)

* Cited by examiner, † Cited by third party
Title
ONG T P ET AL: "CVD SINX ANTI-REFLECTIVE COATING FOR SUB-0.5 MUM LITHOGRAPHY", 1995 SYMPOSIUM ON VLSI TECHNOLOGY. DIGEST OF TECHNICAL PAPERS, KYOTO, JUNE 6 - 8, 1995, no. SYMP. 15, 6 June 1995 (1995-06-06), INSTITUTE OF ELECTRICAL AND ELECTRONICS ENGINEERS, pages 73/74, XP000580834 *
PATENT ABSTRACTS OF JAPAN vol. 010, no. 224 (E - 425) 5 August 1986 (1986-08-05) *
PATENT ABSTRACTS OF JAPAN vol. 015, no. 288 (E - 1092) 22 July 1991 (1991-07-22) *
PATENT ABSTRACTS OF JAPAN vol. 096, no. 006 28 June 1996 (1996-06-28) *
PATENT ABSTRACTS OF JAPAN vol. 097, no. 009 30 September 1997 (1997-09-30) *
See also references of EP1019951A1 *
TETSUO GOCHO ET AL: "CVD METHOD OF ANTI-REFLECTIVE LAYER FILM FOR EXCIMER LASER LITHOGRAPHY", INTERNATIONAL CONFERENCE ON SOLID STATE DEVICES AND MATERIALS, 29 August 1993 (1993-08-29), pages 570 - 572, XP000409442 *

Cited By (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6605502B2 (en) 1997-08-22 2003-08-12 Micron Technology, Inc. Isolation using an antireflective coating
US6423631B1 (en) * 1997-08-22 2002-07-23 Micron Technology, Inc. Isolation using an antireflective coating
US6495450B1 (en) * 1997-08-22 2002-12-17 Micron Technology, Inc. Isolation using an antireflective coating
EP0959496A2 (en) * 1998-05-22 1999-11-24 Applied Materials, Inc. Methods for forming self-planarized dielectric layer for shallow trench integration
EP0959496A3 (en) * 1998-05-22 1999-12-15 Applied Materials, Inc. Methods for forming self-planarized dielectric layer for shallow trench integration
US6673713B2 (en) 1998-09-03 2004-01-06 Micron Technology, Inc. Anti-reflective coatings and methods for forming and using same
US6541843B2 (en) 1998-09-03 2003-04-01 Micron Technology, Inc. Anti-reflective coatings and methods for forming and using same
US6784094B2 (en) 1998-09-03 2004-08-31 Micron Technology, Inc. Anti-reflective coatings and methods for forming and using same
US7504343B2 (en) 1999-03-23 2009-03-17 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US8154059B2 (en) 1999-03-23 2012-04-10 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US7821071B2 (en) 1999-03-23 2010-10-26 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US8610182B2 (en) 1999-03-23 2013-12-17 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US9806096B2 (en) 1999-03-23 2017-10-31 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US7064388B2 (en) 1999-03-23 2006-06-20 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US9196632B2 (en) 1999-03-23 2015-11-24 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US6858898B1 (en) * 1999-03-23 2005-02-22 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US6444588B1 (en) 1999-04-26 2002-09-03 Micron Technology, Inc. Anti-reflective coatings and methods regarding same
US6940124B2 (en) 1999-04-30 2005-09-06 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US7855416B2 (en) 1999-04-30 2010-12-21 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US6607984B1 (en) 2000-06-20 2003-08-19 International Business Machines Corporation Removable inorganic anti-reflection coating process
WO2001099164A3 (en) * 2000-06-20 2002-07-25 Infineon Technologies Corp Patterning method using a removable inorganic antireflection coating
WO2001099164A2 (en) * 2000-06-20 2001-12-27 Infineon Technologies North America Corp. Patterning method using a removable inorganic antireflection coating
WO2002099857A1 (en) * 2001-06-06 2002-12-12 Advanced Micro Devices, Inc. Anti-reflective coating and methods of making the same
US7105279B2 (en) 2002-04-27 2006-09-12 Infineon Technologies Ag Method for fabricating a patterned layer on a semiconductor substrate
DE10218955B4 (en) * 2002-04-27 2004-09-09 Infineon Technologies Ag Method for producing a structured layer on a semiconductor substrate
DE10218955A1 (en) * 2002-04-27 2003-11-13 Infineon Technologies Ag Production of structured layer on semiconductor substrate used in highly integrated electrical circuits comprises forming an N-containing dielectric antireflection layer on the layer to be structured on the substrate and further processing
JP2010217744A (en) * 2009-03-18 2010-09-30 Toppan Printing Co Ltd Method of manufacturing transfer mask, and transfer mask

Also Published As

Publication number Publication date
JP2001514445A (en) 2001-09-11
US6121133A (en) 2000-09-19
AU9109798A (en) 1999-03-16
KR100424533B1 (en) 2004-03-27
US20020155698A1 (en) 2002-10-24
US6495450B1 (en) 2002-12-17
JP3978310B2 (en) 2007-09-19
EP1019951A1 (en) 2000-07-19
US6174590B1 (en) 2001-01-16
US6605502B2 (en) 2003-08-12
KR20010023202A (en) 2001-03-26
US6423631B1 (en) 2002-07-23

Similar Documents

Publication Publication Date Title
US6423631B1 (en) Isolation using an antireflective coating
US7125783B2 (en) Dielectric anti-reflective coating surface treatment to prevent defect generation in associated wet clean
KR100870616B1 (en) Methods of Forming Trench Isolation Regions
US5786262A (en) Self-planarized gapfilling for shallow trench isolation
US6764922B2 (en) Method of formation of an oxynitride shallow trench isolation
US5930646A (en) Method of shallow trench isolation
US6541843B2 (en) Anti-reflective coatings and methods for forming and using same
US6444588B1 (en) Anti-reflective coatings and methods regarding same
US6015757A (en) Method of oxide etching with high selectivity to silicon nitride by using polysilicon layer
US6255717B1 (en) Shallow trench isolation using antireflection layer
US6001704A (en) Method of fabricating a shallow trench isolation by using oxide/oxynitride layers
US6509271B1 (en) Manufacturing method of semiconductor device
US5786229A (en) Method for providing isolation between semiconductor devices using epitaxial growth and polishing
US6194288B1 (en) Implant N2 into a pad oxide film to mask the active region and grow field oxide without Si3N4 film
US6821883B1 (en) Shallow trench isolation using antireflection layer
KR100318461B1 (en) Semiconductor device isolation method
KR100315029B1 (en) Trench Formation Method of Semiconductor Device
KR100237749B1 (en) Method of forming a device isolation film of semiconductor device
KR20020045894A (en) Method for forming a isolation film
US7061075B1 (en) Shallow trench isolation using antireflection layer
KR100361520B1 (en) A method of device isolation in semiconductor device
KR100228345B1 (en) Method for isolating semiconductor device
KR19990034473A (en) Semiconductor device manufacturing method
KR20000026194A (en) Manufacturing method of trench for isolating semiconductor device
KR20020094596A (en) A method for fabricating trench of semiconductor device

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AL AM AT AU AZ BA BB BG BR BY CA CH CN CU CZ DE DK EE ES FI GB GE GH HR HU ID IL IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MD MG MK MN MW MX NO NZ PL PT RO RU SD SE SG SI SK SL TJ TM TR TT UA UG UZ VN YU ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): GH GM KE LS MW SD SZ UG ZW AM AZ BY KG KZ MD RU TJ TM AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE BF BJ CF CG CI CM GA GN GW ML MR NE SN TD TG

DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
121 Ep: the epo has been informed by wipo that ep was designated in this application
ENP Entry into the national phase

Ref country code: JP

Ref document number: 2000 508135

Kind code of ref document: A

Format of ref document f/p: F

WWE Wipo information: entry into national phase

Ref document number: 1020007001831

Country of ref document: KR

WWE Wipo information: entry into national phase

Ref document number: 1998943267

Country of ref document: EP

REG Reference to national code

Ref country code: DE

Ref legal event code: 8642

WWP Wipo information: published in national office

Ref document number: 1998943267

Country of ref document: EP

NENP Non-entry into the national phase

Ref country code: CA

WWP Wipo information: published in national office

Ref document number: 1020007001831

Country of ref document: KR

WWG Wipo information: grant in national office

Ref document number: 1020007001831

Country of ref document: KR