WO1998054632A2 - Semiconductor wafer processing with defect eradication - Google Patents

Semiconductor wafer processing with defect eradication Download PDF

Info

Publication number
WO1998054632A2
WO1998054632A2 PCT/US1998/010658 US9810658W WO9854632A2 WO 1998054632 A2 WO1998054632 A2 WO 1998054632A2 US 9810658 W US9810658 W US 9810658W WO 9854632 A2 WO9854632 A2 WO 9854632A2
Authority
WO
WIPO (PCT)
Prior art keywords
defects
wafer
wafers
station
stubborn
Prior art date
Application number
PCT/US1998/010658
Other languages
French (fr)
Other versions
WO1998054632A3 (en
Inventor
Paul P. Castrucci
Kenneth Baldwin
Original Assignee
Castrucci Paul P
Kenneth Baldwin
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Castrucci Paul P, Kenneth Baldwin filed Critical Castrucci Paul P
Priority to AU75977/98A priority Critical patent/AU7597798A/en
Publication of WO1998054632A2 publication Critical patent/WO1998054632A2/en
Publication of WO1998054632A3 publication Critical patent/WO1998054632A3/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices

Definitions

  • This invention relates to manufacturing methods and apparatus. More particularly, the invention relates to manufacturing methods and apparatus for defect eradication on semiconductor wafers and the like.
  • Surface contaminant defects include discrete pieces of matter that range in size from submicron dimension to granules visible to observation with the eye. Such contaminants may be fine dust, dirt particles, or unwanted molecules comprised of elements such as carbon, hydrogen, and/or oxygen. Particulate contaminants
  • Particulates frequently adhere to a surface by weak covalent bonds, electrostatic forces, van der Waals forces, hydrogen bonding, coulombic forces, or dipole-dipole interactions, making removal of the particulates difficult.
  • Particulates frequently encountered in practice include polysilicon slivers, photoresist particles, metal oxide particles, metal particles, and slurry residue. It is known that not all particulates are equally undesirable. For example, particulates that adhere at some non-sensitive portions of the IC circuitry may have no effect on operation or performance, and need not necessarily be removed (“don't cares"). On the other hand, particulates that adhere at critical locations (“killer defects”) can cause failure of the IC circuitry and must be removed for proper operation.
  • the presence of surface contaminants renders the contaminated substrate less efficient or inoperable for the substrate's designated purpose.
  • surface defects due to minor molecular contaminants often render semiconductor masks or chips worthless.
  • Tables 1 and 2 above reducing the number of molecular surface defects on a semiconductor wafer by even a small amount can radically improve semiconductor chip test yields.
  • removing molecular surface contaminants, such as carbon or oxygen, from the surface of silicon wafer circuit layers as deposited on the wafer or between deposition of layers significantly improves the quality of the IC chip produced.
  • Annealing treatment methods suffer similar drawbacks.
  • the treatment surface of the substrate being cleaned is heated to a temperature that is generally below the melting point of the material being treated but high enough to enable rearrangement of the material's crystal structure.
  • the surface being treated is held at this elevated temperature for an extended period during which time the surface molecular structure is rearranged and contaminants are removed by ultra high vacuum.
  • Annealing cleaning methods cannot be used where it is desired to preserve the integrity of the existing structure being cleaned.
  • ablation Another currently utilized cleaning method, known as ablation, suffers from its own particular drawbacks.
  • ablation a surface or contaminants on a surface are heated to the point of vaporization.
  • the material may melt before being vaporized, or the material may sublimate directly on heating.
  • ablation cleaning techniques if damage to the treatment surface is to be prevented, the ablation energy must be exactly aimed toward contaminants rather than toward the surface on which the contaminants lie, a difficult task when the contaminants are extremely small or randomly spaced. Even where the ablation energy can be successfully directed at a contaminant, it is difficult to vaporize the contaminant without also damaging the underlying treatment surface.
  • Surface cleaning by melting, annealing, and thermal ablation can be conducted with a laser energy source.
  • the laser annealing method disclosed requires both vacuum conditions and energy levels sufficient to cause rearrangement and melting of the treatment surface.
  • Other known laser surface cleaning methods involving melting or annealing require similar high energy lasing and or vacuum conditions, as disclosed in U.S. Pat. Nos. 4,181,538 and 4,680,616. The method of U.S.
  • Pat. No. 3,464,534 suffers the same drawbacks as other high energy laser thermal ablation methods.
  • the method of U.S. Pat. No. 4,980,536 to Asch et al. uses a high power density excimer laser pulse directed to both front and back sides of a mask to remove small particles.
  • the method of U.S. Pat. No. 4,987,286 to Allen uses an energy transfer medium interposed between each particle to be removed and the surface to which the particles are adhered.
  • the method of U.S. Pat. Nos. 5,283,417 and 5,393,957 to Misawa et al. uses two lasers, a pulsed laser and a trapping laser, to perform modification and processing of particles and microcapsules.
  • a first major object or purpose of the invention is a system for enhancing test yield in semiconductor manufacturing.
  • a second object is a set of methods that enables enhanced test yield in semiconductor manufacturing.
  • a related object is a system that integrates particularly effective cleaning methods into manufacturing tooling for achieving extremely low defect densities, especially for very small particulate defects that affect test yield of semiconductor products characterized by extremely fine minimum dimensions.
  • a further related object is a system that is capable of locating, identifying, and removing individual defects of various sizes, shapes, and compositions from wafer surfaces at various stages in the wafers' fabrication.
  • a still further related object is a system that is capable of individually locating, identifying, and removing those particular individual defects that are "killer" defects, i.e.
  • a more practical object is a system that incorporates cleaning apparatus and methods capable of area cleaning of wafers and also capable of local removal of individual particulates from wafers.
  • a more detailed object is a system including means for transferring semiconductor wafers among a number of processing stations under program control and for creating and maintaining a data record for each wafer indicating processing results at each processing station, also including means for performing a wafer surface cleaning of defects using a photon flux process followed by vapor cleansing, and also including means for transferring cleaned wafers to an output station.
  • Another detailed object is a method for processing wafers including the steps of transferring each wafer to a number of processing stations in a predetermined sequence starting at an input station and ending at an output station, creating and maintaining a data record at each of the stations, mapping and recording the locations of defects on each wafer, cleaning defects from each wafer using a photon flux process followed by vapor cleansing, and transfering the wafers to an output station.
  • An improved semiconductor wafer processing apparatus includes a series of processing stations, in one form, coupled together by computer-controlled cluster tooling which is programmed to achieve a selected wafer throughput for the apparatus at a selected defect level in the range of at least 0.01 defects/cm 2 .
  • Wafers are supplied in a pod to an input station which initiates a data record for recording wafer processing results at each processing station and transfers individual wafers to a precleaning station under control of the wafer handling equipment.
  • the pre-cleaning station performs a self-directed vacuum bake for each wafer after which the pod is transferred to a self-directed defect mapping station where wafer surface defects are identified and located in their x-y coordinates.
  • the defect-mapped wafers are transferred to a self-directed (i.e., computer-controlled) laser area cleaning station which lifts the defects and sweeps the wafer surface clean, except for stubborn defects. Clean wafers are transferred to a final mapping station where the wafer record is updated, followed by transfer of the wafers to an output station.
  • Wafers including stubborn defects are transferred to a second wafer defect mapping station where the stubborn defects are located in x-y coordinates, after which those wafers are transferred to a self-directed (i.e., computer-controlled) Defect Review Tool incorporating a Scanning Electron Microscope (SEM-DRT).
  • SEM-DRT Scanning Electron Microscope
  • An ultra high power wafer surface SEM image review of stubborn defects is performed including a chemical analysis (by energy-dispersive spectroscopy) of the stubborn defects, after which the wafers are routed to a self-directed (i.e., computer-controlled) laser point cleaning station which addresses each stubborn defect identified by x-y coordinates denoted in the data record accompanying the wafer.
  • the laser point cleaning station performs a defect removal operation by lifting and sweeping each stubborn defect from the wafer surface followed by transferring the cleaned wafers to a third wafer defect mapping station where any stubborn defects remaining are mapped in x-y coordinates and recorded in the accompanying data record, after which these wafers are transferred to a second laser area cleaning station.
  • a final cleaning is performed at the second laser cleaning station followed by transfer of the wafers to a final mapping station for location in x-y coordinates of any remaining stubborn defects.
  • the accompanying data records for the wafers are updated. Finally, the wafers are transferred to the output station.
  • Figure 1 is a plan view of manufacturing apparatus incorporating the principles of the present invention of defect eradication on semiconductor wafers.
  • Figure 2 is a flow chart illustrating the steps of a manufacturing method performed in accordance with the invention.
  • Figure 3 is a plan view of a simplified manufacturing apparatus incorporating the principles of the present invention.
  • Figure 4 is a plan view of another simplified manufacturing apparatus incorporating the principles of the present invention.
  • Figure 5 is a graph illustrating a conventional S-shaped yield learning curve commonly occurring in semiconductor fabrication.
  • the invention employs a process (hereafter "Radiance Process”), described in U.S. Pat. No. 5,024,968 to Engelsberg et al., which is based upon the principles of quantum physics rather than chemistry for wafer cleaning purposes. Related methods are described in U.S. Pat. Nos. 5,099,557, 5,531,857, and 5,643,472 to Engelsberg et al. The entire disclosures of U.S. Pat. Nos. 5,024,968, 5,099,557, 5,531,857, and 5,643,472 are incorporated herein by reference. As used in the present invention, the Radiance Process comprises of two components:
  • a photon flux is applied to the surface to be cleaned. This is usually from a deep ultraviolet excimer laser, but Nd:YAG or CO 2 lasers are sometimes suitable.
  • the light source and energy and power fluxes are determined by the combination of surface and contaminant.
  • the photon flux provides sufficient energy to break the bonds holding contaminants to a surface.
  • the contaminants must be removed from the work area. This is accomplished by the use of a flowing gas, usually in a laminar regime to provide a stable boimdary layer.
  • the gas usually nitrogen or argon, must be chosen so as to obviate reactions between it and the surface, noting the photocatalytic effect of some forms of photon flux.
  • the gas may have a role in the process of ejecting the contaminants once they are free of the surface.
  • the process may be applied to a variety of surface configurations ranging from flat surfaces to irregular broken crystals.
  • Processing speed is largely determined by the choice of a particular light source.
  • Process optimization involves tradeoffs of speed, cost, tool size, tool components and operator involvement. There appears to be a range of processing parameters which can produce the desired cleaning for most applications.
  • test yields at which a transition is made between the three indicated regimes are somewhat arbitrary and may vary with the particular semiconductor product and/or fabrication process.
  • the dividing line between regimes I and II may vary from about 5% test yield to about 30% test yield, for example, with similar variations for the transition from regime II to regime III.
  • Figure 1 shows a generic defect eradicator system 10, nicknamed MIDAS or YES (Yield Enhancement System), that utilizes laser cleaning technology and wafer defect mapping technology/tooling/SEM defect revenue tooling and a cluster tooling main frame architecture.
  • the system elements include the following: area laser cleaner station 11, 12 and laser point cleaner station 13, which may be apparatus manufactured by Radiance Services Company, Bethesda, Maryland; wafer mappers 18 - 22, which may be apparatus manufactured by KLA, 160 San Roblas, San Jose, California; Scanning Electron
  • Microscope - Defect Review Tool (SEM-DRT) 24, which may be apparatus manufactured by Amray, 160 Middlesex Turnpike, Bedford, Massachusetts; and computer-controlled cluster tooling 26 for wafer handling purposes including input and output ports 28, 30 respectively, which may be apparatus manufactured by Applied Materials, 350 Bowers Avenue, Santa Clara, California.
  • the cluster tooling may include a wafer pre-clean station 34.
  • Laser cleaner stations utilize the Radiance Processes described in the U.S. Pat. Nos. 5,024,968, 5,099,557, 5,531,857, and 5,643,472. Curved arrows in FIGS 1, 3, and 4 show schematically the movement of wafers from station to station.
  • the wafer yield enhancement/improvement system described in the following description will eradicate in-line IC defects and thereby increase IC yields and dramatically increase the individual wafer revenue potential by using the following process in the overall apparatus 10.
  • Most of the stations of FIGS. 1, 3, and 4 operate with gas atmospheres such as clean air.
  • some of the stations e.g., wafer pre-clean station 34 and SEM-DRT 24
  • the overall process comprises the steps shown schematically in FIG. 2. Specific steps are denoted by reference numerals SI ... SI 2. Wafers coming to the system in a pod of wafers (not shown) are processed in the following manner: (1) Wafer pod loaded (SI) at input station 28. (2) Optionally, wafers are processed (S2) one by one at a pre-clean station 34 (vacuum bake station).
  • SI Wafer pod loaded
  • pre-clean station 34 vacuum bake station
  • Wafers are moved to a wafer mapper station 14. Wafers are mapped (S3) for defects and their x-y positions (positional coordinates).
  • Wafers are illuminated one-by-one by an excimer laser and are swept clean (S4) with nitrogen gas in a laser area cleaning station 11.
  • Wavers now are subject to optionally being directed to
  • the wafers are then routed to the Laser-Point Clean station 13. Individual defect locations are addressed and the laser is directed to each x/y location to point clean each individual defect (S8).
  • the wafers are then mapped (Sll) at station 20 and outputted (S12) at output station 30, where the wafers are extremely clean compared with incoming wafers (pre-Midas) and will exhibit dramatically increased wafer yields.
  • the wafer cleaning apparatus of area laser cleaner station 11, 12 and laser point cleaner station 13, and the cleaning methods employed may be similar to those described in U.S. Pat. Nos. 5,024,968, 5,099,557, 5,531,857, and 5,643,472 (each incorporated by reference).
  • the specific apparatus details are shown in the descriptions and drawings of those patents and are not repeated in FIGS. 1 - 5 of the present application. Similar methods are also described in the articles by A. Engelsberg, "Particle Removal from Semiconductor Surfaces Using a Photon-Assisted, Gas-Phase Cleaning Process," Materials Research Society Symposium Proceedings, vol. 315, pp. 255-260, (1993) and "Laser-Assisted Cleaning Proves Promising" Precision Cleaning, May 1995.
  • An assembly holds a substrate (e.g., semiconductor wafer) from which surface particulate defects are to be removed.
  • a gas from a gas source is constantly flowed over the wafer.
  • the gas is inert to the wafer and is flowed across the wafer so as to bathe the wafer in a non-reactive gas environment.
  • the gas is a chemically inert gas such as helium, nitrogen or argon.
  • An enclosure for holding the wafer communicates with a gas source through a series of tubes, valves, and a gas flow meter.
  • the enclosure preferably comprises a stainless steel reaction cell fitted with opposing gas inlet and outlet ports.
  • the enclosure is fitted with a sealed optical grade quartz window or light guide (e.g., a suitable fiber-optic light guide) through which the radiation can pass, or the laser could be placed within the enclosure.
  • the inlet and outlet ports may comprise, for example, stainless steel tubing fitted with valves.
  • valves are preferably metering valves, regulating valves, or bellows valves suitable for high temperature and pressure applications and for use with toxic, hazardous, corrosive or expensive gases or liquids, as for example Swagelok SS-4H sup TM series valves by Swagelok Co. of Solon, Oh.
  • Each wafer is irradiated with high-energy irradiation characterized by an energy density and duration between that required to release surface contaminants from the substrate treatment surface and that required to alter the crystal structure of the substrate treatment surface.
  • a laser generates laser irradiation which is directed against the wafer surface.
  • the energy flux and the wavelength of the high-energy irradiation is preferably selected to be dependent upon the surface defects being removed.
  • a gas analyzer may be connected to area laser cleaner station 11, 12 and laser point cleaner station 13. The gas analyzer analyzes the contents of exhaust gas from the enclosure to facilitate selective energy and wavelength adjustment of the laser.
  • the gas analyzer may be a mass spectrometer as, for example, a quadrapole mass spectrometer manufactured by Bruker Instruments, Inc. of Billerica, Mass. or by Perkin Elmer of Eden Prairie, Minn. Selection of the high-energy irradiation source for use in the invention depends upon the desired irradiation energy and wavelength.
  • the electron volt/photon (eV/photon) of the irradiation is preferably at least twice the energy necessary to break the bonds adhering the particulate contaminants to the surface being cleaned.
  • the bond energies between common contaminants such as particulates composed of compounds of carbon, hydrogen and oxygen, and common substrate materials such as silicon, titanium, germanium, iron, platinum and aluminum range between 2 and 7 eV/bond as disclosed in Handbook of Chemistry and Physics, 68th ed., pp. F-169 to F-177 (CRC Press 1987) which is hereby incorporated by reference. Accordingly, lasers emitting photons with energies in the range of 4 to 14 eV/photons are desirable.
  • the wavelength should be below the wavelength that would compromise the integrity of the substrate surface by the photoelectric effect, as described in G. W. Castellan, Physical Chemistry, 2nd ed., 458-459 (Academic Press, 1975) which is hereby incorporated by reference.
  • the preferred wavelength depends on the molecular species being removed and the resonance states of such species.
  • the wavelengths and photon energies of a number of lasers operable in the invention are listed in Table 1 of U.S. Pat. Nos. 5,024,968 and 5,531,857, and Table lc of U.S. Pat. No. 5,643,472. A number of those lasers are described in greater detail in the following references which are hereby incorporated by reference: M. J. Webber, ed., CRC Handbook of Laser Science, Vols. 1-5 (1982-1987); Mitsuo Maeda, Laser Dyes, (Academic Press 1984); and laser product literature from Lambda Physik at 289 Great Road, Acton, Mass. Coherent, Inc.
  • irradiation energy density and duration of irradiation used is such that the heat of formation is not approached on the wafer surface. Finding the maximum energy usable on a given wafer material will require some experimentation in light of the material's known heat of formation. Thus, annealing, thermal ablation, and melting are prevented from occurring.
  • a suitable trapping system may be connected to apparatus 10 (preferably at laser area clean stations 11 and 12 and laser pin-point clean station 13) for trapping and neutralizing removed contaminant species.
  • the wafers being treated may be selectively exposed to the laser irradiation by a variety of methods.
  • the wafer may be fixed on an X-Y table which is selectively moved with respect to a fixed beam of laser pulses that are directed through a beam splitter and a focusing lens before contacting selected portions of the surface of the wafer over which inert gas flows.
  • laser pulses may be split by beam splitters into two sets of pulses which are selectively moved by adjusting mirrors over the surface of the wafer on a fixed table.
  • a laser power meter allows for close monitoring of the laser power being applied to the wafers.
  • the photons are preferably directed perpendicular to the plane of the portion of the wafer being treated, to maximize the power and energy fluxes at the surface for a given output from the source of photons.
  • the photons may be directed at an angle to the wafer as convenient or necessary for implementation of the process. In some situations, it may be preferable to direct the radiation at an oblique angle to the wafer.
  • the energy and power fluxes at the surface will vary with the angle of incidence of the photons with respect to the plane of the surface, and this variation must be taken into account in selecting the output of the photon source.
  • Figure 1 shows an integrated system that incorporates all four tool elements. However, the four system elements may also be separated into individual "tools.” Wafers would then be transported between the individual Midas Tool elements by using a mini- environment pod.
  • Simplified configuration versions of the integrated system are shown in Figures 3 and 4.
  • Figure 3 is a plan view of a simplified "mid-range” manufacturing apparatus for use in the intermediate (rapid yield-improvement) stage of a typical semiconductor manufacturing process yield-improvement curve.
  • Figure 4 is a plan view of a further simplified "low-end” manufacturing apparatus for use in the latest (high yield) stage of a typical semiconductor manufacturing process yield-improvement curve.
  • one important aspect of the invention is an improved semiconductor wafer processing apparatus that includes a series of processing stations, in one form, coupled together by computer-controlled cluster tooling which is programmed to achieve a selected wafer throughput for the apparatus at a selected defect level in the range of at least 0.01 defects/cm .
  • Wafers are supplied in a pod to an input station which initiates a data record for recording wafer processing results at each processing station.
  • the individual wafers are transferred to a self-directed defect mapping station where wafer surface defects are identified and located in their x-y coordinates.
  • the defect mapped wafers are transferred to a self-directed laser area cleaning station which lifts the defects and sweeps the wafer surface clean, except for stubborn defects.
  • Clean wafers are transferred to a final mapping station where the wafer record is updated, followed by transfer of the wafers to an output station. Wafers including stubborn defects are transferred to a second wafer defect mapping station where the stubborn defects are located in x-y coordinates, after which the wafers are transferred to a self-directed Defect
  • SEM-DRT Scanning Electron Microscope
  • the laser point cleaning station performs a defect removal operation by lifting and sweeping each stubborn defect from the wafer surface followed by transferring the cleaned wafers to a third wafer defect mapping station where any stubborn defects remaining are mapped in x-y coordinates and recorded in the accompanying data record, after which the wafers are transferred to a second laser area cleaning station wafer.
  • a final cleaning is performed at the second laser area cleaning station followed by transfer of the wafers to a final mapping station for location in x-y coordinates of any remaining stubborn defects.
  • the accompanying data records for the wafers are updated followed by transfer of the wafers to the output station. As mentioned above, it is known that not all particulates are equally undesirable.
  • particulates that adhere at some non-sensitive portions of the IC circuitry may have no effect on operation or performance, and need not necessarily be removed- ("don't cares" or "cosmetic" defects).
  • defects having innocuous physical and/or chemical characteristics may be cosmetic defects.
  • particulates that adhere at locations where they would be critical to device operation (“killer defects”) can cause test failure of the IC circuitry and must be removed for proper operation.
  • Each mapped defect may optionally be further characterized by automatic defect classification, as its physical and chemical characteristics may be pertinent to whether the defect is a killer defect.
  • the present invention is adaptable for selective removal of only killer defects. The positional coordinates of mapped defects are compared (by computer software) with device design data for identifying the killer defects critical to device operation, and the photon flux is then selectively applied only at the positional coordinates of the killer defects while ignoring other defects.
  • the following example illustrates the potential dramatic wafer revenue increase for a system on a chip (SOC) IC product with an average sale price (ASP) of $1,000.
  • SOC system on a chip
  • ASP average sale price
  • the SOC product is assumed to have 0.18 micron minimum feature size and 30 mask levels, 22 of them non-critical with 0.25 micrometer minimum feature size, and 8 of them critical with 0.18 micrometer minimum feature size.
  • the total number of 800 mm SOC chips per wafer is 70.
  • the apparatus and methods of the present invention reducing the defect level to 0.008 defects/cm 2 and thus improving the test yield by 3% from 70% to 73%, the added revenue is estimated at $2,000 per wafer. For 25,000 wafer starts per month per fabrication facility, the total added revenue produced by one fabrication facility would be $600 million per year.
  • a manufacturing apparatus made in accordance with the invention is applicable to manufacturing processes that require extremely low defect densities, especially semiconductor wafer and photomask fabrication processes.
  • the methods of the invention can be used to reduce defect densities of semiconductor wafers, thus increasing the yields and lowering the costs of the semiconductor products on the wafers.
  • Similar apparatus suitably arranged can be used in the manufacture of masks, such as glass or quartz photolithography masks or membrane masks used for photolithography, X-ray lithography, electron projection lithography, or ion-projection lithography.

Abstract

An improved semiconductor wafer processing device (10) includes a series of processing stations combined in one form, to achieve a defect level in the range of 0.01 defects/cm2. Wafers are supplied to an input station (28) for recording results at each station. Individual wafers are switched to a computerized defect-mapping station (14) where defects are identified. Defected wafers are then switched to a computerized laser cleaning station (11) which sweeps the wafers surface clean, except for stubborn defects. Wafers are switched to a final mapping station (20 or 22), followed by an output station (30). Wafers with stubborn defects are switched to a 2nd defect-mappng station (16) where stubborn defects are located by coordinates, then the wafers are switched to a Defect Review Tool with a Scanning Electron Microscope (SEM-DRT) (24). A laser point-cleaning station (13) sweeps each stubborn defect. Wafers are switched to a 3rd defect-mapping station (18) for recording, then to a 2nd laser cleaning station (12) for a final cleaning, followed by a final mapping station (20 or 22) for mapping of any remaining stubborn defects then on to an output station (30).

Description

Title of Invention
SEMICONDUCTOR WAFER PROCESSING APPARATUS AND METHOD WITH DEFECT ERADICATION
DESCRIPTION Cross-reference to related application
This application is related to U.S. provisional patent application Ser. No. 60/047,907 filed in the United States Patent and Trademark Office on 29 May 1997.
Technical Field
This invention relates to manufacturing methods and apparatus. More particularly, the invention relates to manufacturing methods and apparatus for defect eradication on semiconductor wafers and the like.
Background Art The semiconductor industry is moving into the 21st century with accelerating technological speed driven by small feature sizes and large wafers. This advanced technology capability will become increasingly more difficult to harness and more costly to implement. The Semiconductor Industry Association (SIA) Road map projects that the 0.18 micron/300mm wafer technology generation in 2001 will require a level of 0.01 defects/cm2 to produce high yield IC products. Not only is this density very low (2/3 defects per 300 mm wafer), but particles as small as 0.06 micrometer (approximately 100 atoms) in diameter can cause electrical IC product failures. Low defect levels are critical for economic success in the IC industry. Table 1 illustrates the effect of defect density level on test yield for several 0.18 micron products: A dynamic RAM memory (DRAM) of 1 Gigabits per chip, a 1000 MIP microprocessor, and a system-on-a-chip IC product
(SOC). An increase of defect density (microprocessor) from 0.01 D/cm2 to 0.05 D/cm2 reduces the test yield from 70% to 12%. The IC industry's future economic success will have strong dependency on its ability to develop technology of tool systems that maintain very low defect levels, even as the industry produces finer and finer feature sizes. This yield analysis focuses on three products: DRAM, microprocessor, and system on a chip. Test yields were rigorously calculated for these three products and three technology generations — 0.35 micron, 0.25 micron, and 0.10 micron. Table 2 illustrates the specific yields utilized in the study. The IC industry needs technology tools that will eradicate defects in order to achieve the very low defect levels required, even as the industry produces finer and finer feature sizes.
Surface contaminant defects include discrete pieces of matter that range in size from submicron dimension to granules visible to observation with the eye. Such contaminants may be fine dust, dirt particles, or unwanted molecules comprised of elements such as carbon, hydrogen, and/or oxygen. Particulate contaminants
("particulates") frequently adhere to a surface by weak covalent bonds, electrostatic forces, van der Waals forces, hydrogen bonding, coulombic forces, or dipole-dipole interactions, making removal of the particulates difficult. Particulates frequently encountered in practice include polysilicon slivers, photoresist particles, metal oxide particles, metal particles, and slurry residue. It is known that not all particulates are equally undesirable. For example, particulates that adhere at some non-sensitive portions of the IC circuitry may have no effect on operation or performance, and need not necessarily be removed ("don't cares"). On the other hand, particulates that adhere at critical locations ("killer defects") can cause failure of the IC circuitry and must be removed for proper operation. In certain instances, the presence of surface contaminants renders the contaminated substrate less efficient or inoperable for the substrate's designated purpose. In semiconductors, surface defects due to minor molecular contaminants often render semiconductor masks or chips worthless. As shown by Tables 1 and 2 above, reducing the number of molecular surface defects on a semiconductor wafer by even a small amount can radically improve semiconductor chip test yields. Similarly, removing molecular surface contaminants, such as carbon or oxygen, from the surface of silicon wafer circuit layers as deposited on the wafer or between deposition of layers significantly improves the quality of the IC chip produced. Table 1
Figure imgf000005_0001
Table 2
Figure imgf000006_0001
The need for clean surfaces, free of even the finest contaminants, has led to the development of a variety of currently used surface cleaning methods. These known methods, however, each have their own serious drawbacks. For example, widely used chemical and mechanical cleaning techniques require the use of cleaning tools and agents that can introduce as many new contaminants to a treatment surface as they remove. Another currently used method for cleaning substrate surfaces without outside agents requires that the treatment surface be melted to release contaminants which are then removed by ultra high vacuum pressure. This method has the disadvantage that the surface being treated must be briefly melted, which may be undesirable, as for example, when a semiconductor surface is cleaned between deposition of circuit layers and it is desired that the integrity of the previously deposited layers not be disturbed. A further disadvantage with this process is that ultra high vacuum equipment is both expensive and time consuming to operate. Annealing treatment methods suffer similar drawbacks. When a surface is cleaned by annealing methods, the treatment surface of the substrate being cleaned is heated to a temperature that is generally below the melting point of the material being treated but high enough to enable rearrangement of the material's crystal structure. The surface being treated is held at this elevated temperature for an extended period during which time the surface molecular structure is rearranged and contaminants are removed by ultra high vacuum. Annealing cleaning methods cannot be used where it is desired to preserve the integrity of the existing structure being cleaned.
Another currently utilized cleaning method, known as ablation, suffers from its own particular drawbacks. With ablation, a surface or contaminants on a surface are heated to the point of vaporization. Depending on the material being ablated, the material may melt before being vaporized, or the material may sublimate directly on heating. With ablation cleaning techniques, if damage to the treatment surface is to be prevented, the ablation energy must be exactly aimed toward contaminants rather than toward the surface on which the contaminants lie, a difficult task when the contaminants are extremely small or randomly spaced. Even where the ablation energy can be successfully directed at a contaminant, it is difficult to vaporize the contaminant without also damaging the underlying treatment surface. Surface cleaning by melting, annealing, and thermal ablation can be conducted with a laser energy source. However, using a laser energy source to remove contaminants from a surface by melting, annealing or thermal ablation does not overcome the inherent disadvantages or these processes. For example, in U.S. Pat. No. 4,292,093, "Method Using Laser Irradiation For the Production of Atomically Clean
Crystalline Silicon and Germanium Surface," the laser annealing method disclosed requires both vacuum conditions and energy levels sufficient to cause rearrangement and melting of the treatment surface. Other known laser surface cleaning methods involving melting or annealing require similar high energy lasing and or vacuum conditions, as disclosed in U.S. Pat. Nos. 4,181,538 and 4,680,616. The method of U.S.
Pat. No. 3,464,534 suffers the same drawbacks as other high energy laser thermal ablation methods.
The method of U.S. Pat. No. 4,980,536 to Asch et al. uses a high power density excimer laser pulse directed to both front and back sides of a mask to remove small particles. The method of U.S. Pat. No. 4,987,286 to Allen uses an energy transfer medium interposed between each particle to be removed and the surface to which the particles are adhered. The method of U.S. Pat. Nos. 5,283,417 and 5,393,957 to Misawa et al. uses two lasers, a pulsed laser and a trapping laser, to perform modification and processing of particles and microcapsules. The method of U.S. Pat. No. 5,332,879 to Radhakrishnan et al. for removing trace metal contaminants from organic dielectrics such as polyimide uses pulsed ultraviolet radiation to remove the contaminants by a process of ablation. The method of U.S. Pat. No. 5,637,245 to Shelton et al uses a laser for cleaning equipment surfaces and provides a barrier layer at the surface to be cleaned. The barrier layer ensures that energy from the laser light is evenly distributed and shields the surface from oxygen to prevent oxidation of the surface. Objects and Advantages of Invention
A first major object or purpose of the invention is a system for enhancing test yield in semiconductor manufacturing. A second object is a set of methods that enables enhanced test yield in semiconductor manufacturing. A related object is a system that integrates particularly effective cleaning methods into manufacturing tooling for achieving extremely low defect densities, especially for very small particulate defects that affect test yield of semiconductor products characterized by extremely fine minimum dimensions. A further related object is a system that is capable of locating, identifying, and removing individual defects of various sizes, shapes, and compositions from wafer surfaces at various stages in the wafers' fabrication. A still further related object is a system that is capable of individually locating, identifying, and removing those particular individual defects that are "killer" defects, i.e. those defects that, because of their nature, size, and location at critical portions of a semiconductor product design, would adversely affect device functionality or performance. To achieve these objects, a more practical object is a system that incorporates cleaning apparatus and methods capable of area cleaning of wafers and also capable of local removal of individual particulates from wafers. A more detailed object is a system including means for transferring semiconductor wafers among a number of processing stations under program control and for creating and maintaining a data record for each wafer indicating processing results at each processing station, also including means for performing a wafer surface cleaning of defects using a photon flux process followed by vapor cleansing, and also including means for transferring cleaned wafers to an output station. Another detailed object is a method for processing wafers including the steps of transferring each wafer to a number of processing stations in a predetermined sequence starting at an input station and ending at an output station, creating and maintaining a data record at each of the stations, mapping and recording the locations of defects on each wafer, cleaning defects from each wafer using a photon flux process followed by vapor cleansing, and transfering the wafers to an output station. These and other objects, features, and advantages will be apparent from a reading of the following description, along with the accompanying drawings and the appended claims. Disclosure of Invention
This invention is a technology tool that will eradicate defects in order to achieve the very low defect levels required, even as the industry produces finer and finer feature sizes. An improved semiconductor wafer processing apparatus includes a series of processing stations, in one form, coupled together by computer-controlled cluster tooling which is programmed to achieve a selected wafer throughput for the apparatus at a selected defect level in the range of at least 0.01 defects/cm2. Wafers are supplied in a pod to an input station which initiates a data record for recording wafer processing results at each processing station and transfers individual wafers to a precleaning station under control of the wafer handling equipment. The pre-cleaning station performs a self-directed vacuum bake for each wafer after which the pod is transferred to a self-directed defect mapping station where wafer surface defects are identified and located in their x-y coordinates. The defect-mapped wafers are transferred to a self-directed (i.e., computer-controlled) laser area cleaning station which lifts the defects and sweeps the wafer surface clean, except for stubborn defects. Clean wafers are transferred to a final mapping station where the wafer record is updated, followed by transfer of the wafers to an output station. Wafers including stubborn defects are transferred to a second wafer defect mapping station where the stubborn defects are located in x-y coordinates, after which those wafers are transferred to a self-directed (i.e., computer-controlled) Defect Review Tool incorporating a Scanning Electron Microscope (SEM-DRT). An ultra high power wafer surface SEM image review of stubborn defects is performed including a chemical analysis (by energy-dispersive spectroscopy) of the stubborn defects, after which the wafers are routed to a self-directed (i.e., computer-controlled) laser point cleaning station which addresses each stubborn defect identified by x-y coordinates denoted in the data record accompanying the wafer. The laser point cleaning station performs a defect removal operation by lifting and sweeping each stubborn defect from the wafer surface followed by transferring the cleaned wafers to a third wafer defect mapping station where any stubborn defects remaining are mapped in x-y coordinates and recorded in the accompanying data record, after which these wafers are transferred to a second laser area cleaning station. A final cleaning is performed at the second laser cleaning station followed by transfer of the wafers to a final mapping station for location in x-y coordinates of any remaining stubborn defects. The accompanying data records for the wafers are updated. Finally, the wafers are transferred to the output station.
Brief Description of Drawings
Figure 1 is a plan view of manufacturing apparatus incorporating the principles of the present invention of defect eradication on semiconductor wafers.
Figure 2 is a flow chart illustrating the steps of a manufacturing method performed in accordance with the invention.
Figure 3 is a plan view of a simplified manufacturing apparatus incorporating the principles of the present invention.
Figure 4 is a plan view of another simplified manufacturing apparatus incorporating the principles of the present invention.
Figure 5 is a graph illustrating a conventional S-shaped yield learning curve commonly occurring in semiconductor fabrication.
Modes for Carrying Out the Invention
Description of Preferred Embodiment
The invention employs a process (hereafter "Radiance Process"), described in U.S. Pat. No. 5,024,968 to Engelsberg et al., which is based upon the principles of quantum physics rather than chemistry for wafer cleaning purposes. Related methods are described in U.S. Pat. Nos. 5,099,557, 5,531,857, and 5,643,472 to Engelsberg et al. The entire disclosures of U.S. Pat. Nos. 5,024,968, 5,099,557, 5,531,857, and 5,643,472 are incorporated herein by reference. As used in the present invention, the Radiance Process comprises of two components:
(1) A photon flux is applied to the surface to be cleaned. This is usually from a deep ultraviolet excimer laser, but Nd:YAG or CO2 lasers are sometimes suitable. The light source and energy and power fluxes are determined by the combination of surface and contaminant. The photon flux provides sufficient energy to break the bonds holding contaminants to a surface. There is currently no predictive model for processing parameters or any agreed upon mechanism by which the process operates. The mechanism has been variously described in terms of interactions including: photodissociation, phonon shock, photophoresis, photon-phonon interaction, acoustic stress waves, or quasi-metastable states. As the photon energy is transferred to the surface-contaminant bonds, bonds break and the contaminants rise above the surface.
(2) To prevent recontamination and the emission of particulate contaminants into the work area surrounding the wafer, the contaminants must be removed from the work area. This is accomplished by the use of a flowing gas, usually in a laminar regime to provide a stable boimdary layer. The gas, usually nitrogen or argon, must be chosen so as to obviate reactions between it and the surface, noting the photocatalytic effect of some forms of photon flux. The gas may have a role in the process of ejecting the contaminants once they are free of the surface.
Depending upon tool configuration, the process may be applied to a variety of surface configurations ranging from flat surfaces to irregular broken crystals. Processing speed is largely determined by the choice of a particular light source. Process optimization involves tradeoffs of speed, cost, tool size, tool components and operator involvement. There appears to be a range of processing parameters which can produce the desired cleaning for most applications.
The apparatus and method will be described first in terms of a preferred embodiment intended for a complete "high-end" or generic system for use in the earliest stage of a typical semiconductor test yield improvement curve. This earliest regime is indicated by roman numeral I in FIG. 5. A simplified "mid-range" system is then described for use in the intermediate (rapid test-yield-improvement) stage indicated by roman numeral II in FIG. 5. A further simplified "low-end" system is most useful in the latest (high test yield) stage indicated by roman numeral III of FIG. 5. While FIG. 5 shows dashed dividing lines between the regimes I, II, and III at approximately 20% and 80% test yield, there is no special quantitative significance to those test yield levels. The test yields at which a transition is made between the three indicated regimes are somewhat arbitrary and may vary with the particular semiconductor product and/or fabrication process. Thus the dividing line between regimes I and II may vary from about 5% test yield to about 30% test yield, for example, with similar variations for the transition from regime II to regime III.
Figure 1 shows a generic defect eradicator system 10, nicknamed MIDAS or YES (Yield Enhancement System), that utilizes laser cleaning technology and wafer defect mapping technology/tooling/SEM defect revenue tooling and a cluster tooling main frame architecture. The system elements include the following: area laser cleaner station 11, 12 and laser point cleaner station 13, which may be apparatus manufactured by Radiance Services Company, Bethesda, Maryland; wafer mappers 18 - 22, which may be apparatus manufactured by KLA, 160 San Roblas, San Jose, California; Scanning Electron
Microscope - Defect Review Tool (SEM-DRT) 24, which may be apparatus manufactured by Amray, 160 Middlesex Turnpike, Bedford, Massachusetts; and computer-controlled cluster tooling 26 for wafer handling purposes including input and output ports 28, 30 respectively, which may be apparatus manufactured by Applied Materials, 350 Bowers Avenue, Santa Clara, California. Optionally, the cluster tooling may include a wafer pre-clean station 34. Laser cleaner stations utilize the Radiance Processes described in the U.S. Pat. Nos. 5,024,968, 5,099,557, 5,531,857, and 5,643,472. Curved arrows in FIGS 1, 3, and 4 show schematically the movement of wafers from station to station. The wafer yield enhancement/improvement system described in the following description will eradicate in-line IC defects and thereby increase IC yields and dramatically increase the individual wafer revenue potential by using the following process in the overall apparatus 10. Most of the stations of FIGS. 1, 3, and 4 operate with gas atmospheres such as clean air. As is known in the art, some of the stations (e.g., wafer pre-clean station 34 and SEM-DRT 24) require vacuum for their operation, and therefore require conventional airlock interfaces.
The overall process comprises the steps shown schematically in FIG. 2. Specific steps are denoted by reference numerals SI ... SI 2. Wafers coming to the system in a pod of wafers (not shown) are processed in the following manner: (1) Wafer pod loaded (SI) at input station 28. (2) Optionally, wafers are processed (S2) one by one at a pre-clean station 34 (vacuum bake station).
(3) Wafers are moved to a wafer mapper station 14. Wafers are mapped (S3) for defects and their x-y positions (positional coordinates).
(4) Wafers are illuminated one-by-one by an excimer laser and are swept clean (S4) with nitrogen gas in a laser area cleaning station 11.
(5) Wavers now are subject to optionally being directed to
(a) final wafer mapper 22 (S5) and output (S12) ("clean" wafers) at output station 30; or
(b) on to wafer mapper 16 ("partially clean" wafers)(S6). (6) Wafers can then be routed to SEM Defect Review Tool Station 24 for ultra high power image review and also a chemical analysis (S7). This stage will be used for extremely "stubborn" defects.
(7) The wafers are then routed to the Laser-Point Clean station 13. Individual defect locations are addressed and the laser is directed to each x/y location to point clean each individual defect (S8).
(8) The wafers are then re-mapped (S9) at station 18.
(9) The wafers are then directed to laser area clean station 12 where the final area clean of the wafers is performed (S10).
(10) The wafers are then mapped (Sll) at station 20 and outputted (S12) at output station 30, where the wafers are extremely clean compared with incoming wafers (pre-Midas) and will exhibit dramatically increased wafer yields.
The wafer cleaning apparatus of area laser cleaner station 11, 12 and laser point cleaner station 13, and the cleaning methods employed may be similar to those described in U.S. Pat. Nos. 5,024,968, 5,099,557, 5,531,857, and 5,643,472 (each incorporated by reference). The specific apparatus details are shown in the descriptions and drawings of those patents and are not repeated in FIGS. 1 - 5 of the present application. Similar methods are also described in the articles by A. Engelsberg, "Particle Removal from Semiconductor Surfaces Using a Photon-Assisted, Gas-Phase Cleaning Process," Materials Research Society Symposium Proceedings, vol. 315, pp. 255-260, (1993) and "Laser-Assisted Cleaning Proves Promising" Precision Cleaning, May 1995. An assembly holds a substrate (e.g., semiconductor wafer) from which surface particulate defects are to be removed. A gas from a gas source is constantly flowed over the wafer. The gas is inert to the wafer and is flowed across the wafer so as to bathe the wafer in a non-reactive gas environment. Preferably, the gas is a chemically inert gas such as helium, nitrogen or argon. An enclosure for holding the wafer communicates with a gas source through a series of tubes, valves, and a gas flow meter. The enclosure preferably comprises a stainless steel reaction cell fitted with opposing gas inlet and outlet ports. The enclosure is fitted with a sealed optical grade quartz window or light guide (e.g., a suitable fiber-optic light guide) through which the radiation can pass, or the laser could be placed within the enclosure. The inlet and outlet ports may comprise, for example, stainless steel tubing fitted with valves. After the wafer is transported into the enclosure, the enclosure is repeatedly flushed and backfilled with the gas and is kept at a pressure slightly above ambient atmospheric pressure to prevent inflow of other gases. Flow of the gas may be regulated by a flow meter such as a Matheson Model 602 flow meter. The valves are preferably metering valves, regulating valves, or bellows valves suitable for high temperature and pressure applications and for use with toxic, hazardous, corrosive or expensive gases or liquids, as for example Swagelok SS-4H sup TM series valves by Swagelok Co. of Solon, Oh.
Each wafer is irradiated with high-energy irradiation characterized by an energy density and duration between that required to release surface contaminants from the substrate treatment surface and that required to alter the crystal structure of the substrate treatment surface. According to the preferred embodiment, a laser generates laser irradiation which is directed against the wafer surface. The energy flux and the wavelength of the high-energy irradiation is preferably selected to be dependent upon the surface defects being removed. To this end, a gas analyzer may be connected to area laser cleaner station 11, 12 and laser point cleaner station 13. The gas analyzer analyzes the contents of exhaust gas from the enclosure to facilitate selective energy and wavelength adjustment of the laser. The gas analyzer may be a mass spectrometer as, for example, a quadrapole mass spectrometer manufactured by Bruker Instruments, Inc. of Billerica, Mass. or by Perkin Elmer of Eden Prairie, Minn. Selection of the high-energy irradiation source for use in the invention depends upon the desired irradiation energy and wavelength. The electron volt/photon (eV/photon) of the irradiation is preferably at least twice the energy necessary to break the bonds adhering the particulate contaminants to the surface being cleaned. The bond energies between common contaminants such as particulates composed of compounds of carbon, hydrogen and oxygen, and common substrate materials such as silicon, titanium, germanium, iron, platinum and aluminum range between 2 and 7 eV/bond as disclosed in Handbook of Chemistry and Physics, 68th ed., pp. F-169 to F-177 (CRC Press 1987) which is hereby incorporated by reference. Accordingly, lasers emitting photons with energies in the range of 4 to 14 eV/photons are desirable. The wavelength should be below the wavelength that would compromise the integrity of the substrate surface by the photoelectric effect, as described in G. W. Castellan, Physical Chemistry, 2nd ed., 458-459 (Academic Press, 1975) which is hereby incorporated by reference. The preferred wavelength depends on the molecular species being removed and the resonance states of such species. The wavelengths and photon energies of a number of lasers operable in the invention are listed in Table 1 of U.S. Pat. Nos. 5,024,968 and 5,531,857, and Table lc of U.S. Pat. No. 5,643,472. A number of those lasers are described in greater detail in the following references which are hereby incorporated by reference: M. J. Webber, ed., CRC Handbook of Laser Science, Vols. 1-5 (1982-1987); Mitsuo Maeda, Laser Dyes, (Academic Press 1984); and laser product literature from Lambda Physik at 289 Great Road, Acton, Mass. Coherent, Inc. at 3210 Porter Drive, Palo Alto, Calif, and Spectra-Physics at 1250 West Middlefield Road, Mountain View, Calif. It is anticipated that high-energy xenon or mercury lamps or other types of lasers, including visible, ultraviolet, infrared, x-ray or free electron lasers might be utilized as the irradiation source in the present invention. The irradiation energy density and duration of irradiation used is such that the heat of formation is not approached on the wafer surface. Finding the maximum energy usable on a given wafer material will require some experimentation in light of the material's known heat of formation. Thus, annealing, thermal ablation, and melting are prevented from occurring. When a wafer surface is irradiated as described above, the bonds and/or forces holding particulate defects to the substrate surface are broken and the inert carrier gas carries contaminants away from the substrate surface during laser irradiation. As long as the cleaned substrate remains in the inert gas environment, new contaminants will not form on the substrate surface. If necessary, a suitable trapping system may be connected to apparatus 10 (preferably at laser area clean stations 11 and 12 and laser pin-point clean station 13) for trapping and neutralizing removed contaminant species.
The wafers being treated may be selectively exposed to the laser irradiation by a variety of methods. For example, the wafer may be fixed on an X-Y table which is selectively moved with respect to a fixed beam of laser pulses that are directed through a beam splitter and a focusing lens before contacting selected portions of the surface of the wafer over which inert gas flows. Alternatively, laser pulses may be split by beam splitters into two sets of pulses which are selectively moved by adjusting mirrors over the surface of the wafer on a fixed table. A laser power meter allows for close monitoring of the laser power being applied to the wafers. In general, the photons are preferably directed perpendicular to the plane of the portion of the wafer being treated, to maximize the power and energy fluxes at the surface for a given output from the source of photons. However, the photons may be directed at an angle to the wafer as convenient or necessary for implementation of the process. In some situations, it may be preferable to direct the radiation at an oblique angle to the wafer. Of course, the energy and power fluxes at the surface will vary with the angle of incidence of the photons with respect to the plane of the surface, and this variation must be taken into account in selecting the output of the photon source.
Figure 1 shows an integrated system that incorporates all four tool elements. However, the four system elements may also be separated into individual "tools." Wafers would then be transported between the individual Midas Tool elements by using a mini- environment pod. Simplified configuration versions of the integrated system are shown in Figures 3 and 4. Figure 3 is a plan view of a simplified "mid-range" manufacturing apparatus for use in the intermediate (rapid yield-improvement) stage of a typical semiconductor manufacturing process yield-improvement curve. Figure 4 is a plan view of a further simplified "low-end" manufacturing apparatus for use in the latest (high yield) stage of a typical semiconductor manufacturing process yield-improvement curve.
Thus, one important aspect of the invention is an improved semiconductor wafer processing apparatus that includes a series of processing stations, in one form, coupled together by computer-controlled cluster tooling which is programmed to achieve a selected wafer throughput for the apparatus at a selected defect level in the range of at least 0.01 defects/cm . Wafers are supplied in a pod to an input station which initiates a data record for recording wafer processing results at each processing station. The individual wafers are transferred to a self-directed defect mapping station where wafer surface defects are identified and located in their x-y coordinates. The defect mapped wafers are transferred to a self-directed laser area cleaning station which lifts the defects and sweeps the wafer surface clean, except for stubborn defects. Clean wafers are transferred to a final mapping station where the wafer record is updated, followed by transfer of the wafers to an output station. Wafers including stubborn defects are transferred to a second wafer defect mapping station where the stubborn defects are located in x-y coordinates, after which the wafers are transferred to a self-directed Defect
Review Tool incorporating a Scanning Electron Microscope (SEM-DRT). An ultra high power wafer surface SEM image review of stubborn defects is performed including a chemical analysis of the stubborn defects, after which the wafers are routed to a self-directed laser point cleaning station which addresses each stubborn defect identified by x-y coordinates denoted in the data record accompanying the wafer. Optionally, the physical and/or chemical characteristics of each defect may be used for defect classification. Computer software programmed to perform automatic defect classification (ADC) is commercially available. The laser point cleaning station performs a defect removal operation by lifting and sweeping each stubborn defect from the wafer surface followed by transferring the cleaned wafers to a third wafer defect mapping station where any stubborn defects remaining are mapped in x-y coordinates and recorded in the accompanying data record, after which the wafers are transferred to a second laser area cleaning station wafer. A final cleaning is performed at the second laser area cleaning station followed by transfer of the wafers to a final mapping station for location in x-y coordinates of any remaining stubborn defects. The accompanying data records for the wafers are updated followed by transfer of the wafers to the output station. As mentioned above, it is known that not all particulates are equally undesirable. For example, particulates that adhere at some non-sensitive portions of the IC circuitry may have no effect on operation or performance, and need not necessarily be removed- ("don't cares" or "cosmetic" defects). Similarly, defects having innocuous physical and/or chemical characteristics may be cosmetic defects. On the other hand, particulates that adhere at locations where they would be critical to device operation ("killer defects") can cause test failure of the IC circuitry and must be removed for proper operation. Each mapped defect may optionally be further characterized by automatic defect classification, as its physical and chemical characteristics may be pertinent to whether the defect is a killer defect. The present invention is adaptable for selective removal of only killer defects. The positional coordinates of mapped defects are compared (by computer software) with device design data for identifying the killer defects critical to device operation, and the photon flux is then selectively applied only at the positional coordinates of the killer defects while ignoring other defects.
Example
The following example illustrates the potential dramatic wafer revenue increase for a system on a chip (SOC) IC product with an average sale price (ASP) of $1,000. The SOC product is assumed to have 0.18 micron minimum feature size and 30 mask levels, 22 of them non-critical with 0.25 micrometer minimum feature size, and 8 of them critical with 0.18 micrometer minimum feature size. With a wafer diameter of 300 mm, the total number of 800 mm SOC chips per wafer is 70. With the apparatus and methods of the present invention reducing the defect level to 0.008 defects/cm2 and thus improving the test yield by 3% from 70% to 73%, the added revenue is estimated at $2,000 per wafer. For 25,000 wafer starts per month per fabrication facility, the total added revenue produced by one fabrication facility would be $600 million per year. MIDAS IC Yield System Improvements Wafer Revenue Adder Example:
1000 MIP System on a Chip (SOC)
Circa 2001
0.18 Micron Technology
300 mm Wafers
30 Mask Levels
22 Non-Critical - 0.25 micrometer minimum feature size
8 Critical - 0.18 micrometer minimum feature size
800 mm2 Chip Size
70 SOC Dice/wafer
Do Wafer Test Yield
SIA (0.18 micrometer) 0.010 Defect/cm ,2 (Wet Cleaned Wafer) 70% Defect Target
Defect shortfall 0.030 Defect/cm2 28%
Defect shortfall 0.055 Defect/cm2 13%
Midas/Radiance Clean Wafers
Laser Cleaned Wafers - "Cleaner" than Wet Cleaned Wafers
Midas* Reduced Defect Level 0.008 D/cm2 = 73%
* Midas - Defect eradication system made in accordance with the present invention
70 SOC Chips/300 mm Wafers
Assume ASP = $ 1 ,000/SOC
idas/RC Process:
73% = 51 Chips $51,000/wafer
70 % = 49 Chips $49,000/wafer
28% = 20 Chips $20,000/wafer
13 % = 9 Chips $9,000/wafer
Therefore: Midas RC (Radiance) Process = $2,000/Wafer Revenue Adder
Assume: 25,000 Wafer (300 mm) starts/month Fab
Therefore: Midas/RC (Radiance) Process = $50M+ /Month /Fab
In one year (e.g., 2001), one 300 mm Midas/RC (Radiance) SOC Laser Clean Technology Fab will generate +$600M Δ [Delta] revenue over a non-RC (non-Radiance) wet clean Fab Industrial Applicability
A manufacturing apparatus made in accordance with the invention is applicable to manufacturing processes that require extremely low defect densities, especially semiconductor wafer and photomask fabrication processes. The methods of the invention can be used to reduce defect densities of semiconductor wafers, thus increasing the yields and lowering the costs of the semiconductor products on the wafers. Similar apparatus suitably arranged can be used in the manufacture of masks, such as glass or quartz photolithography masks or membrane masks used for photolithography, X-ray lithography, electron projection lithography, or ion-projection lithography.
While the invention has been shown and described in connection with a preferred embodiment, various changes may be made therein without departing from the spirit and scope of the invention as defined in the appended claims. For example, various combinations of elements can be clustered in arrangements other than those shown, with suitable process sequences for moving wafers from one element to another. The order of steps of the processes may, of course, be varied.
What is claimed is:

Claims

1. An improved semiconductor wafer processing apparatus with defect eradication comprising:
a) means for transferring a plurality of semiconductor wafer among a plurality of processing stations under program control and for creating and maintaining a data record for each wafer indicating processing results at each processing station;
b) means for performing a wafer surface cleaning of defects using a photon flux process followed by vapor cleansing; and
c) means for transferring of cleaned wafers to an output station.
2. Apparatus as recited in claim 1, further comprising:
d) means for mapping position coordinates of surface defects on each wafer and for recording the coordinates in said data record.
3. Apparatus as recited in claim 2, further comprising:
e) means for identifying and locating stubborn defects with respect to their position coordinates and for updating said data records for any surface cleaned wafers.
4. Apparatus as recited in claim 3, further comprising:
f) means for performing an elemental chemical analysis of said defects to identify any extremely stubborn defects.
5. Apparatus as recited in claim 4, further comprising:
g) means for performing a point cleaning of stubborn defects by said position coordinates using said photon flux process followed by vapor cleansing.
6. Apparatus as recited in claim 1, further comprising:
h) means for performing a final mapping and data record update of wafer surface defects in position coordinates before transfer of the cleaned wafers to said output station.
7. An improved semiconductor wafer processing apparatus with defect eradication comprising:
a) means for transferring a plurality of semiconductor wafer among a plurality of processing stations under program control and for creating and maintaining a data record for each wafer indicating processing results at each processing station;
b) means for mapping surface defects in x-y coordinates for each wafer and recording the coordinates in the accompanying data record;
c) means for performing a wafer surface cleaning of defects using a photon flux process followed by vapor cleansing;
d) means for identifying and locating stubborn defects in x-y coordinates and for updating accompanying data records for any surface cleaned wafers;
e) means for performing an elemental chemical analysis of to identify any extremely stubborn defects;
f) means for performing a point cleaning of stubborn defects by x-y coordinates using the process of step (c);
g) means for performing a final wafer surface cleaning using the process of step (c); and
h) means for performing a final mapping and data record update of wafer surface defects in x-y coordinates using the process of step (b) followed by transfer of the cleaned wafers to an output station.
. The apparatus of Claim 7 further comprising:
i) means for removing wafers without stubborn defects after step (c) for a final defect mapping and transfer to the output station.
9. A method for processing semiconductor wafers comprising the steps of:
(a) transferring each wafer to a plurality of processing stations in a predetermined sequence starting at an input station and ending at an output station;
(b) creating and maintaining a data record of the results of processing each wafer at each of said stations;
(c) mapping surface defects in positional coordinates for each wafer at at least one of said stations, and recording said coordinates in the accompanying data record;
(d) performing a wafer surface cleaning of defects using a photon flux process followed by vapor cleansing at at least one of said stations; and
(e) transferring said wafers to said output station for completion of said processing.
10. A process as recited in claim 9, further comprising the step of:
(f) identifying and locating stubborn defects at their respective positional coordinates and
updating accompanying data records for any surface cleaned wafers.
11. A process as recited in claim 9, further comprising the step of: :
(g) identifying and locating stubborn defects in their respective positional coordinates and
updating accompanying data records for any surface cleaned wafers.
12. A process as recited in claim 10 wherein said identifying and locating said stubborn defects is a final identifying and locating of said defects.
13. A process as recited in claim 10 wherein said identifying and locating said stubborn defects is performed by scanning electron microscopy of said defects.
14. A process as recited in claim 10 wherein said identifying and locating said stubborn defects is performed by optical microscopy of said defects.
15. A process as recited in claim 10 wherein said wafer surface cleaning of defects is performed by selectively aiming said photon flux at said stubborn defects.
16. A process as recited in claim 15 wherein said photon flux is selectively applied only at said positional coordinates of defects expected to affect device yield.
17. A process as recited in claim 16 wherein each set of said positional coordinates is obtained from said accompanying data records.
18. A process as recited in claim 9, further comprising the step of:
(h) comparing said positional coordinates mapped in step (c) with device design data for identifying defects critical to device operation.
19. A process as recited in claim 15, further comprising the step of:
(h) comparing said positional coordinates mapped in step (c) with device design data for identifying defects critical to device operation,
wherein said photon flux is selectively applied only at said positional coordinates of said defects critical to device operation while ignoring other defects.
PCT/US1998/010658 1997-05-29 1998-05-26 Semiconductor wafer processing with defect eradication WO1998054632A2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
AU75977/98A AU7597798A (en) 1997-05-29 1998-05-26 Semiconductor wafer processing apparatus and method with defect eradication

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US4790797P 1997-05-29 1997-05-29
US60/047,907 1997-05-29

Publications (2)

Publication Number Publication Date
WO1998054632A2 true WO1998054632A2 (en) 1998-12-03
WO1998054632A3 WO1998054632A3 (en) 1999-03-11

Family

ID=21951684

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US1998/010658 WO1998054632A2 (en) 1997-05-29 1998-05-26 Semiconductor wafer processing with defect eradication

Country Status (2)

Country Link
AU (1) AU7597798A (en)
WO (1) WO1998054632A2 (en)

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1061358A2 (en) * 1999-06-15 2000-12-20 Applied Materials, Inc. Apparatus and method for reviewing defects on an object
GB2351160A (en) * 1999-05-20 2000-12-20 Nec Corp Lot supply system for a production line
WO2001080289A1 (en) * 2000-04-13 2001-10-25 Nanophotonics Ag Modular substrate measurement system
EP1152906A1 (en) * 1998-12-24 2001-11-14 Oramir Semiconductor Equipment Ltd. Local vectorial particle cleaning
US6418355B1 (en) 1999-05-20 2002-07-09 Nec Corporation Lot supply system and lot supply method
WO2003063233A2 (en) * 2002-01-16 2003-07-31 Kla-Tencor Technologies Corp. Systems and methods for closed loop defect reduction
US6614050B1 (en) 1999-10-26 2003-09-02 Fab Solutions, Inc. Semiconductor manufacturing apparatus
US6842659B2 (en) 2001-08-24 2005-01-11 Applied Materials Inc. Method and apparatus for providing intra-tool monitoring and control
US7030401B2 (en) 2000-04-13 2006-04-18 Nanophotonics Ag Modular substrate measurement system
EP2365512A3 (en) * 2000-06-27 2012-01-04 Ebara Corporation Inspection system by charged particle beam
CN103962347A (en) * 2013-01-24 2014-08-06 北京京东方光电科技有限公司 Cleaning system and method

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5531857A (en) * 1988-07-08 1996-07-02 Cauldron Limited Partnership Removal of surface contaminants by irradiation from a high energy source
US5598341A (en) * 1995-03-10 1997-01-28 Advanced Micro Devices, Inc. Real-time in-line defect disposition and yield forecasting system

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5531857A (en) * 1988-07-08 1996-07-02 Cauldron Limited Partnership Removal of surface contaminants by irradiation from a high energy source
US5598341A (en) * 1995-03-10 1997-01-28 Advanced Micro Devices, Inc. Real-time in-line defect disposition and yield forecasting system

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1152906A1 (en) * 1998-12-24 2001-11-14 Oramir Semiconductor Equipment Ltd. Local vectorial particle cleaning
EP1152906A4 (en) * 1998-12-24 2007-10-17 Oramir Semiconductor Ltd Local vectorial particle cleaning
US6418355B1 (en) 1999-05-20 2002-07-09 Nec Corporation Lot supply system and lot supply method
GB2351160A (en) * 1999-05-20 2000-12-20 Nec Corp Lot supply system for a production line
EP1061358A2 (en) * 1999-06-15 2000-12-20 Applied Materials, Inc. Apparatus and method for reviewing defects on an object
US6614050B1 (en) 1999-10-26 2003-09-02 Fab Solutions, Inc. Semiconductor manufacturing apparatus
WO2001080289A1 (en) * 2000-04-13 2001-10-25 Nanophotonics Ag Modular substrate measurement system
US7030401B2 (en) 2000-04-13 2006-04-18 Nanophotonics Ag Modular substrate measurement system
EP2365512A3 (en) * 2000-06-27 2012-01-04 Ebara Corporation Inspection system by charged particle beam
US8368031B2 (en) 2000-06-27 2013-02-05 Ebara Corporation Inspection system by charged particle beam and method of manufacturing devices using the system
US9368314B2 (en) 2000-06-27 2016-06-14 Ebara Corporation Inspection system by charged particle beam and method of manufacturing devices using the system
US6842659B2 (en) 2001-08-24 2005-01-11 Applied Materials Inc. Method and apparatus for providing intra-tool monitoring and control
US7074626B2 (en) 2001-08-24 2006-07-11 Applied Materials, Inc. Method and apparatus for providing intra-tool monitoring and control
WO2003063233A3 (en) * 2002-01-16 2004-03-25 Kla Tencor Tech Corp Systems and methods for closed loop defect reduction
US7236847B2 (en) 2002-01-16 2007-06-26 Kla-Tencor Technologies Corp. Systems and methods for closed loop defect reduction
WO2003063233A2 (en) * 2002-01-16 2003-07-31 Kla-Tencor Technologies Corp. Systems and methods for closed loop defect reduction
CN103962347A (en) * 2013-01-24 2014-08-06 北京京东方光电科技有限公司 Cleaning system and method

Also Published As

Publication number Publication date
AU7597798A (en) 1998-12-30
WO1998054632A3 (en) 1999-03-11

Similar Documents

Publication Publication Date Title
US6881687B1 (en) Method for laser cleaning of a substrate surface using a solid sacrificial film
EP0350021B1 (en) Removal of surface contaminants by irradiation from a high-energy source
US5099557A (en) Removal of surface contaminants by irradiation from a high-energy source
US5821175A (en) Removal of surface contaminants by irradiation using various methods to achieve desired inert gas flow over treated surface
EP0782483B1 (en) Selective removal of material by irradiation
KR100476814B1 (en) Removal of material by radiation applied at an oblique angle
US6635844B2 (en) Apparatus for on-line cleaning a wafer chuck with laser
US5531857A (en) Removal of surface contaminants by irradiation from a high energy source
US6827816B1 (en) In situ module for particle removal from solid-state surfaces
JP4440647B2 (en) Method and system for repairing defects
US6747243B1 (en) Spot cleaning of particles after inspection
JP4089833B2 (en) Material removal by polarized radiation and backside irradiation
US20110132394A1 (en) Method and Apparatus for an In-Situ Ultraviolet Cleaning Tool
WO1998054632A2 (en) Semiconductor wafer processing with defect eradication
US7297895B2 (en) Apparatus for removal of minute particles from a surface using thermophoresis to prevent particle redeposition
EP0633823B1 (en) Removal of surface contaminants by irradiation
JP2004514297A (en) Field module for removing particles from solid surfaces
JP3062337B2 (en) How to remove foreign matter
RU2099811C1 (en) Removal of surface impurities from substrate surface and device for its implementation
US7078689B1 (en) Integrated electron beam and contaminant removal system
Engelsberg Particle Removal from Semiconductor Surfaces Using a Photon-Assisted, Gas-Phase Cleaning Process
Boughaba et al. REMOVAL OF PARTICULATE CONTAMINATION FROM SILICON

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): AU CA CN IL JP KR NZ SG US

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): AM AZ BY KG KZ MD RU TJ TM AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE

AK Designated states

Kind code of ref document: A3

Designated state(s): AU CA CN IL JP KR NZ SG US

AL Designated countries for regional patents

Kind code of ref document: A3

Designated state(s): AM AZ BY KG KZ MD RU TJ TM AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE

DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 09444136

Country of ref document: US

NENP Non-entry into the national phase in:

Ref country code: JP

Ref document number: 1999500805

Format of ref document f/p: F

122 Ep: pct application non-entry in european phase
NENP Non-entry into the national phase in:

Ref country code: CA