WO1997034171A2 - Microlens scanner for microlithography and wide-field confocal microscopy - Google Patents

Microlens scanner for microlithography and wide-field confocal microscopy Download PDF

Info

Publication number
WO1997034171A2
WO1997034171A2 PCT/US1997/002949 US9702949W WO9734171A2 WO 1997034171 A2 WO1997034171 A2 WO 1997034171A2 US 9702949 W US9702949 W US 9702949W WO 9734171 A2 WO9734171 A2 WO 9734171A2
Authority
WO
WIPO (PCT)
Prior art keywords
microlens
aperture
array
image
projection
Prior art date
Application number
PCT/US1997/002949
Other languages
French (fr)
Other versions
WO1997034171A3 (en
Inventor
Kenneth C. Johnson
Original Assignee
Johnson Kenneth C
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Johnson Kenneth C filed Critical Johnson Kenneth C
Priority to JP09532621A priority Critical patent/JP2001500628A/en
Priority to DE69729659T priority patent/DE69729659T2/en
Priority to EP97907857A priority patent/EP0991959B1/en
Priority to AU19751/97A priority patent/AU1975197A/en
Publication of WO1997034171A2 publication Critical patent/WO1997034171A2/en
Publication of WO1997034171A3 publication Critical patent/WO1997034171A3/en

Links

Classifications

    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B21/00Microscopes
    • G02B21/0004Microscopes specially adapted for specific applications
    • G02B21/002Scanning microscopes
    • G02B21/0024Confocal scanning microscopes (CSOMs) or confocal "macroscopes"; Accessories which are not restricted to use with CSOMs, e.g. sample holders
    • G02B21/0036Scanning details, e.g. scanning stages
    • G02B21/0044Scanning details, e.g. scanning stages moving apertures, e.g. Nipkow disks, rotating lens arrays
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B21/00Microscopes
    • G02B21/0004Microscopes specially adapted for specific applications
    • G02B21/002Scanning microscopes
    • G02B21/0024Confocal scanning microscopes (CSOMs) or confocal "macroscopes"; Accessories which are not restricted to use with CSOMs, e.g. sample holders
    • G02B21/0028Confocal scanning microscopes (CSOMs) or confocal "macroscopes"; Accessories which are not restricted to use with CSOMs, e.g. sample holders specially adapted for specific applications, e.g. for endoscopes, ophthalmoscopes, attachments to conventional microscopes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70091Illumination settings, i.e. intensity distribution in the pupil plane or angular distribution in the field plane; On-axis or off-axis settings, e.g. annular, dipole or quadrupole settings; Partial coherence control, i.e. sigma or numerical aperture [NA]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70241Optical aspects of refractive lens systems, i.e. comprising only refractive elements
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70275Multiple projection paths, e.g. array of projection systems, microlens projection systems or tandem projection systems
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70358Scanning exposure, i.e. relative movement of patterned beam and workpiece during imaging

Definitions

  • the invention relates to two fields that can be broadly categorized as "image reading” and "image writing " Its primary intended application in the image reading field would be as a tandem scanning confocal microscope, although it could also potentially be used for other applications, for example as a high-resolution document scanner, or as a reader for optical mass storage media, etc
  • the invention's primary intended application for image writing would be as a microlithography printer for semiconductor manufacture, however this field may also include applications such as document printing, photographic reproduction, etc
  • the following description will focus on the confocal microscopy and microlithography applications, although the specification can be applied by obvious extension to other applications as well
  • a confocal microscope (Ref l) is similar to a conventional microscope except that the illumination is filtered by a small pmhole which is focused to a diffraction-limited microspot on the sample, and (in the case of a reflection confocal microscope) the light reflected from the sample is again filtered by the same pinhole
  • the focused beam is raster- scanned across the sample (by scanning either the pinhole or the sample) to build up a high- esolution raster image of the sample (A transmission confocal microscope is similar, except that separate pinholes are used to filter the illumination and transmitted light )
  • a confocal microscope has superior lateral image resolution and also exhibits extremely fine depth resolution
  • a tandem scanning confocal microscope of the Nip ow type uses an array of pinholes, rather than a single pinhole to achieve a very high image frame rate
  • the pinholes are formed on a disk which spins at a high rate to provide real-time imaging
  • a drawback of the Nipkow-type system is that its field size is limited by the performance of conventional microscope objectives Given the field size limitations of commercial high-power objectives it would take a very long time for a Nipkow-type system to scan, for example, a complete semiconductor wafer, even with its high image frame rate
  • the invention provides imaging systems and techniques that circumvent the tradeoff between image resolution and field size which is the source of much of the complexity and expense of conventional wide- field, high-NA microscopy and microlithography systems.
  • a comparatively low- resolution image projection system which has a very small numerical aperture but large image field, in conjunction with a microlens array comprising miniature lens elements, each of which has a large numerical aperture but very small field
  • the projection system contains a small aperture stop which is imaged by the microlenses onto an array of diffraction-limited icrospots on the microscope sample or printing surface at the microlens focal point positions, and the surface is scanned to build up a complete raster image from the focal point array
  • the system's critical dimensional and alignment tolerances are localized in the microlens array itself and in its positioning relative to the sample or printing surface.
  • the microlens array can also function as the imaging element of a position encoder which controls the array's alignment relative to the printing surface.
  • a position encoder which controls the array's alignment relative to the printing surface.
  • FIG 1 illustrates a simple embodiment of the invention which could operate as a tandem scanning confocal microscope
  • FIG. 2 illustrates a very similar embodiment which could function as a lithography printer
  • FIG 3 illustrates a variant of the lithography system which combines the printing and microscopic imaging functions in a single device
  • FIGS. 4-6 illustrate several alternative scan patterns that could be used, including the bi-directional raster scan (FIG. 4) , continuous line scan (FIG. 5) , and segmented line scan or "multiscan” (FIG. 6) ;
  • FIG. 7 illustrates a microlens 's focal plane field coordinates
  • FIG 8 illustrates the microlens point, line, and plane exposure profiles
  • FIG. 9 illustrates the exposure profile ⁇ B vs X) for an image feature and its complement
  • FIG. 10 illustrates constant-exposure contours for several positive line images
  • FIGS. 11a-f illustrate a multilevel processing procedure for effecting the logical operations of conjunction (logical AND) and disjunction (logical OR) ;
  • FIGS. 12 and 13 show simple illustrations of boolean compositing
  • FIG. 14 illustrates the basic design tradeoffs relating to aperture sizing and microlens spacing
  • FIG. 15 illustrates a possible configuration for the microlens design
  • FIGS. 16a-g illustrate a fabrication process based on laser- assisted chemical etching
  • FIG 17 illustrates the exposure process for microlens replication
  • FIG. 18 illustrates an alternative design configuration which simplifies the illumination optics
  • FIG. 19 illustrates an even simpler illumination system
  • FIG. 20 illustrates a variant of the FIG. 19 configuration in which the two collimator lenses are replaced by a single collimating mirror
  • FIGS. 21a,b illustrate a configuration that is similar to FIG. 20, except that it includes a confocal viewing system which is used as a position encoder to monitor wafer alignment and focus;
  • FIG. 22 illustrates a design configuration which uses an object-plane microlens array in conjunction with a micromirror array;
  • FIG. 23 illustrates an alternative embodiment which uses a photomask m conjunction with an object-plane microlens array
  • FIGS. 24 and 25 illustrate a scanned-illumination technique
  • FIG 26 illustrates the oir ⁇ technique for X tracking
  • FIG. 27 illustrates the interpolated detector signal with the Moire technique
  • FIG. 28 illustrates three interspersed microlens sets with different focus heights, h l t h 2 , and h 3 ;
  • FIG 29 illustrates a top view (looking down on the wafer plane) of a pattern that could be used for tracking X, Y, and ⁇ rotation,
  • FIG 31 illustrates two focus-sensor microlenses with their focal planes displaced respectively above and below the wafer surface by a distance ⁇ Z,
  • FIG. 32 illustrates a configuration m which the focus-sensor microlenses are designed to have a common focal plane displaced by a small distance ⁇ Z below the wafer surface, and portions of the wafer surface
  • FIG 34 illustrates a microlens layout with interspersed Z sensors
  • FIGS 35a,b are top and sectional side views of a system which provides six-axis micropositioning control of the microlens array, plus warp compensation, and
  • FIG. 36 shows a system-level schematic summarizing the various feedback and control mechanisms.
  • FIG. 1 illustrates a simple embodiment of the invention which could operate as a tandem scanning confocal microscope
  • the system contains a low-resolution, double-telecentric optical projection system 1 which images a microlens array 2 onto an optical detector array 3, with each microlens element being imaged onto a corresponding light-sensing detector element (e.g., microlens 4 is imaged onto detector element 5) .
  • Each individual microlens images a corresponding focal point at or near the sample surface 6 onto the projection system's aperture stop 7, so the corresponding detector element senses the sample reflectivity over a small microspot at the microlens's focal point (e.g , microlens 4 images point 8 onto the projection aperture 7, so element 5 senses the reflectivity at point 8) .
  • the sample is illuminated in reflection mode from an illumination system 9.
  • the icrospots are selectively illuminated by passing the illumination through the projection aperture 7 and microlens array 2, using a beam splitter 10 to merge the illumination into the light path
  • the diffraction-limited images of the projection aperture through the microlenses define the microspots, which are at least an order of magnitude smaller than the microlens aperture dimension
  • the microspots would preferably be comparable in size to the microlenses' diffraction point spread function
  • the projection aperture performs the same function as the pinhole in a confocal microscope
  • One difference between th s system and prior-art tandem scanning confocal microscopes is that instead of imaging an array of pinholes through a single objective, the system uses a single "pinhole” d e , the projection aperture) which is imaged through an array of "objectives" (microlenses) .
  • FIG 2 illustrates an embodiment which is very similar to the microscopy system of FIG 1, but which could function as a lithography printer (In this figure as well as later figures, elements corresponding to those in an earlier figure will generally be denoted with the same reference numeral.)
  • This system also contains a low-resolution, double- telecentric projection system 1, but in this embodiment the projection system functions to focus an image source 11 onto the microlens array 2
  • the image source comprises an array of light-modulating source elements (e.g , spots or pads of variable reflectivity) , with each source element being imaged onto a corresponding microlens element.
  • the image source could be a Digital Micromirror Device (or DMD, Ref 3) , with each source element comprising an individual micromirror pixel element Each microlens images the projection aperture 7 onto a corresponding microspot on the printing sur ace 12, and each source element controls the exposure level over the corresponding microspot
  • the image source 11 is illuminated in reflection mode from the illumination system 9, using a beam splitter 13 to merge the illumination into the light path
  • This system differs in a couple of respects from the microlens photolithography invention of Hugle et al (Ref's. 4, 5)
  • Hugle's system does not use a single projection aperture for the entire microlens array (as illustrated in FIG. 2) , but rather comprises an array of microlens units w th separate, parallel optical paths
  • Hugle's microlenses are non-scanning, wide-field imaging devices, each covering an image field comparable in size to the microlens aperture dimension
  • the present invention uses a scanning technique to achieve full-field coverage with microlenses whose instantaneous image fields (i.e. the microspots) are at least an order of magnitude smaller than the microlens apertures.
  • the lithograhy system's microspots are defined by the diffraction-limited images of the projection aperture through the microlenses, and would preferably be comparable in size to the microlenses' diffraction point spread function.
  • FIG. 3 illustrates a variant of the lithography system which combines the printing and microscopic imaging functions in a single device. This is similar to the FIG. 2 system, except that reflected light from the printing surface 12 is split out of the light path by the beam splitter 13 and directed onto an optical detector array 14.
  • the detector could sense alignment marks on the printing surface, and it could take advantage of the accurate depth discrimination of confocal imaging to sense focus height variations across the surface.
  • the position information would be used by a closed- loop servomechanism to control registration alignment and/or focus and tilt.
  • the servomechanism could also correct for warp distortion in either the printing surface or the microlens array by applying a compensating stress distribution around the array's periphery.
  • the sample or printing surface is scanned so that the microlenses' focal point array traces out a complete raster image.
  • the microlens system itself could be scanned relative to a fixed sample or printing surface.
  • FIGS. 4-6 illustrate several alternative scan patterns that could be used, including the bi-directional raster scan (FIG. 4) , continuous line scan (FIG. 5) , and segmented line scan or "multiscan” (FIG. 6) .
  • the bi-directional raster scan (FIG. 4) the image surface is divided into an array of square or rectangular cells with cell dimensions matching the microlens center spacing, and the surface is scanned bi-directionally so that each focal point 15 scans a pattern of raster lines covering a single cell 16.
  • the continuous line scan (FIG. 5) each focal point 17 scans just one raster line 18 extending across the entire image field.
  • the microlenses are arranged in rows that are skewed relative to the scan direction 19 by a small angle _> so that the focal points trace out a pattern of closely-spaced raster lines, i.e., with the raster line period d r being much smaller than the microlens center spacing d m .
  • d r d m sine?.
  • the segmented line scan (FIG. 6) is similar, except that each raster line is divided into a number of segments (e.g., segments 20 and 21) that are scanned by different lens foci (e.g., 22 and 23) .
  • the "multiscan" imaging mode is similar to the segmented scan (FIG. 6) , except that the scan segments overlap so that each raster line is scanned more than once.
  • Multiscan imaging could have a variety of uses. One application would be to create color images or prints by varying the illumination chromaticity between successive scans. (For example, line segment 20 in FIG. 6 would be scanned first by lens focus 22, and then again by focus 23 with different illumination.)
  • a microscope system could use also use multiscan imaging to create three dimensional images by tilting the microlens array slightly relative to the scan direction so that successive image scans are acquired at slightly different focal depths.
  • a distribution of focal plane heights could be designed into the array.
  • the illumination brightness could be varied between successive scans in order to provide control of the exposure dose. (This would be useful if the image source does not provide gray level control. With N scans, each at a different illumination level, the total number of possible exposure dose levels is 2 . )
  • a lithography system might use redundant scanning simply to minimize statistical imaging errors due to factors such as microlens defects.
  • the microlens scanner design principles, components, and subsystems will be described in greater detail below, with the primary emphasis being on microlithography and semiconductor wafer production.
  • a practical embodiment of the microlithography system might use a continuous deep-UV laser light source such as a frequency-quadrupled 266nm NdrYAG laser (Ref. 6) and a DMD image source similar to a prototype device that has been demonstrated with about 2,000,000 pixels (Ref. 3) .
  • the DMD is capable of operating at a frame rate of over 10 kHz, resulting in a pixel rate of 2 ⁇ 10 pixels/sec. A ssuming a raster line period of about 0.1 micron the exposure area rate would be 2 cm /sec.
  • the system could use a catadioptric projection optics system whose simple, compact design would make it possible to combine multiple microlens scanner units in one machine, resulting in a total
  • microlens arrays can be derived using methods of Fourier optics. (This assumes a small numerical aperture with insignificant optical aberrations, but these methods can nevertheless provide a useful first approximation to the properties of microlenses with numerical aperture of about 0.5 or less. )
  • FIG. 7 The (scalar) electric field amplitude distribution on the focal plane will be denoted as A [x, y] , and the electric field distribution on the microlens aperture plane will be denoted as A' [x' , y'] .
  • a and A' are related approximately by a Fourier transform relationship:
  • the aperture function A' has the form
  • the plane exposure theorem implies a useful image reversal characteristic of microlens array scanners. If the illumination level is set so that the resist solubility threshold E s is at E plane /2 , then image reversal can be achieved by simply inverting the image gray levels (i.e., substitute g jK +— 1-? ⁇ at each exposure point) .
  • FIG. 9 illustrates the exposure profile (E vs X) for an image feature and its complement. The feature has an exposure profile E a which is produced by the gray level distribution g-, k ,
  • the exposure points, indicated by "+” marks, are all exposed at unit gray level and are separated by 1.51 in X and by 1 in Y
  • Simple image patterns such as those illustrated in FIG. 10 can be combined to create small, sharply detailed patterns by using "boolean compositing" operations
  • the simplest such operation is image reversal (i.e., boolean negation) , which can be effected by gray level inversion, as described above
  • Other logical operations such as conjunction (logical AND) and disjunction (logical OR) could be effected by a multilevel processing procedure such as that illustrated in FIGS, lla-f.
  • a wafer substrate 24 is spin-coated with a thick PMMA layer 25, a planarized spm-on-glass (SOG) layer 26, and a thin resist layer 27 which is exposed and developed to create an etched pattern in the SOG.
  • SOG planarized spm-on-glass
  • the top resist is stripped and a second PMMA layer 28, SOG layer 29, and thin resist 30 are applied, and the top resist is exposed and developed to create a second etched pattern in the top SOG layer
  • the double-layer PMMA structure is reactive ion-etched down to the substrate, resulting in an etch mask that exposes a region on the substrate defined by the conjunction of the etched areas on the two SOG layers.
  • the top PMMA is only etched far enough to expose the bottom SOG layer (FIG. lid) . This is followed by a selective etch which removes the top SOG layer and the exposed portion of the lower SOG layer (FIG. lie) , and finally the remaining PMMA is etched down to the substrate (FIG. llf) This process results in an etch mask defined by the disjunction of the two SOG etch patterns.
  • FIGS. 12 and 13 show simple illustrations of boolean compositing
  • an array of small, square islands is created by the disjunctive composition of two positive line patterns (indicated by opposite hatching) .
  • two parallel, overlapping sets of positive line patterns are conjunctively composited to form narrow spaces .
  • Multiple sets of such patterns could be interleaved to form high-density arrays of very small features with the feature density exceeding the classical diffraction limit. (The feature size and density are primarily limited by the resist contrast and overlay accuracy.)
  • each microlens 31 focuses the beam down to a diffraction-limited focus spot with a tightly confined amplitude distribution A [X, Y] on the wafer surface 1 .
  • This distribution is determined by the microlens ' s numerical aperture and by the amplitude distribution A' [X', ⁇ ] over the microlens aperture 32.
  • the latter distribution which is the diffraction-limited image from the source element 33 corresponding to microlens 31, should preferably overfill the aperture 32 in order to optimize focus resolution on the wafer plane and also to minimize sensitivity of the focus spot to optical registration errors between the source elements and the microlens apertures.
  • the A' distribution is too broad (or if the microlenses are too closely spaced) , it will also overlap adjacent microlens apertures 34 and 35, resulting in image cross-talk between adjacent apertures.
  • the A' distribution is determined by the projection system's numerical aperture and by the amplitude distribution A" [X" , Y"] over the projection aperture 7.
  • ⁇ A" represents the portion of the aperture illumination that comes only from source element 33.
  • the total aperture illumination field also includes similar distributions from all other source elements.)
  • the source elements' aperture size can be chosen to optimally control the A" distribution. If the source elements are much smaller than their center spacing then diffraction at element 33 's aperture will cause the A" distribution to be very evenly spread over the projection aperture 7, minimizing possible spreading or distortion of the projected image-plane distribution A' due to nonuniform aperture illumination. Also, sensitivity to misalignment of the illumination source can be minimized by making the source elements small. The tradeoff, however, is that optical efficiency would fall off in proportion to the source elements' aperture size due to overfilling of the projection aperture.
  • the projection aperture size and shape can be designed to optimize the tradeoff between illumination uniformity across the microlens aperture 32 versus minimizing light leakage into adjacent apertures 34 and 35.
  • One approach could be to use a square aperture 7 aligned to the microlens grid.
  • the square-aperture amplitude transmittance function t p [X ", Y") is
  • W p is the projection aperture width.
  • the aperture width W p can be chosen so that the first nodes of the sine function are approximately centered on the adjacent microlens apertures 34 and 35: where d m is the microlens center spacing. In this case, Eq's 25 and 26 become:
  • the illumination intensity nonuniformity over the aperture 32 will be only about 5% (not enough to significantly impair focus resolution on the wafer plane) and the energy leakage into each adjacent aperture 34 or 35 will be about 0.4%, assuming circular microlens apertures.
  • the cross-talk amplitude component would not give rise to any significant coherence effects.
  • the primary amplitude distribution A' [X', V] over microlens aperture 32 is superimposed with cross-talk distributions A' [X'+d m , Y"] and A' [x' - d m , 1"] from adjacent source elements 34 and 35
  • Each of the cross-talk distributions is approximately odd-symmetric in X over aperture 32 whereas A' [ , ⁇ ] is even-symmetric
  • A' [ , ⁇ ] is even-symmetric
  • the apodization approach can also be implemented by a slightly different technique. Rather than forming an actual physical apodizer at the projection aperture, the beam can be "effectively apodized" by designing the aperture illumination field A" so that it has a tapered profile over the aperture region similar to an apodization profile.
  • the tapered amplitude distribution near the edges will tend to repress the diffraction tails in the image-plane distribution A' .
  • the system w ll be less tolerant of any misalignment or vibration that could cause the A" distribution to shift.
  • the microlens apertures could be effectively apodized by locating the first diffraction nodes of the A' distribution at the microlens aperture boundary This would tend to repress the diffraction tails in the wafer-plane distribution A, though at the expense of increasing the central peak width.
  • This type of system could be used, for example, in the manufacture of microlens arrays, wherein the image-plane microlens array would be used as a mastering element to lithographically pattern replica elements. (However, an alternative manufacturing technique described below could have advantages over this method.)
  • FIG. 15 illustrates a possible con iguration for the microlens design.
  • Each microlens is formed as a surface relief profile 36 on the top surface of a thin fused silica plate 37.
  • a lithographically patterned light-blocking layer 38 such as dark chrome is deposited on the top of the plate to define the microlens apertures.
  • the plate is optically contacted and bonded to a thick fused silica superstrate 39. Portions of the superstrate's bottom surface are etched to form a light-scattering or diffracting surface 40 which represses the specular reflected beam over the optically contacted regions of the plate.
  • the superstrate's top surface has an antireflection coatings 41, and the bottom surface has an antireflection coating 42 over the microlens clear aperture areas. (The scattering or diffractive surface areas and the antireflection coatings prevent the specularly reflected light from transmitting back through the projection aperture. )
  • FIGS. 16a-g A fabrication process based on laser-assisted chemical etching (Ref's. 7, 8) is illustrated in FIGS. 16a-g.
  • the basic procedure is to first form an array of low-NA microlenses using a holographic construction process (FIGS . 16a-d) , and then to use this array as a mastering element for replicating arrays of accurately-profiled, high-NA microlenses (FIGS. 16 ⁇ -g) .
  • FIG. 16a a pair of accurately collimated, uniform laser beams 43 and 44 are combined to form an interference pattern which exposes a thick resist layer 45 on a fused silica substrate 46.
  • the substrate is then rotated 90° and exposed a second time, so the latent resist image consists of two orthogonal sets of uniformly-spaced parallel lines.
  • the latent image is developed into a sinusoidal thickness variation in the resist (FIG. 16b) , which is converted to a sinusoidal surface profile 47 in the substrate by a reactive ion etching process (FIG. 16c; Ref's. 7, 8) .
  • the aperture array is formed as a lithographically-patterned chrome layer which is holographically constructed using the exposure setup of FIG 16a to ensure accurate registration of the aperture array with the microlenses
  • the low-NA microlens array can be used as a proximity mask for fabricating the high-NA array (FIG 16e)
  • a thin fused silica plate 37 is optically contacted to a thick supporting substrate 49 and is overcoated with resist 50
  • An exposure beam 51 is projected through the low-NA microlens array 46, which focuses the beam onto an array of small spots on the resist (e g , microlens 47 focuses the beam onto spot 52)
  • Each microlens images an optimal exposure distribution at its focal plane, resulting in an optimally-contoured surface profile n the developed resist (The imaging optics will be described below )
  • the resist profile is transferred into the fused silica plate 37 by reactive ion etching, and a lithographically-patterned aperture array 38 is then formed on the surface (FIG 16f
  • FIG 17 An extended, diffuse light source 53 is focused by a projection system 54 onto the mastering element 46
  • the projection system's aperture stop contains a gray-scale transmittance mask 55 which is imaged by each microlens 47 onto its corresponding exposure spot 52
  • the mask's transmittance profile controls the resist exposure distribution, which in turn determines the replica microlenses' surface profile shape 36 (FIG.
  • the substrate 49 and mastering element 46 are scanned across the beam during exposure (keeping their relative position fixed) in order to average out exposure nonun formities due to factors such as the projection system's field nonuniformity and coherence effects
  • the projection system 54 should designed to be telecentric on the object side so that the multiple images of the aperture mask 55 remain fixed relative to the resist 50 as elements 46 and 49 are scanned across the illumination field
  • An advantage of the above fabrication method is that the uniformity and placement accuracy of the replica microlenses are not limited by the exposure tool's field uniformity or stepping accuracy - they are determined only by the uniformity and collimation accuracy of the exposure beams 43 and 44 used to fabricate the mastering element (FIG. 16a) . Furthermore, the replica microlens arrays can be much larger than the exposure tool's image field.
  • the image source 11 defines the object plane of the projection system 1, and the microlens array 2 defines its image plane.
  • the projection system should generally be telecentric on the image side since the optical axes of the individual microlenses will typically all be mutually parallel. If an image source such as a DMD is used the projection system should also be telecentric on the object side.
  • An aperture lens (or lens system) 58 which contains the projection aperture 7 functions in conjunction with collimators 56 and 57 to image the image source 11 onto the microlens array 2.
  • the image source and microlens array could be positioned so that the collimators alone perform this imaging function, but a zero-power aperture lens 58 might still be needed for aberration control.
  • the illumination system 9 in FIG. 2 contains an illumination aperture 59 which is imaged by a collimator 60, the beam splitter 13, and the projection optics onto the projection aperture 7.
  • An alternative design configuration which simplifies the illumination optics is illustrated in FIG. 18.
  • the beam splitter 13 is incorporated within the aperture lens 58 and the projection aperture 7 and illumination aperture 59 are both formed directly on the beam splitter surface. Advantages of this system are that the beam splitter is very small and compact, and optical alignment is simplified because the apertures 7 and 59 are automatically aligned to each other.
  • FIG. 19 An even simpler illumination system is illustrated in FIG. 19. Rather than using a beam splitter, the illumination is brought into the system by means of a small, off-axis source such as an optical fiber 60 adjacent to the projection aperture 7. (An optical corrector element such as a binary optic element might be incorporated in front of the fiber to balance off-axis aberrations.)
  • the image source 11 must be designed to work with off-axis illumination in this configuration.
  • FIG. 20 illustrates a variant of the FIG. 19 configuration in which the two collimator lenses 56 and 57 are replaced by a single collimating mirror 61.
  • a fold mirror 62 may be used to physically separate the microlens array 2 and wafer 12 from the projection optics.
  • the projection aperture is a reflective element (e.g., a small, square reflective pad 63 deposited on a low-reflectance substrate 64) and the aperture lens 58 operates bidirectionally.
  • An advantage of this configuration is that it would exhibit very little chromatic aberration and could hence be used with a fairly broadband (e.g., 10 nm bandwidth) illumination source.
  • FIGS. 21a,b The configuration illustrated in FIGS. 21a,b is similar to FIG. 20, except that it includes a confocal viewing system which is used as a position encoder to monitor wafer alignment and focus. (This system is functionally similar to the FIG. 3 system.)
  • the encoder system would use a long-wavelength light source such as a 633nm HeNe laser which is outside of the sensitivity range of UV resists.
  • the reflective pad 63 in this configuration (FIG.
  • 21b comprises an optical coating which is highly reflective at the UV exposure wavelength, but is transparent at the encoder wavelength, and the substrate 64 is transparent at both wavelengths. (The coating should also be designed so that transmitted light at the encoder wavelength does not exhibit a significant phase discontinuity across the coating boundary.)
  • a second optical coating 65 which is formed on the substrate's bottom surface functions as a beam splitter at the encoder wavelength. The encoder's viewing illumination 66 is projected through both coatings and merged with the UV light path. It then reflects off of the collimator 61 and fold mirror 62, transmits through the microlens array 2, and is reflected back from the wafer 12.
  • the return beam is partially reflected by the beamsplitter coating 65, it again reflects off the collimator 61, and is directed onto a detector array 14. Due to its longer wavelength, the encoder system's optimum aperture size would be larger than that of the UV exposure system (e.g., see Eq 27 and FIG. 14) , so the beamsplitter coating 65 will have an aperture dimension larger than that of the UV-reflective pad 63.
  • the bottom surface of the substrate 64 is cut at a compound wedge angle so that the beam reflected from the bottom coating 65 is spatially separated from the UV beam reflected from the top coating 63.
  • FIG. 21a shows a top view of the system (as viewed through the collimator 61) , illustrating the positional relationships between the fold mirror aperture 62, the image source 11, and the detector array 14.
  • the surface tilt on both the top and bottom of the substrate 64 can be independently chosen to position the apertures 62, 11, and 14 in any preferred arrangement within the area defined by the collimator aperture 61.
  • the two reflective films could just as well be placed on the opposite sides of the substrate (i.e. with the UV-reflective film 63 on the bottom and the beam splitter coating 65 on top) , although in this case the beam splitter coating would also have to be UV-tran noir .
  • the Digital Micromirror Device (DMD, Ref. 3) has several favorable characteristics as an image source for microlithography: A digitally programmable image source would eliminate the need for lithography masks; the DMD's high frame rate (e.g., 10 kHz) should be sufficient to meet the throughput requirements for semiconductor production; and its pixel size (17 ⁇ m center spacing) is small enough to allow unit magnification in the projection system.
  • DMD Digital Micromirror Device
  • FIG. 22 illustrates a design configuration for the image source which uses an object-plane microlens array in conjunction with the micromirror array to optimally balance these factors.
  • An off-axis illumination beam (such as that produced by the fiber illuminator 60 in FIG. 19) is focused by microlens array 67 to an array of points; e.g. the portion of the illumination beam 68 intercepting microlens 69 is focused to point 70.
  • Each focused beam is intercepted by a micromirror which is tilted (when in its "on" state) to reimage the focus point to a diffraction-limited spot at the center of a microlens aperture adjacent to the focusing microlens; e.g. micromirror 71 reimages point 70 to a point 72 at the center of microlens 73 adjacent to microlens 69, and the projection optics then reimages point 72 onto the center of a corresponding aperture of the image-plane microlens array.
  • the micromirrors may contain some built-in optical power to facilitate this imaging function.
  • element 71 in FIG. 22 is illustrated as having some convex curvature.
  • the micromirrors could be formed as binary optic reflectors.
  • the optical power could also be provided by microlenses formed on the micromirror surfaces, or by separate, stationary microlenses positioned close to the micromirror apertures. )
  • micromirrors and microlenses also function to image the microlens apertures onto the projection aperture. For example, point 74 at the center of microlens aperture 69 is imaged by micromirror
  • a primary advantage of the above design configuration is that the uniform illumination over the object-plane microlens array 68 is effectively converted to an array of diffraction-limited point sources 72 in the reflected beam without incurring aperturing losses at the image source. This results in a controlled, even illumination distribution A" over the projection aperture and an image-plane distribution A ' with minimal peak width (FIG. 14) .
  • the diffraction-limited size of the effective source points 72 is determined by the effective focal length of the microlens-micromirror combination (e.g. elements 69 and 71) and can be designed to optimize the tradeoff between image cross-talk suppression and energy efficiency. (Smaller source points will generally improve cross ⁇ talk suppression by reducing the width of the A ' distribution, but will also result in a wider projection aperture distribution A" and consequent light loss due to overfilling of the aperture; see FIG. 14.)
  • FIG. 22 configuration Another advantage of the FIG. 22 configuration is that, by incorporating optical power in the micromirrors, the focal length of the object-plane microlenses can be reduced and the micromirrors can be positioned closer to the microlenses without incurring a wider spread of the projection aperture distribution A" .
  • their apertures would only need to be half the size of the microlenses.
  • the surface figure tolerance and the micromirror tilt tolerance would also be relaxed, although these advantages would be balanced by an increased tilt range requirement and by the need to incorporate optical power in the microlenses.
  • the above design approach also has the advantage that it avoids the use of a beam splitter and the associated optical efficiency loss. Also, with the off-axis illumination arrangement there would be no need to tilt the projection system's object plane to accommodate the micromirror tilt.
  • the DMD is not the only option for the image source.
  • One alternative, illustrated in FIG. 23, is to use a photomask 78 in conjunction with an object-plane microlens array 79.
  • the microlenses could be binary optic elements.
  • the projection system 1 images the array 79 onto the image-plane microlens array 2 at reduced magnification.
  • a dense array of image pixel elements comprising small pads of differing reflectivity is formed on the photomask surface. At any point in time some of the pixels will be positioned at the object-plane microlens foci positions (i.e. conjugate to the projection aperture) and will be illuminated in reflection mode through the microlenses.
  • the image source elements comprise the object-plane microlenses and corresponding illuminated pixels, and the elements are modulated by scanning the photomask across the focal point array to bring different pixels into position at the focal points.
  • "On" pixels are represented by bright chrome pads, and "off” pixels comprise transparent or absorbing areas. (A choice of gray levels could be provided by forming high-frequency etched gratings on the chrome pads.)
  • the wafer 12 and photomask 78 are both synchronously scanned and the illumination source is strobed so that exposures are made when the microlens focal points on the mask are centered on the pixels. (A technique for effectively strobing the illumination without actually modulating the light source is discussed below.)
  • the photomask's reflectivity distribution will be mapped onto a corresponding exposure distribution on the wafer at reduced size.
  • transmitted- light illumination generally results in higher-quality imaging than reflected-light illumination because transmitted light interacts less with the chrome sidewalls.
  • the pixels would be significantly larger than the diffraction-limited microlens foci so there will not be much edge scatter.
  • the photomask pixel center spacing would be l ⁇ m, whereas the pixel illumination spots would have a diameter (full width at first diffraction nodes) of only about 0.5 ⁇ m (assuming deep UV illumination and a microlens NA of about 0.5) .
  • the underfilled pixel apertures would provide some tolerance allowance for scanning synchronization error between the mask and the wafer.
  • the photomask embodiment could incur severe optical losses because, unlike the DMD system (FIG 22) , the photomask system has no mechanism for concentrating the illumination within the object-plane microlenses
  • a good compromise between efficiency and cross ⁇ talk suppression can be achieved by using the "effective apodization" technique described above under "Aperture design”
  • the object-plane microlens apertures and projection aperture are sized so that the first diffraction node of the amplitude distribution A " on the projection aperture (FIG 14) is approximately at the projection aperture boundary, and the image-plane microlens apertures are sized so that the first diffraction node of the image-plane distribution A ' is approximately at the microlens aperture boundary This arrangement would tend to minimize the diffraction tails at the image plane and could allow the microlens apertures to be spaced fairly closely without incurring significant image cross-talk
  • microlenses and projection aperture would be scaled up in size so that the image-plane microlens apertures and microspots are are both much larger than the diffraction limit (As m the confocal imaging mode, however, the microspots would be at least an order of magnitude smaller than the microlens apertures, so this system retains the advantage that the microlenses need only operate over a small angular field.) Due to the larger microlens aperture dimensions, it could be feasible to illuminate the photomask with transmitted light rather than using reflected light, so the system could use conventional photomasks and could use standard image enchancement techniques such as phase-shifting and annular illumination (The term "microlens" may be a misnomer in this context because the lens apertures could actually be quite large, e.g several millimeters in diameter
  • a reflective film strip might be used instead of a photomask.
  • the image source could, for example, be a film transparency or a liquid crystal device (LCD) .
  • reflective media have the advantage that the illumination can be focused down to an array of very small pixel elements by means of an object-plane microlens array in close proximity to the light-modulating elements (as in FIGS. 22 and 23) .
  • transparent media such as film transparencies do not generally transmit deep UV illumination efficiently.
  • the catadioptric system illustrated in FIG. 20 would exhibit very little chromatic aberration, so it could possibly be used with a fairly wide-bandwidth (e.g., lOnm) illumination source such as a filtered arc lamp. This could be feasible for I-line (365 nm) processing, but for shorter wavelengths a deep UV laser source may be required to achieve sufficient exposure energy.
  • a fairly wide-bandwidth illumination source such as a filtered arc lamp.
  • I-line (365 nm) processing but for shorter wavelengths a deep UV laser source may be required to achieve sufficient exposure energy.
  • a pulsed laser such as a krypton fluoride (248 nm) or argon fluoride (193 nm) excimer laser could provide very high exposure energy.
  • the pulse duration of an excimer laser is sufficiently short (e.g., 10 ns) that there would be no significant point smearing in the exposed image.
  • the main drawback of excimer lasers is that their pulse repetition rate is typically less than 1kHz, which is much less than the DMD's achievable frame rate and probably too slow for the high throughput requirements of semiconductor production. This limitation could only be overcome by either greatly increasing the number of pixels in the DMD or by having multiple microlens scanner systems operating in parallel. (A single laser could perhaps supply illumination to several scanner units.)
  • the frame rate would not be limited if a continuous illumination source such as an arc lamp is used.
  • a continuous-wave, frequency-quadrupled Nd:YAG laser (266 nm) may be an attractive option (Ref. 6) .
  • One problem that could be encountered with a continuous source is the image point smearing due to the relative motion between the microlens array and the wafer
  • One way to reduce the smearing would be to effectively strobe the illumination by shuttering the light source, so that each image frame is exposed over a very brief time period.
  • this method would incur a very large loss in optical efficiency. Much of the loss could possibly be recovered by using the light source to supply several scanner units.
  • an optical switching mechanism would be used to sequentially cycle the illumination through several units.
  • the illumination source may not have enough power to supply multiple units.
  • a practical solution of the point smearing problem would be to include a beam-scanning mechanism m the illumination optics which effectively strobes the beam by focusing the illumination to a narrow band, or a set of parallel bands, which are scanned at high speed across the image source. (Conceptually, this is analogous to the optical switching approach mentioned above, except that instead of switching the beam between different microlens scanner units it is effectively switched between different regions within a single unit.) This method is illustrated m FIGS. 24 and 25.
  • FIG. 24 shows how the microlenses are positioned relative to the wafer exposure pattern using conventional, full-field illumination with a pulsed light source.
  • the small circles e.g., 80
  • the large circles 81 represent the microlens apertures
  • the "+" marks 82 represent the positions of the microlens foc relative to the microspots at a particular instant in time (In the context of the FIG.
  • FIG 24 could alternatively be interpreted as representing the photomask 78, where the circles represent the reflective pads and the "+" marks represent the foci of the object-plane microlenses 79.)
  • the wafer (or mask) is translated in the scan direction 19, and the light source is pulsed when the foci are centered over the microspots
  • FIG. 25 illustrates the exposure geometry using the scanned- illumination technique.
  • the illumination is confined to a narrow band 83 (or set of parallel bands) which is scanned across the object and image fields in a direction 84 transverse to the band (or bands)
  • the beam is scanned synchronously with the wafer scan so that each microlens focus passes over the center of a microspot during the time that it is illuminated.
  • the microlens foci outside of the illuminated area 83 are not centered on microspots, but the wafer scan will have shifted them to a centered position at the time they are traversed by the illumination beam.
  • the microlens array operates in conjunction with an optical detector array 14 as a position encoder which provides feedback to a closed-loop wafer positioning servomechanism.
  • the encoder data could include the wafer's lateral position (X and Y, where X is the scan coordinate and Y is the cross-scan coordinate) , focus (Z) , tilt (i.e. , rotational displacements about the X and Y axes) and ⁇ (rotation about the Z axis) .
  • the system could provide a measure of the surface warp distribution between the wafer and microlens array (i.e. , Z as a function of X and Y) .
  • the position encoder would use a viewing wavelength different from the exposure wavelength, so the microlens array would need to include microlens elements that are specially designed for the encoder wavelength.
  • the encoder microlens elements could be formed as linear arrays bordering, or interspersed within, the two-dimensional array of exposure microlenses.
  • X- Y position sensing could be achieved by using a Moire technique in which the confocal response of a periodic pattern of microlenses is measured on a periodic tracking pattern.
  • the technique is illustrated in FIG. 26 for X tracking.
  • a periodic row of microlenses L 0 , j , 1,2 , ⁇ . ⁇ with center spacing d m is positioned over a periodic tracking pattern (such as an etched, rectangular-profile relief grating) with period d t .
  • the tracking position can be specified in terms of a parameter X 0 which is defined to be the X position, in wafer-based coordinates, of lens X- ⁇ 's focus.
  • S 0 is periodic modulo d c ,
  • FIG. 28 illustrates three interspersed microlens sets with different focus heights, j , h 2 , and h 3
  • the microlenses may also be spherically corrected for focusing through different thicknesses of resist
  • FIG 29 illustrates a top view (looking down on the wafer plane) of a pattern that could be used for tracking X, Y, and ⁇ rotation
  • Two parallel tracks are formed in the wafer scribe lines, each comprising parallel, etched wells 85 at 45° to the X-Y axes
  • the wells in one track are perpendicular to those of the other
  • a row of microlenses is disposed above each track
  • the microlens foci positions at a particular instant in time are indicated in FIG 29 by "+" marks 86 (The cross-sectional geometry in an X- Z plane through either microlens row has the form described above and illustrated in FIG.
  • phase of the signal profile from each microlens row will be a function of both X Q and Y 0 , with one of the phase terms being proportional to X Q + Y Q and the other proportional to X 0 - Y 0 .
  • the sum of the two phase terms provides a measure of X 0
  • the difference provides a measure of Y 0 .
  • any slight ⁇ rotation will result in a measurable shift in the fundamental frequencies of the two signal profiles. One frequency will increase with ⁇ , whereas the other will decrease, so the difference between the two frequencies can provide an accurate measure of ⁇ .
  • the sum of the two frequencies could also be monitored to detect any thermal expansion mismatch between the microlens array and the wafer.
  • the tracking signal could be analyzed digitally, or analog electronics could be used to convert the tracking signal to a positioning control signal.
  • the position feedback would be insensitive to minor defects or random inaccuracies in either the microlenses or the tracking pattern because the position measurement uses data from a large number of microlenses (e.g., 1000) . If the wafer stage has an encoder that is sufficiently accurate and precise, a much simpler tracking method could be used in which position feedback is simply provided by the stage encoder itself, rather than by the microlens encoder.
  • the alignment pattern could include large features for coarse positioning (e.g., a Gray-code bar pattern), plus a simple periodic line/space or checkerboard pattern to provide high-resolution X and Y measurement by the Moire technique outlined above (FIGS. 26, 27) . At least two sets of alignment patterns would be formed at widely-separated locations on the wafer to get good ⁇ measurement accuracy.
  • X- Y alignment techniques are also possible. Rather than using the microlens array as a position-sensing element, a Moire diffraction grating could be used (Ref. 10) . Also, optical position encoder elements such as microlens arrays or Moire diffraction gratings could be set directly into the wafer stage, and the alignment patterns could be formed on the wafer's back side. Several advantages of this approach are that the alignment patterns would not take up valuable wafer space, they would not be affected by the wafer processing steps, and the close optical coupling between stage-embedded encoder elements and the wafer could help to improve alignment accuracy.
  • a primary drawback of back-side alignment (and of top-side alignment using a Moire grating) is that it does not provide a direct measure of the wafer position relative to the microlens array, so alignment would require accurate calibration of the X- Y offset between the array and the position encoders. (This disadvantage could perhaps be mitigated by performing all fabrication steps on each wafer using the same exposure tool. The positioning error related to the X- Y offset would then be the same on all process layers, and hence will not affect overlay accuracy.)
  • the wafer stage encoder may be capable of providing a sufficiently accurate and precise position feedback signal, but whether or not the system relies on the stage encoder as the primary positioning feedback sensor, the stage drive mechanism itself may not be able to provide adequately precise and responsive position control.
  • High-inertia stage motors could provide smooth, uniform scanning motion with positioning accuracies at the submicron level, but to achieve alignment accuracies below the O.l ⁇ m level while scanning the image at a frame rate of about 10 kHz additional alignment means may also be required.
  • Two supplementary fine-alignment mechanisms could be used, either alone or together.
  • This mechanism would have a fast response time due to the microlens array's low inertia, but it would typically require an actuator with a resolution much better than O.l ⁇ m.
  • the microlens array does not itself function as the primary position feedback sensor, an additional X- Y position encoder would also have to be incorporated in the microlens system as part of the fine-alignment mechanism.
  • this technique could also be used for X- Y fine alignment between the photomask 78 and object-plane microlens array 79.
  • the second approach is to put an X- Y position actuator on the projection aperture.
  • the focused spots on the wafer are diffraction- limited images of the projection aperture, so as long as the aperture is sufficiently overfilled a translational shift of the aperture will induce a corresponding positional shift of the spots .
  • the illumination optics could include a beam-steering mechanism which operates in synchronization with the aperture actuator to keep the illumination energy centered on the aperture
  • An advantage of this approach is that large positional adjustments of the aperture will translate to exceptionally fine- resolution shifts in the focused spot positions (e.g., a 1mm aperture shift might typically induce an image shift well below O.l ⁇ m) .
  • the UV exposure projection aperture and the viewing projection aperture are both formed on a common substrate 64, so if the substrate position is adjusted for fine- alignment control the detector's X-Y feedback signal will always represent the wafer's relative position with the alignment correction applied. (This type of system would provide closed-loop alignment control.
  • An alternative, open-loop design could be configured by depositing the UV aperture film 63 on a separate, movable substrate, while the viewing aperture film 65 remains fixed, so that the detector signal represents the relative wafer position before the fine-alignment correction is applied.
  • the X alignment could also be fine-adjusted by synchronizing the image frame switching to the X encoder signal. For example, if the illumination source is an excimer laser its pulse switching could be triggered off of the encoder signal. Alternatively, if a DMD image source is used with a continuous light source, the frame rate could be synchronized to X by putting a variable time delay into the pixel switching or by phase-locking the DMD's clock signal to the encoder signal.
  • microlens array could also function to measure focus error.
  • the normalized confocal focus response I [Z] of each microlens, as a function of focus position Z, has the form
  • a very accurate measure of focus error can be obtained by comparing the signal responses of two adjacent microlenses which have a slight relative offset in their focus curves. The offset could be designed into the microlenses; for example, FIG. 31 illustrates two focus-sensor microlenses 87 and 88 with their focal planes displaced respectively above and below the wafer surface 12 by a distance ⁇ Z
  • FIG. 32 illustrates a configuration m which the focus-sensor microlenses 87 and 88 are designed to have a common focal plane displaced by a small distance ⁇ z below the wafer surface, and portions of the wafer surface 12 (e.g., areas within the scribe lines) are etched to a depth of 2 ⁇ Z . (Since the microlenses' focal lengths naturally tend to be greater at long wavelengths due to chromatic dispersion, this approach has the potential advantage that special-purpose focus-sensor lenses may not be required.
  • the same lens set could be used to both focus the UV exposure points onto the top surface and focus 0.633 ⁇ m radiation below the top surface.
  • one of the microlenses will have a confocal response I lZ+ ⁇ Z] while the other's response will be I [Z- ⁇ Z] .
  • the two response functions can be combined to obtain a self-normalized focus feedback signal F[Z] of the form
  • three or more microlens sets covering a range of focal heights could be used to provide fine-focus capability over a large range of focus positions (Or extending the FIG. 32 concept, three or more etch depths could be provided for extended-range focusing with parfocal microlenses.)
  • Long focal length, low-NA microlenses could be used to provide coarse focusing over an even greater range, though the long-range focus elements would not have as good precision.
  • the focus range and precision error both vary in proportion to 1/NA .
  • the relative tilt between the wafer and the microlens array can be measured by combining the output from three or more Z position encoders distributed at widely- separated positions on the array. A complete Z-height mapping over the array can also be made by combining the output from a large number of Z sensors. This data could be used to measure warp distortion.
  • warp measurement would be to use a row of Z sensors along the microlens array's leading edge to map out the wafer's warp distribution m raster fashion as the wafer is scanned under the array.
  • this method would not provide information on the array's own intrinsic warp, which would have to be separately calibrated and added to the wafer warp get the cumulative warp distortion.
  • the array's warp could be calibrated by measuring the exposure microlenses ' confocal response on an optical flat (with UV illumination) over a range of focus heights.
  • a simpler and more robust method for measuring warp might be to use several rows of Z sensor elements parallel to the leading-edge row and interspersed within the array.
  • a microlens layout with interspersed Z sensors is illustrated in FIG.
  • Z sensor lenses are interspersed along the scan lines.
  • adjacent scan lines 89 and 90 are covered by a Z sensor unit 91 comprising two or more microlenses 92 and 93 at the array's leading edge.
  • These elements are designed for operation at the encoder viewing wavelength and have a built-m focus offset as in FIG. 31.
  • elements 92 and 93 would cover the same scan line, but they have a slight Y displacement m FIG. 34 so that the microlenses can be laid out in a square array.
  • This unit is followed by UV exposure lenses 94 and 95, a second Z sensor unit 96 and exposure lenses 97 and 98, etc (In FIG. 34 one third of the lenses are shown as Z sensor elements, but in practice the ratio might be closer to 1% or less. )
  • the measured warp-induced focus error could be dynamically corrected by inducing a compensating warp distribution in the array.
  • the corrective warp is generated by applying a stress distribution along the microlens array's periphery (e.g., by means of piezoelectric transducers) .
  • a very general warp distribution can be induced by this method. Over the array's interior there are no normal forces and the induced Z displacement ⁇ Z ⁇ X, Y] , as a function of transverse coordinates X and Y, is described by the general thm-plate equilibrium equation,
  • the C ' s are constant calibration coefficients which can be determined by focusing on an optical flat and measuring the induced warp distribution as various voltage combinations are applied.
  • the focus height. Z , Z 2 , . . are dynamically measured and subtracted from the design focv. height (with compensation for any designed-m wafer topography) to obtain the computed height corrections ⁇ Z ⁇ ⁇ ⁇ z 2 , . . .
  • Eq 46 can then be used to calculate the control voltage adjustments that will induce the computed correction.
  • Eq 46 cannot generally be solved exactly because there may typically be many more Z sensors than actuators, but the equation can be solved approximately by least-squares minimization:
  • Eq 47 could serve as the basis of an algorithm to provide closed-loop control of fine focus, tilt, and warp compensation
  • FIGS 35a,b are top and sectional side views of a system which provides six-axis micropositiomng control of the microlens array, plus warp compensation
  • the microlenses are formed as an etched surface relief pattern on a very thin fused silica disk 37 (see FIG.
  • the superstrate is disk- shaped and is thin enough to have some flexibility, and its bottom surface has a slight, shallow bevel 99 around its periphery to ensure wafer clearance as the disk is flexed It is attached to a rigid, flanged tubular element 100 by means of vertically poled piezoelectric pads (e.g , 101 and 102) which control the Z height distribution over the superstrate's periphery
  • the pads are distributed in a circumferential arrangement of paired elements, wherein the elements of each pair (e.g , elements 101 and 102) are radially displaced so that they can act in opposition (e.g , one contracting, the other expanding) to control the superstrate's surface gradient at its periphery.
  • the outer surface of tubular element 100 has three flats on which piezoelectric shear plates (e g , 103) are bonded
  • the outer surface of each plate is bonded to a leaf spring (e.g , 104) which is attached to a supporting outer tube 105
  • Each piezoelectric plate is poled horizontally, parallel to the attached leaf spring, so the three plates can be actuated to provide X, Y , and ⁇ micropositiomng control
  • the outer tube 105 could be housed in a conventional microscope focus mechanism which is used for initial coarse-focus adjustment, but which would normally be locked during scan exposure operations.
  • FIG 36 shows a system-level schematic summarizing the various feedback and control mechanisms described above, in a preferred embodiment (A practical microlithography exposure tool would not necessarily require all of the elements illustrated in FIG 36.)
  • Optical paths are indicated in the diagram by heavy, solid lines, electronic data or signal paths are represented as light, solid lines, and mechanical control linkages are represented as dashed lines
  • the "controller” 106 may comprise one or more computers, digital micro-controllers, analog circuits, or a combination of such elements
  • the controller synchronizes the wafer scan, the DMD image frame generation, and (optionally) an illumination beam scanner 107 (see FIG 25) , and it also controls a feedback loop which dynamically corrects scan positioning errors
  • the optical detector signal provides dynamic focus, tilt, and warp measurement during scanning, and also provides high-resolution X-Y and ⁇ position data for pre-exposure alignment by the Moire technique described above
  • the Moire signal could possibly also be used for dynamic scan control, although it may be more practical to rely on the stage
  • Eq 11 can be derived by using the following equivalent form of Eq 9
  • Eplane C ⁇ nv[
  • Eq 12 can be derived by using the following equivalent form of Eq 8:
  • the present invention provides imaging systems and techniques for achieving high resolution and field size
  • Systems according to the invention can be readily manufactured using existing microlithographic and other optical technologies
  • the invention thus reduces the complexity and expense that characterize conventional wide-field, high-NA microscopy and microlithography systems
  • it provides potential performance advantages in that it makes possible flat field, distortion-free imaging, with accurate overlay, focus, and warp compensation, over very large image fields (larger than the practical limits of conventional imaging means)
  • it would use a Digital Micromirror Device as the image source, potentially eliminating the need for photomasks m semiconductor manufacture.
  • Ref. 3 J. B. Sampsell, "An Overview of the Performance Envelope of Digital Micromirror Device (DMD) Based Projection Display Systems, " Society for Information Display 1994 International Symposium (San Jose, CA, June 12-17, 1994) .
  • Ref. 4 w B. Hugle, Lens Array Photoli thography, U S.
  • Ref. 5 R V ⁇ lkel et. al. , "Microlens array imaging system for photolithography,” Optical Engineering 35(11) , 3323-3330 (1996) .
  • Ref 6 H Suganuma et al "Deep UV lithography using continuous-wave 266 nm radiation from all solid-state frequency quadrupled Nd:YAG laser," Proc . SPIE, 2440, 126-135 (1995) .
  • Ref. 8 M. Eisner and J. Schwider, "Transferring resist microlenses into silicon by reactive ion etching, " Optical Engineering 35(10), 2979-2982 (1996) Ref 9: M Bass, ed. , Handbook of Optic ⁇ , 2 nd ed., vol. 2,

Abstract

A microscopy or lithography system using a low-resolution image projection system, having a very small numerical aperture and large image field, in conjunction with a microlens array (2), each element of which has a large numerical aperture but very small field. The projection system contains a small aperture stop (7) which is imaged by the microlenses (2) onto an array of diffraction-limited microspots on the microscope sample (6) or printing surface (12) at the microlens focal point positions, and the surface is scanned to build up a complete raster image from the focal point array. The system design thus circumvents the tradeoff between image resolution and field size which is the cause of much of the complexity and expense of traditional wide-field, high-NA microscopy and microlithography systems. The system makes possible flat field, distortion-free imaging, with accurate overlay, focus, and warp compensation, over image field larger than the practical limitations of conventional imaging systems. A digital micromirror device may be used as the image source, eliminating the need for photomasks in semiconductor manufacture.

Description

MICROLENS SCANNER FOR MICROLITHOGRAPHY AND WIDE-FIELD CONFOCAL MICROSCOPY
CROSS-REFERENCE O RELATED PPLICATION
This application claims priority from provisional application 60/012,434, filed Feb 28, 1996, the disclosure of which is incorporated by reference
BACKGROUND OF HE INVENTION The invention relates to two fields that can be broadly categorized as "image reading" and "image writing " Its primary intended application in the image reading field would be as a tandem scanning confocal microscope, although it could also potentially be used for other applications, for example as a high-resolution document scanner, or as a reader for optical mass storage media, etc The invention's primary intended application for image writing would be as a microlithography printer for semiconductor manufacture, however this field may also include applications such as document printing, photographic reproduction, etc The following description will focus on the confocal microscopy and microlithography applications, although the specification can be applied by obvious extension to other applications as well
A confocal microscope (Ref l) is similar to a conventional microscope except that the illumination is filtered by a small pmhole which is focused to a diffraction-limited microspot on the sample, and (in the case of a reflection confocal microscope) the light reflected from the sample is again filtered by the same pinhole The focused beam is raster- scanned across the sample (by scanning either the pinhole or the sample) to build up a high- esolution raster image of the sample (A transmission confocal microscope is similar, except that separate pinholes are used to filter the illumination and transmitted light ) In comparison to conventional microscopes a confocal microscope has superior lateral image resolution and also exhibits extremely fine depth resolution
A tandem scanning confocal microscope of the Nip ow type (see Ref l Chap 14) uses an array of pinholes, rather than a single pinhole to achieve a very high image frame rate The pinholes are formed on a disk which spins at a high rate to provide real-time imaging A drawback of the Nipkow-type system is that its field size is limited by the performance of conventional microscope objectives Given the field size limitations of commercial high-power objectives it would take a very long time for a Nipkow-type system to scan, for example, a complete semiconductor wafer, even with its high image frame rate
In comparison to typical microscopy applications, field size requirements for microlithography steppers are far more demanding Current steppers must achieve high-resolution, flat-field, and low- distortion imaging performance comparable to high-quality microscope objectives, but over a field size of around 20mm or greater This level of performance is attained by using massive, multielement, all-glass projection lenses or catadioptπc systems such as the Perkin-Elmer Micralign and Wynne-Dyson systems (Ref. 2, Chap 8) The optics m such systems must be manufactured to submicron accuracies, and submicron alignment and dimensional stability tolerances must be held over large distances between massive optical and mechanical components to maintain resolution, focus and overlay accuracy The technical difficulties associated with the combined requirements for high image resolution and large field size pose significant challenges to the further advancement of optical microlithography for semiconductor applications.
SUMMARY OF THE INVENTION
The invention provides imaging systems and techniques that circumvent the tradeoff between image resolution and field size which is the source of much of the complexity and expense of conventional wide- field, high-NA microscopy and microlithography systems.
In short, this is achieved by using a comparatively low- resolution image projection system, which has a very small numerical aperture but large image field, in conjunction with a microlens array comprising miniature lens elements, each of which has a large numerical aperture but very small field The projection system contains a small aperture stop which is imaged by the microlenses onto an array of diffraction-limited icrospots on the microscope sample or printing surface at the microlens focal point positions, and the surface is scanned to build up a complete raster image from the focal point array The system's critical dimensional and alignment tolerances are localized in the microlens array itself and in its positioning relative to the sample or printing surface. This minimizes the system's susceptibility to dimensional tolerance stack-up, thermal effects, and weight loading which are problematic in conventional microimaging systems For the microlithography application, the microlens array can also function as the imaging element of a position encoder which controls the array's alignment relative to the printing surface. By making the exposure imaging optic and the position encoder optic one and the same, dimensional tolerance stack-up in the positioning servomechanism is kept to a minimum The microlens positioning servo could accurately and precisely control X- Y positioning, focus, and tilt, and in one embodiment it could also compensate for warp in either the printing surface or the lens array itself A further understanding of the nature and advantages of the present invention may be realized by reference to the remaining portions of the specification and the drawings
BRIEF DESCRIPTION OF THE DRAWINGS
FIG 1 illustrates a simple embodiment of the invention which could operate as a tandem scanning confocal microscope;
FIG. 2 illustrates a very similar embodiment which could function as a lithography printer;
FIG 3 illustrates a variant of the lithography system which combines the printing and microscopic imaging functions in a single device;
FIGS. 4-6 illustrate several alternative scan patterns that could be used, including the bi-directional raster scan (FIG. 4) , continuous line scan (FIG. 5) , and segmented line scan or "multiscan" (FIG. 6) ; FIG. 7 illustrates a microlens 's focal plane field coordinates
(X, Y) and aperture plane coordinates {X', 1") ;
FIG 8 illustrates the microlens point, line, and plane exposure profiles;
FIG. 9 illustrates the exposure profile { B vs X) for an image feature and its complement; FIG. 10 illustrates constant-exposure contours for several positive line images;
FIGS. 11a-f illustrate a multilevel processing procedure for effecting the logical operations of conjunction (logical AND) and disjunction (logical OR) ;
FIGS. 12 and 13 show simple illustrations of boolean compositing;
FIG. 14 illustrates the basic design tradeoffs relating to aperture sizing and microlens spacing, FIG. 15 illustrates a possible configuration for the microlens design,
FIGS. 16a-g illustrate a fabrication process based on laser- assisted chemical etching;
FIG 17 illustrates the exposure process for microlens replication,-
FIG. 18 illustrates an alternative design configuration which simplifies the illumination optics;
FIG. 19 illustrates an even simpler illumination system; FIG. 20 illustrates a variant of the FIG. 19 configuration in which the two collimator lenses are replaced by a single collimating mirror,
FIGS. 21a,b illustrate a configuration that is similar to FIG. 20, except that it includes a confocal viewing system which is used as a position encoder to monitor wafer alignment and focus; FIG. 22 illustrates a design configuration which uses an object-plane microlens array in conjunction with a micromirror array;
FIG. 23 illustrates an alternative embodiment which uses a photomask m conjunction with an object-plane microlens array;
FIGS. 24 and 25 illustrate a scanned-illumination technique; FIG 26 illustrates the oirέ technique for X tracking;
FIG. 27 illustrates the interpolated detector signal with the Moire technique;
FIG. 28 illustrates three interspersed microlens sets with different focus heights, hl t h2 , and h3 ; FIG 29 illustrates a top view (looking down on the wafer plane) of a pattern that could be used for tracking X, Y, and θ rotation, FIG 30 illustrates the confocal response of a 0.5NA system operating at wavelength λ = 0.633(_m,
FIG 31 illustrates two focus-sensor microlenses with their focal planes displaced respectively above and below the wafer surface by a distance ΔZ,
FIG. 32 illustrates a configuration m which the focus-sensor microlenses are designed to have a common focal plane displaced by a small distance ΔZ below the wafer surface, and portions of the wafer surface
(e.g., areas within the scribe lines) are etched to a depth of 2ΔZ; FIG 33 illustrates the focus feedback signal F[Z] for a 0 SNA system operating at wavelength λ = 0.633μm, with ΔZ = 0.5μm,
FIG 34 illustrates a microlens layout with interspersed Z sensors,
FIGS 35a,b are top and sectional side views of a system which provides six-axis micropositioning control of the microlens array, plus warp compensation, and
FIG. 36 shows a system-level schematic summarizing the various feedback and control mechanisms.
DESCRIPTION OF SPECIFIC EMBODIMENTS Basic rin j i s of o eration
FIG. 1 illustrates a simple embodiment of the invention which could operate as a tandem scanning confocal microscope The system contains a low-resolution, double-telecentric optical projection system 1 which images a microlens array 2 onto an optical detector array 3, with each microlens element being imaged onto a corresponding light-sensing detector element (e.g., microlens 4 is imaged onto detector element 5) . Each individual microlens images a corresponding focal point at or near the sample surface 6 onto the projection system's aperture stop 7, so the corresponding detector element senses the sample reflectivity over a small microspot at the microlens's focal point (e.g , microlens 4 images point 8 onto the projection aperture 7, so element 5 senses the reflectivity at point 8) . The sample is illuminated in reflection mode from an illumination system 9. The icrospots are selectively illuminated by passing the illumination through the projection aperture 7 and microlens array 2, using a beam splitter 10 to merge the illumination into the light path
The diffraction-limited images of the projection aperture through the microlenses define the microspots, which are at least an order of magnitude smaller than the microlens aperture dimension The microspots would preferably be comparable in size to the microlenses' diffraction point spread function Thus, in the preferred embodiments the projection aperture performs the same function as the pinhole in a confocal microscope One difference between th s system and prior-art tandem scanning confocal microscopes is that instead of imaging an array of pinholes through a single objective, the system uses a single "pinhole" d e , the projection aperture) which is imaged through an array of "objectives" (microlenses) .
FIG 2 illustrates an embodiment which is very similar to the microscopy system of FIG 1, but which could function as a lithography printer (In this figure as well as later figures, elements corresponding to those in an earlier figure will generally be denoted with the same reference numeral.) This system also contains a low-resolution, double- telecentric projection system 1, but in this embodiment the projection system functions to focus an image source 11 onto the microlens array 2 The image source comprises an array of light-modulating source elements (e.g , spots or pads of variable reflectivity) , with each source element being imaged onto a corresponding microlens element. The image source could be a Digital Micromirror Device (or DMD, Ref 3) , with each source element comprising an individual micromirror pixel element Each microlens images the projection aperture 7 onto a corresponding microspot on the printing sur ace 12, and each source element controls the exposure level over the corresponding microspot The image source 11 is illuminated in reflection mode from the illumination system 9, using a beam splitter 13 to merge the illumination into the light path
This system differs in a couple of respects from the microlens photolithography invention of Hugle et al (Ref's. 4, 5) Hugle's system does not use a single projection aperture for the entire microlens array (as illustrated in FIG. 2) , but rather comprises an array of microlens units w th separate, parallel optical paths Also, Hugle's microlenses are non-scanning, wide-field imaging devices, each covering an image field comparable in size to the microlens aperture dimension In contrast, the present invention uses a scanning technique to achieve full-field coverage with microlenses whose instantaneous image fields (i.e. the microspots) are at least an order of magnitude smaller than the microlens apertures. (As with the confocal microscope embodiment, the lithograhy system's microspots are defined by the diffraction-limited images of the projection aperture through the microlenses, and would preferably be comparable in size to the microlenses' diffraction point spread function.)
FIG. 3 illustrates a variant of the lithography system which combines the printing and microscopic imaging functions in a single device. This is similar to the FIG. 2 system, except that reflected light from the printing surface 12 is split out of the light path by the beam splitter 13 and directed onto an optical detector array 14. (A typical system might use two wavelengths such as a UV wavelength for exposure and a HeNe laser wavelength for imaging.) The detector could sense alignment marks on the printing surface, and it could take advantage of the accurate depth discrimination of confocal imaging to sense focus height variations across the surface. The position information would be used by a closed- loop servomechanism to control registration alignment and/or focus and tilt. The servomechanism could also correct for warp distortion in either the printing surface or the microlens array by applying a compensating stress distribution around the array's periphery.
In each of the above embodiments the sample or printing surface is scanned so that the microlenses' focal point array traces out a complete raster image. (Alternatively, the microlens system itself could be scanned relative to a fixed sample or printing surface.)
FIGS. 4-6 illustrate several alternative scan patterns that could be used, including the bi-directional raster scan (FIG. 4) , continuous line scan (FIG. 5) , and segmented line scan or "multiscan" (FIG. 6) . With the bi-directional raster scan (FIG. 4) the image surface is divided into an array of square or rectangular cells with cell dimensions matching the microlens center spacing, and the surface is scanned bi-directionally so that each focal point 15 scans a pattern of raster lines covering a single cell 16. With the continuous line scan (FIG. 5) each focal point 17 scans just one raster line 18 extending across the entire image field. The microlenses are arranged in rows that are skewed relative to the scan direction 19 by a small angle _> so that the focal points trace out a pattern of closely-spaced raster lines, i.e., with the raster line period dr being much smaller than the microlens center spacing dm . (dr = dm sine?. ) The segmented line scan (FIG. 6) is similar, except that each raster line is divided into a number of segments (e.g., segments 20 and 21) that are scanned by different lens foci (e.g., 22 and 23) .
The "multiscan" imaging mode is similar to the segmented scan (FIG. 6) , except that the scan segments overlap so that each raster line is scanned more than once. Multiscan imaging could have a variety of uses. One application would be to create color images or prints by varying the illumination chromaticity between successive scans. (For example, line segment 20 in FIG. 6 would be scanned first by lens focus 22, and then again by focus 23 with different illumination.) A microscope system could use also use multiscan imaging to create three dimensional images by tilting the microlens array slightly relative to the scan direction so that successive image scans are acquired at slightly different focal depths. (Alternatively, rather than tilting the microlens array, a distribution of focal plane heights could be designed into the array.) In a lithography system, the illumination brightness could be varied between successive scans in order to provide control of the exposure dose. (This would be useful if the image source does not provide gray level control. With N scans, each at a different illumination level, the total number of possible exposure dose levels is 2 . ) Alternatively, a lithography system might use redundant scanning simply to minimize statistical imaging errors due to factors such as microlens defects. The microlens scanner design principles, components, and subsystems will be described in greater detail below, with the primary emphasis being on microlithography and semiconductor wafer production. (Much of the specification applies directly to microscopy and other applications, however.) A practical embodiment of the microlithography system might use a continuous deep-UV laser light source such as a frequency-quadrupled 266nm NdrYAG laser (Ref. 6) and a DMD image source similar to a prototype device that has been demonstrated with about 2,000,000 pixels (Ref. 3) . The DMD is capable of operating at a frame rate of over 10 kHz, resulting in a pixel rate of 2 10 pixels/sec. Assuming a raster line period of about 0.1 micron the exposure area rate would be 2 cm /sec. The system could use a catadioptric projection optics system whose simple, compact design would make it possible to combine multiple microlens scanner units in one machine, resulting in a total
2 throughput on the order of 10 cm /sec.
Microlens Imaging Theory and Techniςrue
The basic imaging characteristics of microlens arrays can be derived using methods of Fourier optics. (This assumes a small numerical aperture with insignificant optical aberrations, but these methods can nevertheless provide a useful first approximation to the properties of microlenses with numerical aperture of about 0.5 or less. )
We will denote by (X, Y) a microlens's focal plane field coordinates, and by (X', 1") its aperture plane coordinates (FIG. 7) . The analysis will be simplified by introducing dimensionless field coordinates (x, y) and aperture coordinates (x' , y' ) -. x = X / λ, y = Y I λ Eq 1 x' = -X' I Fm, y' = -Y' / Fm Eg where λ is the exposure wavelength and Fm is the microlens focal length
(FIG. 7) . The (scalar) electric field amplitude distribution on the focal plane will be denoted as A [x, y] , and the electric field distribution on the microlens aperture plane will be denoted as A' [x' , y'] . (Note: Square brackets "[...]" are used here as function argument delimiters; round brackets "(...)" are used for grouping.) Ignoring an insignificant phase factor, A and A' are related approximately by a Fourier transform relationship:
A'[x',y'] = JA[x,y] exp[i2π(xx' + yy')} dx dy E(3 3
A[x,y]
Figure imgf000011_0001
exp[-i2π(xx' -r yy')] dx' dy' E<ϊ 4
(An electric field time separation factor of exp[+ια)t] is assumed, and all integrals are taken from -∞ to ∞.) The instantaneous energy distribution produced at the focal plane is proportional to \ A [x, yl |2. (This assumes that there is no significant overlap between distributions from adjacent microlenses, which is a safe assumption because the microlenses' center spacing would typically be over an order of magnitude larger than the diffraction-limited spot size.) If the spots are "flash exposed" using a pulsed light source (such as an excimer laser) the total exposure dose E[x, y] after completing an entire scan will be an incoherent superposition of diffraction-limited, overlapping microspot distributions laid out on a grid pattern:
∑ l |2 gjk \A[x - js,y - ks]\ Eg 5
_ where s is the grid size (in wavelength units) and g^ is the exposure gray level (on a scale of 0 to 1) at point (x, y) = (jε, kε) . If a continuous light source is used there would be some smearing of the microspots due to motion of the wafer while each spot is being exposed, but this "point smearing" effect is neglected here. The image would typically be exposed using a grid size s equal to the raster line period dr (FIG. 5) , or some multiple thereof, normalized to the wavelength: s = m dr l λ E<3 6 where m is an integer.
Three exposure distributions are of primary importance in microlens imaging: the point distribution Epoin t [x, y] which is obtained when point (x, y) = (0, 0) is exposed at unit gray level and all other points are unexposed, the line distribution Eliπe [x, y] which is obtained when all grid points on the line x = 0 are exposed at unit gray level and all others are unexposed, and the plane distribution Eplane [x, y] which is obtained when all grid points are exposed at unit gray level.
' point [x,y] Eq 7
Figure imgf000012_0001
Eimel*.y) - k sj Eq 8
Figure imgf000012_0002
EpU el*>y} - J s.y - k sf E< 9
A fundamental result from Fourier optics is that if the grid size is within the limit s < l/(2 NAm) Eq 10 where NA^ is the microlens numerical aperture, then Eplane [x, y] reduces to a constant and Enαe [x, y] has a uniform cross section in x (i.e., it has no y dependence) : E plane = (
Figure imgf000013_0001
Figure imgf000013_0002
(These results, which we will respectively designate the "plane exposure theorem" and the "line exposure theorem, " are derived in sections near the end of this description below. Note that with a positive resist the Eplane distribution will result in all the resist being dissolved, Ejιne will develop to an isolated linear space, and Epoιnt will develop to an isolated hole.) Eq's 11 and 12 can alternatively be expressed n terms of aperture integrals instead of field integrals,
Z plane = dx> dy')/s2 E«3 «
Figure imgf000013_0003
Figure imgf000013_0004
For the special case of a uniformly- illuminated circular aperture, the aperture function A' has the form
A'[x',y'] = i > for I x .,'2Δ + y ,'2 <NA Eq 15
0 otherwise
where A'0 is a constant Its inverse Fourier transform A has the form
A[x,y]
Figure imgf000013_0005
Eq lβ where -7j is a Bessel function of the first kind. (Eq 16 represents the classical Airy diffraction pattern.) Substituting Eq's 15 and 16 in Eq's 7, 13, and 14, we obtain:
Figure imgf000013_0006
*2 Jχ[2π NAm yjx2 + y2 f /(π(x2 + y2)) Eq 17
Elιne[x} = E plane (s/Wm 7? NAm x)2]) ES « where XF2 is a generalized hypergeometric function. The peak values of these distributions are S2 NAm 2 π Eg 19 E neW = Eplane s 16 NAm/(3π) Eg 20
From Eq 10 we obtain the following maximum limits on Epoιn t [ , 0] and
Epoιnt[0,0) < Epιa π l 4 Eq 21
Ehm[0] < Eplme * / (3π) Eg 22
FIG. 8 illustrates the point, line, and plane exposure profiles for NAm = 0.5 and s = l. (For smaller step sizes Epσιn t/ Eplane scales in proportion to s and El xnβ/Eplane scales in proportion to s.) The plane exposure theorem implies a useful image reversal characteristic of microlens array scanners. If the illumination level is set so that the resist solubility threshold Es is at Eplane/2 , then image reversal can be achieved by simply inverting the image gray levels (i.e., substitute gjK +— 1-?^ at each exposure point) . For example, FIG. 9 illustrates the exposure profile (E vs X) for an image feature and its complement. The feature has an exposure profile Ea which is produced by the gray level distribution g-,k,
Figure imgf000014_0001
Under image reversal, the exposure distribution Ea is transformed to distribution Eb = Eplane- Ea ,
E plane
Figure imgf000014_0002
Eq 24
Figure imgf000014_0003
(from Eq 9) . The two exposure profiles cross the solubility threshold Es at the same points (since Es = Epιane-Es) ; hence they will develop to the same width dimension W. (If the image source provides gray level control, the image reversal process does not require that the solubility threshold Es be at Epiane/2 because the positive and negative images' gray levels could be independently scaled so that any desired exposure contour is at Es. )
Image features comprising closed contours enclosing high- exposure areas can be termed "positive," whereas the complementary features (closed contours enclosing low-exposure regions) can be termed "negative " FIG. 10 illustrates constant-exposure contours at 0.25Ep2ane, 0 . SEplane , and 0.75Ep2aπe for several positive line images of varying length constructed with NAm = 0.5. (With a positive resist these patterns would develop into linear spaces ) The exposure points, indicated by "+" marks, are all exposed at unit gray level and are separated by 1.51 in X and by 1 in Y Although the line and plane exposure theorems generally apply only to infinite lines and planes, short linear or rectangular features such as those in FIG. 10 could be formed with fairly straight contours and uniform cross sections. The straightness and uniformity could be improved by using gray level control and a small grid step (e.g., s = 0.5)
Simple image patterns such as those illustrated in FIG. 10 can be combined to create small, sharply detailed patterns by using "boolean compositing" operations The simplest such operation is image reversal (i.e., boolean negation) , which can be effected by gray level inversion, as described above Other logical operations such as conjunction (logical AND) and disjunction (logical OR) could be effected by a multilevel processing procedure such as that illustrated in FIGS, lla-f. In FIG 11a a wafer substrate 24 is spin-coated with a thick PMMA layer 25, a planarized spm-on-glass (SOG) layer 26, and a thin resist layer 27 which is exposed and developed to create an etched pattern in the SOG. In FIG. lib the top resist is stripped and a second PMMA layer 28, SOG layer 29, and thin resist 30 are applied, and the top resist is exposed and developed to create a second etched pattern in the top SOG layer In FIG lie the double-layer PMMA structure is reactive ion-etched down to the substrate, resulting in an etch mask that exposes a region on the substrate defined by the conjunction of the etched areas on the two SOG layers.
In a variation of the above process the top PMMA is only etched far enough to expose the bottom SOG layer (FIG. lid) . This is followed by a selective etch which removes the top SOG layer and the exposed portion of the lower SOG layer (FIG. lie) , and finally the remaining PMMA is etched down to the substrate (FIG. llf) This process results in an etch mask defined by the disjunction of the two SOG etch patterns.
FIGS. 12 and 13 show simple illustrations of boolean compositing In FIG. 12 an array of small, square islands is created by the disjunctive composition of two positive line patterns (indicated by opposite hatching) . In FIG. 13 two parallel, overlapping sets of positive line patterns are conjunctively composited to form narrow spaces . Multiple sets of such patterns could be interleaved to form high-density arrays of very small features with the feature density exceeding the classical diffraction limit. (The feature size and density are primarily limited by the resist contrast and overlay accuracy.)
Aperture design
The basic design tradeoffs relating to aperture sizing and microlens spacing are illustrated schematically in FIG. 14. Each microlens 31 focuses the beam down to a diffraction-limited focus spot with a tightly confined amplitude distribution A [X, Y] on the wafer surface 1 . This distribution is determined by the microlens ' s numerical aperture and by the amplitude distribution A' [X', Ϋ] over the microlens aperture 32. The latter distribution, which is the diffraction-limited image from the source element 33 corresponding to microlens 31, should preferably overfill the aperture 32 in order to optimize focus resolution on the wafer plane and also to minimize sensitivity of the focus spot to optical registration errors between the source elements and the microlens apertures. However, if the A' distribution is too broad (or if the microlenses are too closely spaced) , it will also overlap adjacent microlens apertures 34 and 35, resulting in image cross-talk between adjacent apertures.
The A' distribution is determined by the projection system's numerical aperture and by the amplitude distribution A" [X" , Y"] over the projection aperture 7. {A" represents the portion of the aperture illumination that comes only from source element 33. The total aperture illumination field also includes similar distributions from all other source elements.) The source elements' aperture size can be chosen to optimally control the A" distribution. If the source elements are much smaller than their center spacing then diffraction at element 33 's aperture will cause the A" distribution to be very evenly spread over the projection aperture 7, minimizing possible spreading or distortion of the projected image-plane distribution A' due to nonuniform aperture illumination. Also, sensitivity to misalignment of the illumination source can be minimized by making the source elements small. The tradeoff, however, is that optical efficiency would fall off in proportion to the source elements' aperture size due to overfilling of the projection aperture.
The projection aperture size and shape can be designed to optimize the tradeoff between illumination uniformity across the microlens aperture 32 versus minimizing light leakage into adjacent apertures 34 and 35. One approach could be to use a square aperture 7 aligned to the microlens grid. The square-aperture amplitude transmittance function tp [X ", Y") is
t p D[X",Y"] =
Figure imgf000017_0001
otherwise P l Pl Eq 25
where Wp is the projection aperture width. Assuming that the A" distribution is substantially uniform within the projection aperture, a square aperture will result in a microlens aperture distribution A' described approximately by the function A'[X', Y'] = A0'
Figure imgf000017_0002
X'/(λ Fp)]sinc[r p Y'/(λ Fp)] Eq 26 where A' 0 is a constant, Fp is the focal length of the optical subsystem between the projection aperture 7 and microlens aperture 32, λ is the exposure wavelength, and sinc[u] = sin[πu] / (πu) . The aperture width Wp can be chosen so that the first nodes of the sine function are approximately centered on the adjacent microlens apertures 34 and 35: where dm is the microlens center spacing. In this case, Eq's 25 and 26 become:
/ [X",Y"] l < λ Fpl{2 dm); Eq 28 p ' [0 otherwise
A'[X', Y') = A^ s c[X'/dm] sinc[Y'/dm] Eq 29
If dm is, for example, four times the microlens aperture width am (FIG. 14) , the illumination intensity nonuniformity over the aperture 32 will be only about 5% (not enough to significantly impair focus resolution on the wafer plane) and the energy leakage into each adjacent aperture 34 or 35 will be about 0.4%, assuming circular microlens apertures. The cross-talk amplitude component would not give rise to any significant coherence effects. For example, the primary amplitude distribution A' [X', V] over microlens aperture 32 is superimposed with cross-talk distributions A' [X'+dm, Y"] and A' [x' - dm, 1"] from adjacent source elements 34 and 35 Each of the cross-talk distributions is approximately odd-symmetric in X over aperture 32 whereas A' [ , Ϋ] is even-symmetric This implies (based on the properties of Fourier transforms) that at the wafer plane the cross-talk amplitude will be approximately pure complex, whereas A [X, Y] will be real-valued, resulting in minimal coherence interaction This assumes that the illumination is coherent and that the source elements are coherently aligned (If there are significant phase shifts between adjacent elements the cross-talk energy leakage could be much higher than the level indicated above, e.g , 0.4%, due to coherence interactions. ) There are variants of the square-aperture design outlined above that could result in even better cross-talk suppression One alternative is to align the aperture at a 45° angle relative to the microlens grid and set its size so that both sine terms in the A' distribution are zero at the center of adjacent microlens apertures 34 and 35 < λ Fp/dm; Eq 30
Figure imgf000018_0001
A'[X', Y'] = A, sιnc[( ' + Y')/dm] sιnc[( JT' - Y')/dm] Ecϊ 31
Another approach is to use an apodized projection aperture which essentially replaces the square-aperture amplitude transmittance function of Eq 28 by its autoconvolution With this modification the sine functions in A' are replaced by sine2 terms, so over the adjacent apertures where A' has zero crossings the cross-talk amplitude becomes negligible
Figure imgf000018_0002
Eq 33
A'[X', Y'} = Aϋ' sine2 [X'/dm] sine2 [Y'/dm] (These variant approaches have the disadvantage that either the illumination uniformity over the microlens aperture 32 would be compromised or the microlens spacing dm would have to be increased to maintain illumination uniformity.) The apodization approach can also be implemented by a slightly different technique. Rather than forming an actual physical apodizer at the projection aperture, the beam can be "effectively apodized" by designing the aperture illumination field A" so that it has a tapered profile over the aperture region similar to an apodization profile. For example, if the illumination optics and image source are designed so that the A" distribution's first diffraction nodes are at the projection aperture edges, the tapered amplitude distribution near the edges will tend to repress the diffraction tails in the image-plane distribution A' . (The tradeoff to this advantage, however, is that the system w ll be less tolerant of any misalignment or vibration that could cause the A" distribution to shift.) Similarly, the microlens apertures could be effectively apodized by locating the first diffraction nodes of the A' distribution at the microlens aperture boundary This would tend to repress the diffraction tails in the wafer-plane distribution A, though at the expense of increasing the central peak width.
The aperture design approaches outlined above can be applied to microscopy systems as well as lithography printers (For the microscopy application the source elements 33 m FIG. 14 can be reinterpreted as detector elements.) It should be noted that there is one application for which image cross-talk would not be a problem If the system is used to print a periodic pattern, with the pattern periodicity matching the microlens layout, then the exposure intensities at all the microspots would be identical; so any cross-talk effect could be corrected by making a compensating adjustment in the overall exposure level For this application an image source array would not even be required - it could simply be replaced by a modulated point source at the center of the projection aperture which would uniformly illuminate the entire microlens array. This type of system could be used, for example, in the manufacture of microlens arrays, wherein the image-plane microlens array would be used as a mastering element to lithographically pattern replica elements. (However, an alternative manufacturing technique described below could have advantages over this method.)
Microlens Construction
FIG. 15 illustrates a possible con iguration for the microlens design. Each microlens is formed as a surface relief profile 36 on the top surface of a thin fused silica plate 37. A lithographically patterned light-blocking layer 38 such as dark chrome is deposited on the top of the plate to define the microlens apertures. The plate is optically contacted and bonded to a thick fused silica superstrate 39. Portions of the superstrate's bottom surface are etched to form a light-scattering or diffracting surface 40 which represses the specular reflected beam over the optically contacted regions of the plate. The superstrate's top surface has an antireflection coatings 41, and the bottom surface has an antireflection coating 42 over the microlens clear aperture areas. (The scattering or diffractive surface areas and the antireflection coatings prevent the specularly reflected light from transmitting back through the projection aperture. )
A fabrication process based on laser-assisted chemical etching (Ref's. 7, 8) is illustrated in FIGS. 16a-g. The basic procedure is to first form an array of low-NA microlenses using a holographic construction process (FIGS . 16a-d) , and then to use this array as a mastering element for replicating arrays of accurately-profiled, high-NA microlenses (FIGS. 16β-g) . In FIG. 16a a pair of accurately collimated, uniform laser beams 43 and 44 are combined to form an interference pattern which exposes a thick resist layer 45 on a fused silica substrate 46. The substrate is then rotated 90° and exposed a second time, so the latent resist image consists of two orthogonal sets of uniformly-spaced parallel lines. The latent image is developed into a sinusoidal thickness variation in the resist (FIG. 16b) , which is converted to a sinusoidal surface profile 47 in the substrate by a reactive ion etching process (FIG. 16c; Ref's. 7, 8) . The surface height profile Z [X, Y consists of crossed sinusoids, z[x ] = z0(cos2[π x/dm}+cos2[π Y/dm]) Eq 34 where dm is the holographic pattern's line period and Z0 is a constant. The shape is approximately paraboloidal in the vicinity of the profile peaks, for example near {X, Y) = (0,0) the profile function takes the approximate form
Z[X, Y] ≡ Z0(2 - (π / dm)2(X2 + Y2)) Eq 35
These paraboloidal regions can function as microlens elements, and an aperture array 48 is formed on the surface to delimit these areas (FIG
16d) The aperture array is formed as a lithographically-patterned chrome layer which is holographically constructed using the exposure setup of FIG 16a to ensure accurate registration of the aperture array with the microlenses The low-NA microlens array can be used as a proximity mask for fabricating the high-NA array (FIG 16e) A thin fused silica plate 37 is optically contacted to a thick supporting substrate 49 and is overcoated with resist 50 An exposure beam 51 is projected through the low-NA microlens array 46, which focuses the beam onto an array of small spots on the resist (e g , microlens 47 focuses the beam onto spot 52) Each microlens images an optimal exposure distribution at its focal plane, resulting in an optimally-contoured surface profile n the developed resist (The imaging optics will be described below ) The resist profile is transferred into the fused silica plate 37 by reactive ion etching, and a lithographically-patterned aperture array 38 is then formed on the surface (FIG 16f) {The low-NA microlens array 46 can also be used to lithographically pattern the aperture array. ) Finally, the silica plate 37 is bonded to the superstrate 39 and is detached from the substrate 49 (FIG 16g) The exposure optics used in the replication process (FIG 16e) is illustrated in FIG 17 An extended, diffuse light source 53 is focused by a projection system 54 onto the mastering element 46 The projection system's aperture stop contains a gray-scale transmittance mask 55 which is imaged by each microlens 47 onto its corresponding exposure spot 52 The mask's transmittance profile controls the resist exposure distribution, which in turn determines the replica microlenses' surface profile shape 36 (FIG. 15) The substrate 49 and mastering element 46 are scanned across the beam during exposure (keeping their relative position fixed) in order to average out exposure nonun formities due to factors such as the projection system's field nonuniformity and coherence effects The projection system 54 should designed to be telecentric on the object side so that the multiple images of the aperture mask 55 remain fixed relative to the resist 50 as elements 46 and 49 are scanned across the illumination field
An advantage of the above fabrication method is that the uniformity and placement accuracy of the replica microlenses are not limited by the exposure tool's field uniformity or stepping accuracy - they are determined only by the uniformity and collimation accuracy of the exposure beams 43 and 44 used to fabricate the mastering element (FIG. 16a) . Furthermore, the replica microlens arrays can be much larger than the exposure tool's image field.
Numerous alternatives to reactive ion-etched microlenses exist for either the mastering microlens elements or the replica array. Possibilities include molded microlenses, distributed-index planar microlenses, micro-Fresnel lenses (or binary optics) , and melted-resin arrays (Ref. 9, Chap 7) . Although their material processing technologies differ, most of these microlens types are fabricated using photolithography, so the exposure techniques described above can be adapted to these alternative types as well One practical variation of the above process, for example, would be to form the mastering microlenses 47 as distributed-index planar elements. The air space between the mastering element 46 and resist 50 (FIGS. 16e, 17) could then be replaced by a dielectric layer which is deposited over the mastering element 46 The replica microlenses would thus be formed by a contact printing process, rather than by proximity printing, which has the advantage that the critical air space tolerance requirement would be eliminated
Projection and Illumination Optics
In the context of a lithography system (FIG. 2) the image source 11 defines the object plane of the projection system 1, and the microlens array 2 defines its image plane. The projection system should generally be telecentric on the image side since the optical axes of the individual microlenses will typically all be mutually parallel. If an image source such as a DMD is used the projection system should also be telecentric on the object side. The FIG. 2 configuration uses a collimatmg lens 56 to image the projection aperture 7 to infinity on the image side, and a collimatmg lens 57 is also used to image the aperture to infinity on the object side An aperture lens (or lens system) 58 which contains the projection aperture 7 functions in conjunction with collimators 56 and 57 to image the image source 11 onto the microlens array 2. (The image source and microlens array could be positioned so that the collimators alone perform this imaging function, but a zero-power aperture lens 58 might still be needed for aberration control.)
The illumination system 9 in FIG. 2 contains an illumination aperture 59 which is imaged by a collimator 60, the beam splitter 13, and the projection optics onto the projection aperture 7. An alternative design configuration which simplifies the illumination optics is illustrated in FIG. 18. In this system the beam splitter 13 is incorporated within the aperture lens 58 and the projection aperture 7 and illumination aperture 59 are both formed directly on the beam splitter surface. Advantages of this system are that the beam splitter is very small and compact, and optical alignment is simplified because the apertures 7 and 59 are automatically aligned to each other.
An even simpler illumination system is illustrated in FIG. 19. Rather than using a beam splitter, the illumination is brought into the system by means of a small, off-axis source such as an optical fiber 60 adjacent to the projection aperture 7. (An optical corrector element such as a binary optic element might be incorporated in front of the fiber to balance off-axis aberrations.) The image source 11 must be designed to work with off-axis illumination in this configuration.
FIG. 20 illustrates a variant of the FIG. 19 configuration in which the two collimator lenses 56 and 57 are replaced by a single collimating mirror 61. A fold mirror 62 may be used to physically separate the microlens array 2 and wafer 12 from the projection optics. The projection aperture is a reflective element (e.g., a small, square reflective pad 63 deposited on a low-reflectance substrate 64) and the aperture lens 58 operates bidirectionally. An advantage of this configuration is that it would exhibit very little chromatic aberration and could hence be used with a fairly broadband (e.g., 10 nm bandwidth) illumination source. (The optical geometry can be designed so that the aperture lens 58 has essentially no power and functions only as an aberration-controlling element; hence its chromatic dispersion will be very small. Although the microlenses are high-NA refractive elements, their imaging performance is not much affected by chromatic dispersion because of their small size.) The configuration illustrated in FIGS. 21a,b is similar to FIG. 20, except that it includes a confocal viewing system which is used as a position encoder to monitor wafer alignment and focus. (This system is functionally similar to the FIG. 3 system.) The encoder system would use a long-wavelength light source such as a 633nm HeNe laser which is outside of the sensitivity range of UV resists. The reflective pad 63 in this configuration (FIG. 21b) comprises an optical coating which is highly reflective at the UV exposure wavelength, but is transparent at the encoder wavelength, and the substrate 64 is transparent at both wavelengths. (The coating should also be designed so that transmitted light at the encoder wavelength does not exhibit a significant phase discontinuity across the coating boundary.) A second optical coating 65 which is formed on the substrate's bottom surface functions as a beam splitter at the encoder wavelength. The encoder's viewing illumination 66 is projected through both coatings and merged with the UV light path. It then reflects off of the collimator 61 and fold mirror 62, transmits through the microlens array 2, and is reflected back from the wafer 12. The return beam is partially reflected by the beamsplitter coating 65, it again reflects off the collimator 61, and is directed onto a detector array 14. Due to its longer wavelength, the encoder system's optimum aperture size would be larger than that of the UV exposure system (e.g., see Eq 27 and FIG. 14) , so the beamsplitter coating 65 will have an aperture dimension larger than that of the UV-reflective pad 63. The bottom surface of the substrate 64 is cut at a compound wedge angle so that the beam reflected from the bottom coating 65 is spatially separated from the UV beam reflected from the top coating 63.
FIG. 21a shows a top view of the system (as viewed through the collimator 61) , illustrating the positional relationships between the fold mirror aperture 62, the image source 11, and the detector array 14. This represents only one possible configuration. The surface tilt on both the top and bottom of the substrate 64 can be independently chosen to position the apertures 62, 11, and 14 in any preferred arrangement within the area defined by the collimator aperture 61. Also, the two reflective films could just as well be placed on the opposite sides of the substrate (i.e. with the UV-reflective film 63 on the bottom and the beam splitter coating 65 on top) , although in this case the beam splitter coating would also have to be UV-transparen . The Imaαe Source
The Digital Micromirror Device (DMD, Ref. 3) has several favorable characteristics as an image source for microlithography: A digitally programmable image source would eliminate the need for lithography masks; the DMD's high frame rate (e.g., 10 kHz) should be sufficient to meet the throughput requirements for semiconductor production; and its pixel size (17μm center spacing) is small enough to allow unit magnification in the projection system.
A couple of tradeoffs must be properly balanced in the design of a DMD system. These tradeoffs relate to the micromirrors' aperture size requirement and surface form tolerance, the micromirror tilt range and tilt tolerance, energy efficiency, and image cross-talk. FIG. 22 illustrates a design configuration for the image source which uses an object-plane microlens array in conjunction with the micromirror array to optimally balance these factors. An off-axis illumination beam (such as that produced by the fiber illuminator 60 in FIG. 19) is focused by microlens array 67 to an array of points; e.g. the portion of the illumination beam 68 intercepting microlens 69 is focused to point 70. Each focused beam is intercepted by a micromirror which is tilted (when in its "on" state) to reimage the focus point to a diffraction-limited spot at the center of a microlens aperture adjacent to the focusing microlens; e.g. micromirror 71 reimages point 70 to a point 72 at the center of microlens 73 adjacent to microlens 69, and the projection optics then reimages point 72 onto the center of a corresponding aperture of the image-plane microlens array. The micromirrors may contain some built-in optical power to facilitate this imaging function. For example, element 71 in FIG. 22 is illustrated as having some convex curvature. (Alternatively, the micromirrors could be formed as binary optic reflectors. The optical power could also be provided by microlenses formed on the micromirror surfaces, or by separate, stationary microlenses positioned close to the micromirror apertures. )
In addition to imaging the illumination source onto the microlens apertures, the micromirrors and microlenses also function to image the microlens apertures onto the projection aperture. For example, point 74 at the center of microlens aperture 69 is imaged by micromirror
71 to a virtual image point 75, which is then imaged by microlens 72 (in cooperation with the projection optics) onto the center of the projection aperture. (However, when the mirormirror is in its "off" position, as illustrated by element 76 in FIG. 22, it is tilted to divert the reflected beam 77 out of the projection aperture.) A primary advantage of the above design configuration is that the uniform illumination over the object-plane microlens array 68 is effectively converted to an array of diffraction-limited point sources 72 in the reflected beam without incurring aperturing losses at the image source. This results in a controlled, even illumination distribution A" over the projection aperture and an image-plane distribution A ' with minimal peak width (FIG. 14) . The diffraction-limited size of the effective source points 72 is determined by the effective focal length of the microlens-micromirror combination (e.g. elements 69 and 71) and can be designed to optimize the tradeoff between image cross-talk suppression and energy efficiency. (Smaller source points will generally improve cross¬ talk suppression by reducing the width of the A ' distribution, but will also result in a wider projection aperture distribution A" and consequent light loss due to overfilling of the aperture; see FIG. 14.)
Another advantage of the FIG. 22 configuration is that, by incorporating optical power in the micromirrors, the focal length of the object-plane microlenses can be reduced and the micromirrors can be positioned closer to the microlenses without incurring a wider spread of the projection aperture distribution A" . This results in a more compact system and reduces the micromirror aperture size requirement (i.e. fill factor) . (Even without incorporating optical power in the micromirrors, their apertures would only need to be half the size of the microlenses.) Furthermore, the surface figure tolerance and the micromirror tilt tolerance would also be relaxed, although these advantages would be balanced by an increased tilt range requirement and by the need to incorporate optical power in the microlenses.
The above design approach also has the advantage that it avoids the use of a beam splitter and the associated optical efficiency loss. Also, with the off-axis illumination arrangement there would be no need to tilt the projection system's object plane to accommodate the micromirror tilt.
The DMD is not the only option for the image source. One alternative, illustrated in FIG. 23, is to use a photomask 78 in conjunction with an object-plane microlens array 79. (The microlenses could be binary optic elements.) The projection system 1 images the array 79 onto the image-plane microlens array 2 at reduced magnification. A dense array of image pixel elements comprising small pads of differing reflectivity is formed on the photomask surface. At any point in time some of the pixels will be positioned at the object-plane microlens foci positions (i.e. conjugate to the projection aperture) and will be illuminated in reflection mode through the microlenses. The image source elements comprise the object-plane microlenses and corresponding illuminated pixels, and the elements are modulated by scanning the photomask across the focal point array to bring different pixels into position at the focal points. "On" pixels are represented by bright chrome pads, and "off" pixels comprise transparent or absorbing areas. (A choice of gray levels could be provided by forming high-frequency etched gratings on the chrome pads.) The wafer 12 and photomask 78 are both synchronously scanned and the illumination source is strobed so that exposures are made when the microlens focal points on the mask are centered on the pixels. (A technique for effectively strobing the illumination without actually modulating the light source is discussed below.) Thus, the photomask's reflectivity distribution will be mapped onto a corresponding exposure distribution on the wafer at reduced size.
With conventional chrome-on-quartz photomasks, transmitted- light illumination generally results in higher-quality imaging than reflected-light illumination because transmitted light interacts less with the chrome sidewalls. However, this would not be the case with the microlens system because edge-scattered light is substantially eliminated by the projection aperture. Also, the pixels would be significantly larger than the diffraction-limited microlens foci so there will not be much edge scatter. For example, with a 10X reduction system which is designed to produce a 0.lμm microspot period on the wafer plane, the photomask pixel center spacing would be lμm, whereas the pixel illumination spots would have a diameter (full width at first diffraction nodes) of only about 0.5μm (assuming deep UV illumination and a microlens NA of about 0.5) . In addition to minimizing optical interactions with the chrome edges, the underfilled pixel apertures would provide some tolerance allowance for scanning synchronization error between the mask and the wafer. If the image-plane microlenses are widely separated to suppress image cross-talk the photomask embodiment could incur severe optical losses because, unlike the DMD system (FIG 22) , the photomask system has no mechanism for concentrating the illumination within the object-plane microlenses A good compromise between efficiency and cross¬ talk suppression can be achieved by using the "effective apodization" technique described above under "Aperture design" The object-plane microlens apertures and projection aperture are sized so that the first diffraction node of the amplitude distribution A " on the projection aperture (FIG 14) is approximately at the projection aperture boundary, and the image-plane microlens apertures are sized so that the first diffraction node of the image-plane distribution A ' is approximately at the microlens aperture boundary This arrangement would tend to minimize the diffraction tails at the image plane and could allow the microlens apertures to be spaced fairly closely without incurring significant image cross-talk
The compromise between efficiency versus cross-talk suppression could be circumvented by using the microlenses as extended- field imaging devices rather than using confocal-mode point imaging In this mode the microlenses and projection aperture would be scaled up in size so that the image-plane microlens apertures and microspots are are both much larger than the diffraction limit (As m the confocal imaging mode, however, the microspots would be at least an order of magnitude smaller than the microlens apertures, so this system retains the advantage that the microlenses need only operate over a small angular field.) Due to the larger microlens aperture dimensions, it could be feasible to illuminate the photomask with transmitted light rather than using reflected light, so the system could use conventional photomasks and could use standard image enchancement techniques such as phase-shifting and annular illumination (The term "microlens" may be a misnomer in this context because the lens apertures could actually be quite large, e.g several millimeters in diameter ) This system could have advantages over conventional, monolithic stepper designs (e.g compact, low cost optics), although it would lack some of the principal advantages of confocal imaging (superior lateral resolution and depth discrimination, msensitivity to geometric and chromatic aberrations in the lens array, less susceptibility to optical coherence effects) Other types of image source mechanisms could also be used with the microlens scanner. For example, a reflective film strip might be used instead of a photomask. Although most of the device embodiments considered above use reflected-light illumination, transmitted light could potentially also be used. The image source could, for example, be a film transparency or a liquid crystal device (LCD) . However, reflective media have the advantage that the illumination can be focused down to an array of very small pixel elements by means of an object-plane microlens array in close proximity to the light-modulating elements (as in FIGS. 22 and 23) . Furthermore, transparent media such as film transparencies do not generally transmit deep UV illumination efficiently.
The Illumination Source
The catadioptric system illustrated in FIG. 20 would exhibit very little chromatic aberration, so it could possibly be used with a fairly wide-bandwidth (e.g., lOnm) illumination source such as a filtered arc lamp. This could be feasible for I-line (365 nm) processing, but for shorter wavelengths a deep UV laser source may be required to achieve sufficient exposure energy.
A pulsed laser such as a krypton fluoride (248 nm) or argon fluoride (193 nm) excimer laser could provide very high exposure energy.
Line narrowing, which is required with conventional systems due to their high chromatic dispersion, would not be necessary with the catadioptric system. The pulse duration of an excimer laser is sufficiently short (e.g., 10 ns) that there would be no significant point smearing in the exposed image. The main drawback of excimer lasers is that their pulse repetition rate is typically less than 1kHz, which is much less than the DMD's achievable frame rate and probably too slow for the high throughput requirements of semiconductor production. This limitation could only be overcome by either greatly increasing the number of pixels in the DMD or by having multiple microlens scanner systems operating in parallel. (A single laser could perhaps supply illumination to several scanner units.)
The frame rate would not be limited if a continuous illumination source such as an arc lamp is used. For deep-UV applications a continuous-wave, frequency-quadrupled Nd:YAG laser (266 nm) may be an attractive option (Ref. 6) . One problem that could be encountered with a continuous source is the image point smearing due to the relative motion between the microlens array and the wafer One way to reduce the smearing would be to effectively strobe the illumination by shuttering the light source, so that each image frame is exposed over a very brief time period. However this method would incur a very large loss in optical efficiency. Much of the loss could possibly be recovered by using the light source to supply several scanner units. (Rather than shuttering the light source, an optical switching mechanism would be used to sequentially cycle the illumination through several units.) However, in practice the illumination source may not have enough power to supply multiple units. A practical solution of the point smearing problem would be to include a beam-scanning mechanism m the illumination optics which effectively strobes the beam by focusing the illumination to a narrow band, or a set of parallel bands, which are scanned at high speed across the image source. (Conceptually, this is analogous to the optical switching approach mentioned above, except that instead of switching the beam between different microlens scanner units it is effectively switched between different regions within a single unit.) This method is illustrated m FIGS. 24 and 25.
FIG. 24 shows how the microlenses are positioned relative to the wafer exposure pattern using conventional, full-field illumination with a pulsed light source. The small circles (e.g., 80) represent diffraction-limited microspots on the wafer, which are exposed at varying intensity levels to produce the raster image. The large circles 81 represent the microlens apertures, and the "+" marks 82 represent the positions of the microlens foc relative to the microspots at a particular instant in time (In the context of the FIG. 23 system, FIG 24 could alternatively be interpreted as representing the photomask 78, where the circles represent the reflective pads and the "+" marks represent the foci of the object-plane microlenses 79.) The wafer (or mask) is translated in the scan direction 19, and the light source is pulsed when the foci are centered over the microspots
FIG. 25 illustrates the exposure geometry using the scanned- illumination technique. The illumination is confined to a narrow band 83 (or set of parallel bands) which is scanned across the object and image fields in a direction 84 transverse to the band (or bands) The beam is scanned synchronously with the wafer scan so that each microlens focus passes over the center of a microspot during the time that it is illuminated. (Note that in FIG. 25 the microlens foci outside of the illuminated area 83 are not centered on microspots, but the wafer scan will have shifted them to a centered position at the time they are traversed by the illumination beam.)
Positioning Feedback and Control
In the embodiments illustrated in FIGS. 3 and 21a,b the microlens array operates in conjunction with an optical detector array 14 as a position encoder which provides feedback to a closed-loop wafer positioning servomechanism. (A similar type of system could be used to control the photomask scanner in the FIG. 23 system.) The encoder data could include the wafer's lateral position (X and Y, where X is the scan coordinate and Y is the cross-scan coordinate) , focus (Z) , tilt (i.e. , rotational displacements about the X and Y axes) and θ (rotation about the Z axis) . In addition, the system could provide a measure of the surface warp distribution between the wafer and microlens array (i.e. , Z as a function of X and Y) . Typically, the position encoder would use a viewing wavelength different from the exposure wavelength, so the microlens array would need to include microlens elements that are specially designed for the encoder wavelength. (It may be possible, in principle, to design dual-wavelength microlenses. For example, a hybrid diffractive-refractive design could be used. However, the fabrication of such a design would be difficult and may entail performance compromises.) The encoder microlens elements could be formed as linear arrays bordering, or interspersed within, the two-dimensional array of exposure microlenses. X- Y position sensing could be achieved by using a Moire technique in which the confocal response of a periodic pattern of microlenses is measured on a periodic tracking pattern. The technique is illustrated in FIG. 26 for X tracking. A periodic row of microlenses L0, j, 1,2 , ■ . ■ with center spacing dm is positioned over a periodic tracking pattern (such as an etched, rectangular-profile relief grating) with period dt. The tracking position can be specified in terms of a parameter X0 which is defined to be the X position, in wafer-based coordinates, of lens X-ø's focus. ( XQ varies linearly with time.) The detector elements comprise light-sensing pixels, and the confocal response signal Sn at the pixel corresponding to lens Ln , as a function of the tracking position X0 and pixel number n, satisfies the periodicity relationship Sn[X0}=S0[X0+ndm] Eq 36 The function S0 is periodic modulo dc,
S0[X] = S0[X moddt] Eq 37
The dimensions dw and dt are chosen so that dm is close to, but not exactly equal to, an integer multiple of dt, dm =kdt+δ Eq 38 where k is an integer and δ is a small value (significantly smaller than dt) Thus,
Sn[X0] = S0[(X0+n(kdt+δ))modd,] = S0[X0+nδ] q 39 The signal profile will shift by 1 pixel as the wafer moves by a distance of δ,
Sn+l[X0]=SθlXθ+(» + = Sθ[(Xθ+δ) + »δ =Sn[X0+δ) Eq 40 hence the pixel-resolution confocal response can be used to measure X0 with a resolution of δ Better resolution can be achieved by interpolating the detector signal between pixels The interpolated detector signal (as a function of fractional pixel number π) will be periodic modulo dt/δ, as illustrated in FIG. 27:
S{+dt)Α] = So[Xo+(n + dt/δ)δ] = S0[X0-rnδ) = Sn[X0] Eq 4i
(from Eq's 39 and 37) The signal period dt/δ is very large, so the detector signal's phase can be precisely measured to subpixel precision, resulting in a measurement precision of X0 significantly better than _>
In practice several sets of position-sensor microlenses may be provided for focusing at different heights For example, FIG. 28 illustrates three interspersed microlens sets with different focus heights, j, h2, and h3 The microlenses may also be spherically corrected for focusing through different thicknesses of resist
FIG 29 illustrates a top view (looking down on the wafer plane) of a pattern that could be used for tracking X, Y, and θ rotation Two parallel tracks are formed in the wafer scribe lines, each comprising parallel, etched wells 85 at 45° to the X-Y axes The wells in one track are perpendicular to those of the other A row of microlenses is disposed above each track The microlens foci positions at a particular instant in time are indicated in FIG 29 by "+" marks 86 (The cross-sectional geometry in an X- Z plane through either microlens row has the form described above and illustrated in FIG. 26 or 28.) Defining (X0 , Y0) to be the wafer-based coordinates at one particular focus, the phase of the signal profile from each microlens row will be a function of both XQ and Y0, with one of the phase terms being proportional to XQ+ YQ and the other proportional to X0- Y0. The sum of the two phase terms provides a measure of X0 , and the difference provides a measure of Y0. Furthermore, any slight θ rotation will result in a measurable shift in the fundamental frequencies of the two signal profiles. One frequency will increase with θ, whereas the other will decrease, so the difference between the two frequencies can provide an accurate measure of θ. The sum of the two frequencies could also be monitored to detect any thermal expansion mismatch between the microlens array and the wafer. The tracking signal could be analyzed digitally, or analog electronics could be used to convert the tracking signal to a positioning control signal. The position feedback would be insensitive to minor defects or random inaccuracies in either the microlenses or the tracking pattern because the position measurement uses data from a large number of microlenses (e.g., 1000) . If the wafer stage has an encoder that is sufficiently accurate and precise, a much simpler tracking method could be used in which position feedback is simply provided by the stage encoder itself, rather than by the microlens encoder. However, it would still be necessary to accurately locate and align the wafer relative to the microlens array, and microlens imaging could be used for pre-exposure alignment. The alignment pattern could include large features for coarse positioning (e.g., a Gray-code bar pattern), plus a simple periodic line/space or checkerboard pattern to provide high-resolution X and Y measurement by the Moire technique outlined above (FIGS. 26, 27) . At least two sets of alignment patterns would be formed at widely-separated locations on the wafer to get good θ measurement accuracy.
Other X- Y alignment techniques are also possible. Rather than using the microlens array as a position-sensing element, a Moire diffraction grating could be used (Ref. 10) . Also, optical position encoder elements such as microlens arrays or Moire diffraction gratings could be set directly into the wafer stage, and the alignment patterns could be formed on the wafer's back side. Several advantages of this approach are that the alignment patterns would not take up valuable wafer space, they would not be affected by the wafer processing steps, and the close optical coupling between stage-embedded encoder elements and the wafer could help to improve alignment accuracy. A primary drawback of back-side alignment (and of top-side alignment using a Moire grating) is that it does not provide a direct measure of the wafer position relative to the microlens array, so alignment would require accurate calibration of the X- Y offset between the array and the position encoders. (This disadvantage could perhaps be mitigated by performing all fabrication steps on each wafer using the same exposure tool. The positioning error related to the X- Y offset would then be the same on all process layers, and hence will not affect overlay accuracy.)
The wafer stage encoder may be capable of providing a sufficiently accurate and precise position feedback signal, but whether or not the system relies on the stage encoder as the primary positioning feedback sensor, the stage drive mechanism itself may not be able to provide adequately precise and responsive position control. High-inertia stage motors could provide smooth, uniform scanning motion with positioning accuracies at the submicron level, but to achieve alignment accuracies below the O.lμm level while scanning the image at a frame rate of about 10 kHz additional alignment means may also be required.
Two supplementary fine-alignment mechanisms could be used, either alone or together. One would be a very precise X- Y position transducer, such as a piezoelectric actuator, coupled directly to the microlens array. If the microlens apertures are overfilled, slight lateral shifts in the microlens positions will simply cause their focal points to shift laterally without significantly affecting the focused spot intensity distribution. This mechanism would have a fast response time due to the microlens array's low inertia, but it would typically require an actuator with a resolution much better than O.lμm. (If the microlens array does not itself function as the primary position feedback sensor, an additional X- Y position encoder would also have to be incorporated in the microlens system as part of the fine-alignment mechanism.) In the FIG. 23 embodiment this technique could also be used for X- Y fine alignment between the photomask 78 and object-plane microlens array 79. The second approach is to put an X- Y position actuator on the projection aperture. The focused spots on the wafer are diffraction- limited images of the projection aperture, so as long as the aperture is sufficiently overfilled a translational shift of the aperture will induce a corresponding positional shift of the spots . The illumination optics could include a beam-steering mechanism which operates in synchronization with the aperture actuator to keep the illumination energy centered on the aperture An advantage of this approach is that large positional adjustments of the aperture will translate to exceptionally fine- resolution shifts in the focused spot positions (e.g., a 1mm aperture shift might typically induce an image shift well below O.lμm) . Note that in the system configuration shown in FIGS. 21a,b the UV exposure projection aperture and the viewing projection aperture are both formed on a common substrate 64, so if the substrate position is adjusted for fine- alignment control the detector's X-Y feedback signal will always represent the wafer's relative position with the alignment correction applied. (This type of system would provide closed-loop alignment control. An alternative, open-loop design could be configured by depositing the UV aperture film 63 on a separate, movable substrate, while the viewing aperture film 65 remains fixed, so that the detector signal represents the relative wafer position before the fine-alignment correction is applied.) The X alignment could also be fine-adjusted by synchronizing the image frame switching to the X encoder signal. For example, if the illumination source is an excimer laser its pulse switching could be triggered off of the encoder signal. Alternatively, if a DMD image source is used with a continuous light source, the frame rate could be synchronized to X by putting a variable time delay into the pixel switching or by phase-locking the DMD's clock signal to the encoder signal.
In addition to functioning as a positioning encoder for X- Y tracking or alignment, the microlens array could also function to measure focus error. The normalized confocal focus response I [Z] of each microlens, as a function of focus position Z, has the form
/[Z] = sinc2[(4Z /A) sin2[α /2]] Eq 2 where λ is the wavelength, sιn[α] is the microlens numerical aperture, and smc[u] = sm[πu] / (πu) (Ref. l; Eq 1.1 on p. 11) . For example, FIG. 30 illustrates the confocal response of a 0.5NA system operating at wavelength λ = 0.633μm. The curve's full width at half max is 2.lμm. A very accurate measure of focus error can be obtained by comparing the signal responses of two adjacent microlenses which have a slight relative offset in their focus curves. The offset could be designed into the microlenses; for example, FIG. 31 illustrates two focus-sensor microlenses 87 and 88 with their focal planes displaced respectively above and below the wafer surface 12 by a distance ΔZ
A focus offset could also be created by positioning two parfocal microlenses over areas on the wafer with different etch depths. For example, FIG. 32 illustrates a configuration m which the focus-sensor microlenses 87 and 88 are designed to have a common focal plane displaced by a small distance Δz below the wafer surface, and portions of the wafer surface 12 (e.g., areas within the scribe lines) are etched to a depth of 2ΔZ . (Since the microlenses' focal lengths naturally tend to be greater at long wavelengths due to chromatic dispersion, this approach has the potential advantage that special-purpose focus-sensor lenses may not be required. The same lens set could be used to both focus the UV exposure points onto the top surface and focus 0.633 μm radiation below the top surface.) Using either approach (FIG 31 or FIG. 32) , one of the microlenses will have a confocal response I lZ+ΔZ] while the other's response will be I [Z-ΔZ] . The two response functions can be combined to obtain a self-normalized focus feedback signal F[Z] of the form
7[Z+ΔZ]-/[Z-ΔZ] Eq 43
L J 7[Z+ΔZ]+/[Z-ΔZ]
This function is illustrated in FIG. 33 for a 0.5NA system operating at wavelength λ = 0.633μm, with ΔZ = 0.5μm. Within a +/-1.5μm range the function is monotonic and varies approximately linearly with focus position.
Generalizing on the concept illustrated in FIG. 31, three or more microlens sets covering a range of focal heights could be used to provide fine-focus capability over a large range of focus positions (Or extending the FIG. 32 concept, three or more etch depths could be provided for extended-range focusing with parfocal microlenses.) Long focal length, low-NA microlenses could be used to provide coarse focusing over an even greater range, though the long-range focus elements would not have as good precision. (The focus range and precision error both vary in proportion to 1/NA .) In addition to measuring focus position, the relative tilt between the wafer and the microlens array can be measured by combining the output from three or more Z position encoders distributed at widely- separated positions on the array. A complete Z-height mapping over the array can also be made by combining the output from a large number of Z sensors. This data could be used to measure warp distortion.
One approach to warp measurement would be to use a row of Z sensors along the microlens array's leading edge to map out the wafer's warp distribution m raster fashion as the wafer is scanned under the array. However, this method would not provide information on the array's own intrinsic warp, which would have to be separately calibrated and added to the wafer warp get the cumulative warp distortion. The array's warp could be calibrated by measuring the exposure microlenses ' confocal response on an optical flat (with UV illumination) over a range of focus heights. A simpler and more robust method for measuring warp might be to use several rows of Z sensor elements parallel to the leading-edge row and interspersed within the array. A microlens layout with interspersed Z sensors is illustrated in FIG. 34. This is similar to the multiscan layout in FIG. 6, except that Z sensor lenses (illustrated as the hatched circles) are interspersed along the scan lines. For example, adjacent scan lines 89 and 90 are covered by a Z sensor unit 91 comprising two or more microlenses 92 and 93 at the array's leading edge. These elements are designed for operation at the encoder viewing wavelength and have a built-m focus offset as in FIG. 31. (Ideally elements 92 and 93 would cover the same scan line, but they have a slight Y displacement m FIG. 34 so that the microlenses can be laid out in a square array. ) This unit is followed by UV exposure lenses 94 and 95, a second Z sensor unit 96 and exposure lenses 97 and 98, etc (In FIG. 34 one third of the lenses are shown as Z sensor elements, but in practice the ratio might be closer to 1% or less. )
The measured warp-induced focus error could be dynamically corrected by inducing a compensating warp distribution in the array. The corrective warp is generated by applying a stress distribution along the microlens array's periphery (e.g., by means of piezoelectric transducers) . A very general warp distribution can be induced by this method. Over the array's interior there are no normal forces and the induced Z displacement δZ \X, Y] , as a function of transverse coordinates X and Y, is described by the general thm-plate equilibrium equation,
Figure imgf000038_0001
(from Ref. 11, Eq. 13.41 on p 727) The solution of this equation is determined by the boundary values of δz and its gradient, hence by controlling the surface height and gradient at the array periphery any warp distribution satisfying the above equation can, in principle, be generated For example, a warp distribution having the form of a general third-order polynomial n X and Y could be induced. The above technique could be implemented in practice as follows Assume that there are Z sensors distributed within the microlens array's interior which provide focus height measurements Zl t Z2, ■ ■ relative to the wafer surface Stress actuators, which are distributed around the array's periphery, are controlled by voltages V-, , V2 , . . . . Variations δvl t δv2, ■ ■ in the applied voltages will induce small focus height displacements δZj , δz2, . . which have an approximately linear dependence on the voltages,
<5Z, = _, y δVj Eq 45
J
The C ' s are constant calibration coefficients which can be determined by focusing on an optical flat and measuring the induced warp distribution as various voltage combinations are applied. Eq 45 can be expressed in matrix notation as δZ = C δV Ec3 6
In the microlens array's operational mode, the focus height. Z , Z2, . . are dynamically measured and subtracted from the design focv. height (with compensation for any designed-m wafer topography) to obtain the computed height corrections δZχ ι δz2 , . . . Eq 46 can then be used to calculate the control voltage adjustments that will induce the computed correction. Eq 46 cannot generally be solved exactly because there may typically be many more Z sensors than actuators, but the equation can be solved approximately by least-squares minimization:
where C is the matrix transpose of C With some modification to provide damping of feedback oscillations, Eq 47 could serve as the basis of an algorithm to provide closed-loop control of fine focus, tilt, and warp compensation
FIGS 35a,b are top and sectional side views of a system which provides six-axis micropositiomng control of the microlens array, plus warp compensation The microlenses are formed as an etched surface relief pattern on a very thin fused silica disk 37 (see FIG. 15) which is bonded to a supporting fused silica superstrate 39 The superstrate is disk- shaped and is thin enough to have some flexibility, and its bottom surface has a slight, shallow bevel 99 around its periphery to ensure wafer clearance as the disk is flexed It is attached to a rigid, flanged tubular element 100 by means of vertically poled piezoelectric pads (e.g , 101 and 102) which control the Z height distribution over the superstrate's periphery The pads are distributed in a circumferential arrangement of paired elements, wherein the elements of each pair (e.g , elements 101 and 102) are radially displaced so that they can act in opposition (e.g , one contracting, the other expanding) to control the superstrate's surface gradient at its periphery.
The outer surface of tubular element 100 has three flats on which piezoelectric shear plates (e g , 103) are bonded The outer surface of each plate is bonded to a leaf spring (e.g , 104) which is attached to a supporting outer tube 105 Each piezoelectric plate is poled horizontally, parallel to the attached leaf spring, so the three plates can be actuated to provide X, Y , and θ micropositiomng control The outer tube 105 could be housed in a conventional microscope focus mechanism which is used for initial coarse-focus adjustment, but which would normally be locked during scan exposure operations.
FIG 36 shows a system-level schematic summarizing the various feedback and control mechanisms described above, in a preferred embodiment (A practical microlithography exposure tool would not necessarily require all of the elements illustrated in FIG 36.) Optical paths are indicated in the diagram by heavy, solid lines, electronic data or signal paths are represented as light, solid lines, and mechanical control linkages are represented as dashed lines The "controller" 106 may comprise one or more computers, digital micro-controllers, analog circuits, or a combination of such elements The controller synchronizes the wafer scan, the DMD image frame generation, and (optionally) an illumination beam scanner 107 (see FIG 25) , and it also controls a feedback loop which dynamically corrects scan positioning errors The optical detector signal provides dynamic focus, tilt, and warp measurement during scanning, and also provides high-resolution X-Y and θ position data for pre-exposure alignment by the Moire technique described above The Moire signal could possibly also be used for dynamic scan control, although it may be more practical to rely on the stage encoder 108 for fine X-Y scan position sensing Coarse X-Y scan actuation would be provided by the stage motors 109, while high-resolution X-Y scan positioning, as well as focus, t lt, and warp correction, would be provided by a piezoelectric actuator 110 coupled to the microlens array (The piezoelectric servomechanism would have its own position encoder 111 ) Fine X-Y scan control could also optionally be provided by means of mechanical actuators 112 and 113 coupled to the projection and illumination apertures
Notation for Derivation of Eα'B 11 and 12
In the derivations below the following notational convenience will be used to represent a function f that takes arguments x, y,
(f ix, y, . ] \ x, y , )
(The above expression is read "the function that, when applied to arguments x, y, .. , yields f[x, y, ...]" ) Also, the Fourier transform of a function f will be denoted
f [f) = (J"../ f ix, y, ] exp[ι2π(xx'+yy'+. ) ] dx dy. \ x ' , y' , .. )
where -?" can represent the one-dimensional Fourier transform, or the two- dimensional transform, etc , depending on how many arguments f takes
This is the "unitary" form of the Fourier transform, which has a 2π factor ._ }_ in the exponent. With this convention, the inverse transformation _ has the form
~1[f] = (J.../ f[x', y ...] exp [-i2π (xx '+yy +...)] dx' dy'... | x, y, ...)
The convolution operator, conv, is defined by
conv[f, g] = (J...J f [x', y', ...] g[x-x', y-y' , ...] d ' dy' ... | x, y, ...)
where this can represent the one-dimensional convolution, or the two- dimensional convolution, etc., depending on the type of f and g. We will also make use of the Dirac delta function δ, and the Dirac comb function, which is defined by
» comb[x3 = _>[x-j] j.-oo
Derivation of the Plane Exposure Theorem (Eg 11)
Eq 11 can be derived by using the following equivalent form of Eq 9
Eplane = conv[|A| , (comb[x/s] comb[y/s] / s | x, y) ] Eq Al
Taking the Fourier transform of both sides of Eq Al, applying the convolution theorem (_?"[conv [f ,g] ] = _?"[f] -ά~[g] ) , and making use of the relation _ [comb] = comb, we obtain
flEplanel = ~.\A\2]- (comb[x'ε] comb[y's] | x', O Eq A2
Again applying the convolution theorem (_?"[f-g] = conv[-?"[f] , _?"[g] ] ) , the first term on the right side of Eq A2 translates to
^[|A|2] = conv[A', lA'l-x', -yl* | x' , O ] Eq A3
The aperture function A'[x', y'] is zero everywhere outside of a square of half-width NAm centered at the origin, A '[x ', yl = 0 if |x'| > NAm or \ y '\ > NAm Eq A4
from which it follows that Eq A3 is zero outside a square of half-width 2 NAm,
conv[A', (A'[-x', -yT* | x ' , yO] [x', yO = 0 if |x'j > 2 NAm or \ y '\ > 2 NA Eq A5
But the comb term in Eq A2 consists of a superposition of delta functions located at points where x' and y' are integer multiples of 1/s, so under the assumption that s < 1/(2 NAm) (Eq 10) all of these delta functions except the zero-order term at (x', y') = (0,0) will be masked by the aperture function:
•^[Epl nel = •*"[ |A|2] (<5[x's] δly's] \ x ', y Eq A6
Taking the inverse Fourier transform of both sides this expression, we obtain
Eplane = Cθnv[|A|2, (l/s2 | X, y) ] Eq A7
which is equivalent to Eq 11.
Derivation of the Line Exposure Theorem (Eg 12)
Eq 12 can be derived by using the following equivalent form of Eq 8:
lEline lχ- yl I y) = conv[(|A[x, y] |2 | y) , (comb[y/s]/s \ y) ] Eq A8
Taking the inverse Fourier transform of both sides of Eq A8 and applying the (1-dimensional) convolution theorem yields
^r[ ( El ine [x, y I y)] = 9- [ ( \ A [x, y] | 2 | y) ](comb[y's] | O Eq A9
Again applying the convolution theorem, the first term on the right side of Eq A9 translates to conv[ ?"[(A[x, y] | y) ] , iH(A[x, -y] * | y) ] ] Eq Aio
The two-dimensional Fourier transform operation relating A to A' (Eq 3, A' = _?"[A] ) is equivalent to the composition of two one-dimensional Fourier transforms applied sequentially to the x and y coordinates,
A'lx', yl = S iA [x', y1 = rmPUAix, y] I y)] [yl) | χ)] [χ1 Eq AH
Hence, the Fourier transform of A[x, y] with respect to ust the y variable is equivalent to the inverse transform of A'[x', y'} with respect to x ,
&~[(A[x, y] ! y)] [y1 = J -1 [ (A'[x'( yl | xl] [x] Eq A1
Since A'[x', y'] = 0 for \y'\ > NAm (Eq A4) it also follows that
Sr~1HA'[x'l y. I x ] [x] = 0 for \y'\ > NAm Eq A13
Hence, from Eq A12 both arguments of the convolution operator in Eq A10 will be zero outside an interval of half-width NAm centered at zero, and therefore
conv[?"[(A[x, y] | y) ] , _?"[(A[x, -y] * | y)]] [yl =
Under the assumption that s < 1/(2 MA-,) it follows from Eq A14 that all the delta functions constituting the comb term in Eq A9, except for the zero-order term, will be masked by the aperture function; hence Eq A9 is equivalent to
f[(Elιne[x, y] I y)] = _r_(|A[x, y] | | y)]-(δ[y'ε] \ yO Eq A15
Taking the inverse Fourier transform of both sides of Eg A15, we obtain
(Ellne[x, y] I y) = conv[(|A[x, y] | | y) , (1/s | y) ] Eq A16 which is equivalent to Eq 1 .
Conclusion
In conclusion it can be seen that the present invention provides imaging systems and techniques for achieving high resolution and field size Systems according to the invention can be readily manufactured using existing microlithographic and other optical technologies The invention thus reduces the complexity and expense that characterize conventional wide-field, high-NA microscopy and microlithography systems Furthermore, it provides potential performance advantages in that it makes possible flat field, distortion-free imaging, with accurate overlay, focus, and warp compensation, over very large image fields (larger than the practical limits of conventional imaging means) In one embodiment it would use a Digital Micromirror Device as the image source, potentially eliminating the need for photomasks m semiconductor manufacture.
While the above is a complete description of specific embodiments of the invention, various modifications, alternative constructions, and equivalents may be used. Therefore, the above description should not be taken as limiting the scope of the invention as defined by the claims.
References
Ref. l- T. Wilson (Editor), Confocal Microscopy, Academic Press, San Diego (1990) .
Ref. 2: D. J. Elliott, Integrated Circuit Fabrica tion Technology (2nd Ed.) , McGraw-Hill, New York (1989) .
Ref. 3: J. B. Sampsell, "An Overview of the Performance Envelope of Digital Micromirror Device (DMD) Based Projection Display Systems, " Society for Information Display 1994 International Symposium (San Jose, CA, June 12-17, 1994) . Ref. 4 w B. Hugle, Lens Array Photoli thography, U S.
Patent 5,517,279 (1996)
Ref. 5: R Vόlkel et. al. , "Microlens array imaging system for photolithography," Optical Engineering 35(11) , 3323-3330 (1996) . Ref 6 H Suganuma et al , "Deep UV lithography using continuous-wave 266 nm radiation from all solid-state frequency quadrupled Nd:YAG laser," Proc . SPIE, 2440, 126-135 (1995) .
Ref. 7: E. J. Gratπx and C. B. Zarowm, "Fabrication of Microlenses by Laser Assisted Chemical Etching (LACE)," Proc . SPIE, 1544, 238-243 (1991)
Ref. 8: M. Eisner and J. Schwider, "Transferring resist microlenses into silicon by reactive ion etching, " Optical Engineering 35(10), 2979-2982 (1996) Ref 9: M Bass, ed. , Handbook of Opticε, 2nd ed., vol. 2,
McGraw-Hill, New York (1995) .
Ref. 10: Y. C. Park and S. W. Kim, Method and Appara tus for Measuring Two Dimensional Plane Displacement by Moire Fringes of Concentric Circle Gra tings , U. S. Patent 5,459,578 (1995) . Ref. 11- w. D. Pilkey and W Wunderlich, Mechanics of
Structures : Variational and Computational Principles, CRC Press, Boca Raton (1994) .

Claims

What is claimed is: 1. An imaging system comprising:
an optical projection system having an object plane, an image plane which is conjugate to the object plane, and a limiting aperture stop which is referred to as the projection aperture;
a planar array of microlenses having respective apertures defining a microlens aperture array, wherein the aperture array is positioned at the projection system's object plane, and wherein the microlenses have respective focal points which are conjugate to the projection aperture and which define a focal point array;
a scanning mechanism which establishes relative motion between the microlens array and an imaging sample proximate the focal point array, wherein the paths traversed by the focal points relative to the sample comprise a set of closely-spaced raster lines;
a detector comprising an array of light-sensing detector elements, wherein the detector is positioned at the projection system's image plane, and wherein the projection system images each microlens aperture onto a corresponding detector element and the detector element thus responds to light originating from a microspot on or in the sample, proximate the corresponding microlens focal point, and
a data acquisition system for recording the detector response as the scanning mechanism operates to establish relative motion between the sample and the microlens array, whereby a high-resolution raster image of the sample is synthesized.
2 The imaging system of claim 1, further comprising an illumination system, and wherein the microlens array and projection system are further configured to focus light from the illumination system onto the microspots to provide sample illumination.
3 The imaging system of claim 2, further comprising a beam splitter disposed to merge light from the illumination system into the projection system's light path so that the light, so merged, and the image light reflected from the sample traverse the same optical path between the beam splitter and the sample.
4. The imaging system of claim 1 wherein the microlenses all have the same focal length and their focal points are all in a common focal plane which is parallel to the scan direction, whereby the system achieves high resolution imaging at a selected focal depth on or in the sample.
5. The imaging system of claim 2, further comprising a mechanism for altering the illumination chromaticity concurrently with the scanning motion, wherein each raster line is scanned by multiple
microlenses and multicolor or multiple-wavelength imagery is synthesized from the successive scans.
6. The imaging system of claim 1, wherein each raster line is scanned by multiple microlenses having different focal lengths, and three- dimensional image information is synthesized by combining images scanned at different focal depths on or in the sample.
7. The imaging system of claim 1 wherein:
each raster line is scanned by multiple microlenses;
the microlenses all have the same focal length and their focal points are all in a common focal plane which is tilted relative to the scan direction; and
three-dimensional image information is synthesized by combining images scanned at different focal depths on or in the sample.
8. A printing system comprising:
an optical projection system having an object plane, an image plane which is conjugate to the object plane, and a limiting aperture stop which is referred to as the projection aperture;
a planar array of microlenses having respective apertures defining a microlens aperture array, wherein the aperture array is positioned at the projection system's image plane, and wherein the microlenses have respective focal points which are conjugate to the projection aperture and which define a focal point array;
a scanning mechanism which establishes relative motion between the the microlens array and a printing surface proximate the focal point array, wherein the paths traversed by the focal points relative to the printing surface comprise a set of closely-spaced raster lines;
an image source comprising an array of light-modulating image source elements, wherein the image source is positioned at the projection system's object plane, and wherein the projection system images each image source element onto a corresponding microlens aperture and the image source element thus controls the light level over a microspot on the printing surface, proximate the corresponding microlens focal point; and an image modulation mechanism that controls the image source as the printing surface is scanned, whereby, when a photosensitive material is positioned in the printing surface, a synthesized, high- resolution raster image is recorded on the photosensitive material.
9. The printing system of claim 8 wherein the photosensitive material is photoresist on a planar substrate.
10. The printing system of claim 9 wherein the substrate is a semiconductor wafer.
11. The printing system of claim 8 wherein the projection aperture size and shape are determined so that the diffraction-limited amplitude distribution produced by each image source element on its corresponding microlens aperture has nodes on adjacent microlens apertures, whereby light leakage into adjacent microlenses is minimized.
12. The printing system of claim 8 wherein the projection aperture is apodized to minimize light leakage into adjacent microlens apertures.
13. The printing system of claim 8, further comprising an illumination system which illuminates the image source, wherein the illumination is modulated by the image source elements and is transmitted by the projection system and microlens array onto the printing surface.
14. The printing system of claim 13 wherein: the scanning mechanism of claim 8 defines a first scanning mechanism, and the illumination system further comprises a second scanning mechanism;
the illumination system illuminates only a narrow band, or set of parallel bands, on the image source and on the microlens array at any particular instant in time; and
the second scanning mechanism repeatedly scans the illumination band or bands across the image field in synchronization with the first scanning mechanism so that each microspot is only illuminated during a very brief time interval during which it is traversed by an illumination band, therby minimizing smearing of the exposure pattern on the printing surface due to the relative motion between the printing surface and the microlens array.
15. The printing system of claim 13 wherein the image source comprises a light-transmitting optical medium, the illumination system illuminates the image source in transmission mode, and the image source elements comprise respective zones on the optical medium having differing optical transmittance characteristics.
16. The printing system of claim 13 wherein the image source comprises a light-reflecting optical medium, the illumination system illuminates the image source in reflection mode, and the image source elements comprise respective zones on the optical medium having differing optical reflectance characteristics.
17. The printing system of claim 16 wherein the image source comprises a digital micromirror device (DMD) and the projection system is telecentric on the object side.
18. The printing system of claim 16, further comprising a beam splitter disposed to merge light from the illumination system into the projection system's light path so that the light, so merged, and the image light reflected from the image source traverse the same optical path between the beam splitter and the image source.
19. The printing system of claim 16 wherein the illumination system comprises an off-axis illumination source adjacent the projection aperture.
20. The printing system of claim 19 wherein the illumination source comprises a fiber optic illuminator.
21. The printing system of claim 19 wherein:
the microlens array of claim 8 defines a first microlens array;
the image source further comprises a digital micromirror device (DMD), and a second microlens array disposed proximate the DMD;
each image source element comprises corresponding first and second microlenses of the second microlens array and a corresponding micromirror of the DMD;
the projection system is telecentric on the object side;
the second microlens array is disposed in the projection system's object plane;
each image source element's corresponding first microlens focuses the illumination source to a corresponding first illumination image point proximate the corresponding micromirror;
each image source element's corresponding micromirror has tilt control and built-in optical power so that, when the micromirror is in its "on" state,
the corresponding first illumination image point is reimaged by the micromirror to a corresponding second illumination image point at the center of the corresponding second microlens's aperture, and
the corresponding first microlens 's aperture is imaged by the micromirror to a corresponding aperture image proximate the micromirror;
each image source element's corresponding second illumination image point is reimaged by the projection system onto the corresponding microlens aperture of the first microlens array;
each image source element's corresponding second microlens images the corresponding aperture image onto the projection aperture; and each image source element's corresponding micromirror is tilted, when in its "off" position, to divert the illumination light intercepted by the corresponding first microlens out of the projection aperture;
wherein the optical power in the DMD micromirror elements and in the second microlens array elements, and the separation distance between the DMD and the second microlens array, are selected to balance the tradeoffs relating to the micromirrors' aperture size requirement and surface form tolerance, the micromirror tilt range and tilt tolerance, energy efficiency, and image cross-talk.
22 The printing system of claim 8 wherein
the microlens array, the microlens aperture array, and the focal point array of claim 8 respectively define a first microlens array, first microlens aperture array, and first focal point array;
the image source further comprises a second planar array of microlenses having respective apertures defining a second microlens aperture array;
the second microlens aperture array is positioned at the projection system's object plane;
the microlens elements of the second microlens array have respective focal points which are conjugate to the projection aperture and which define a second focal point array, and
each image source element comprises a respective microlens of the second microlens array and a light-modulating element positioned at the respective microlens 's focal point.
23 The imaging system of claim 22, further comprising an illumination system and a reflective surface positioned at the second focal point array, and wherein.
the illumination system illuminates the image source in reflection mode;
the light-modulating elements comprise spots of variable reflectivity on the reflective surface at the focal point locations of the second focal point array; and the second microlens array and projection system are further configured to focus light from the illumination system onto the reflective spots.
24. The printing system of claim 23 wherein the reflective surface comprises a photomask which operates in reflection mode, and the light-modulating elements' reflectivities are varied by translating the photomask across the second focal point array so that different portions of the photomask with different optical reflectance characteristics are brought into position at the focal points of the second focal point array as the printing surface is scanned.
25. The printing system of claim 8 wherein the projection system is double-telecentric.
26. The printing system of claim 25, wherein the projection system comprises a first collimating lens element that images the projection aperture to infinity on the object side of the projection system, thereby making the system telecentric on the object side, and a second collimating lens element that images the projection aperture to infinity on the projection system's image side, thereby making the system telecentric on the image side.
27. The printing system of claim 25 wherein the projection system comprises:
a collimating mirror having first and second off-axis portions; and
a reflector in the projection aperture;
wherein
the first off-axis portion of the collimating mirror images the projection aperture to infinity on the object side of the projection system, thereby making the system telecentric on the object side;
the first off-axis portion reflects light from the object plane toward the projection aperture;
the reflector in the projection aperture reflects the light from the first off-axis portion back onto the collimating mirror on its second off-axis portion; the second off-axis portion reflects the light from the projection aperture onto the image plane; and
the second off-axis portion images the projection aperture to infinity on the image side, thereby making the system telecentric on the image side.
28 The printing system of claim 8, further comprising an optical detector and positional feedback control mechanism, wherein:
the microlens array and projection system not only focus light from the image source onto the printing surface, but also collect a reflected beam comprising optical energy reflected from the printing surface and project it onto the optical detector, thereby producing a detector signal which provides information on the positional relationship between microlens array and the printing surface; and
the positional information is used by the feedback control mechanism to accurately control the positional relationship.
29. The printing system of claim 28, further comprising a beam splitter disposed to separate the reflected beam from the projection system's light path, wherein the light projected onto the printing surface and the reflected light traverse the same optical path between the beam splitter and the printing surface.
30 The printing system of claim 29 wherein the printing surface is illuminated by two wavelengths or narrow spectral ranges of wavelengths, a first wavelength which exposes the photosensitive material, and a second wavelength which is sensed by the detector to provide positional information.
31. The printing system of claim 30 wherein the projection system is double-telecentric.
32. The printing system of claim 31 wherein the projection system comprises:
a collimating mirror having first and second off-axis portions; and
a reflector in the projection aperture; wherein
the first off-axis portion of the collimating mirror images the projection aperture to infinity on the object side of the projection system, thereby making the system telecentric on the object side;
the first off-axis portion reflects light at the first wavelength from the object plane toward the projection aperture;
the reflector in the projection aperture reflects the light from the first off-axis portion back onto the collimating mirror on its second off-axis portion;
the second off-axis portion reflects the light from the projection aperture onto the image plane; and
the second off-axis portion images the projection aperture to infinity on the image side, thereby making the system telecentric on the image side.
33. The printing system of claim 32 wherein:
the collimating mirror further comprises a third off-axis portion;
the aperture reflector further comprises a first optical coating which is deposited on a transparent wedge substrate, and which exhibits high reflectivity at the first wavelength, but which is
transparent at the second wavelength;
the beam splitter comprises a second optical coating which is deposited on the wedge, on the surface opposite that of the first coating;
the second coating is partially reflective at the second wavelength;
illumination energy at the second wavelength is projected from a light source through both coatings, toward the second off-axis portion of the collimating mirror, so that both wavelengths traverse the same optical path between the wedge and the printing surface;
the beam reflected back from the printing surface at the second wavelength is partially reflected by the second coating toward the third off-axis mirror portion, which is spatially separated from the first off-axis portion due to the wedge angle between the two coatings; and
the third off-axis mirror portion then reflects the beam onto the detector.
34. The printing system of claim 28 wherein:
the printing surface further comprises positioning alignment marks that are detected by the positional feedback control mechanism and are used to determine a component of the positional relationship defined by the microlens array's and printing surface's lateral positional relationship parallel to the microlens array; and
the positional information is used to accurately control the lateral positional relationship and to synchronize the scanning mechanism with the image source.
35. The printing system of claim 34 wherein the alignment marks and focal point array comprise periodic patterns, with the
periodicity of the alignment marks differing from that of the focal point array so that the reflected energy from the alignment marks forms a Moiré pattern in the detector signal which provides an accurate and precise measure of the lateral positional relationship between the microlens array and the printing surface.
36. The printing system of claim 28 wherein the projection aperture greatly attenuates out-of-focus light from the microlenses so that the detector signal comprises a focus signal that provides an accurate and precise measure of the microlens array' s focus height relative to the printing surface, and wherein the focus signal is used by the positional feedback control mechanism to accurately control the focus height.
37. The printing system of claim 36 wherein the focus height is detected at one or more positions on the printing surface, and wherein the focus height at each position is detected by comparing the reflected energy signals from two or more microlenses which are focused on proximate points on a flat area on the printing surface, but which have different focal lengths so that the differential detector signal from the
microlenses provides a sensitive measure of focus height.
38. The printing system of claim 36 wherein the focus height is detected at one or more positions on the printing surface, and wherein the focus height at each position is detected by comparing the reflected energy signals from two or more microlenses which have the same focal length, but which are focused on proximate points straddling a step or steps on the printing surface so that the differential detector signal from the microlenses provides a sensitive measure of focus height.
39. The printing system of claim 38 wherein:
the focus steps are recessed wells in the printing surface, and the printing surface comprises a top surface outside of the focus wells and a bottom surface at the bottom of the focus wells;
the photosensitive material is disposed on the top surface, the printing surface is illuminated by two wavelengths or narrow spectral ranges of wavelengths, a first wavelength which exposes the photosensitive material, and a second wavelength which is sensed by the detector to provide the focus signal; and
the focus signal is obtained from microlens elements that are used for the dual purposes of printing and focus sensing, but wherein their focal length at the second wavelength is longer than at the first wavelength due to chromatic dispersion, whereby the microlens array can be positioned to focus the first wavelength onto the top surface while simultaneously focusing the second wavelength onto a focal plane between the top and bottom surfaces to achieve good focus signal resolution.
40. The printing system of claim 8, further comprising a two- axis positioning transducer that continuously adjusts the projection aperture's lateral position parallel to the projection aperture plane to correct for small errors in the microlens array's and printing surface's lateral positional relationship parallel to the microlens array.
41. The printing system of claim 8, further comprising micropositiomng transducers disposed around the microlens array's periphery outside of its clear aperture, wherein the transducers apply a controlled force distribution to the array to correct focus and tilt errors and compensate for warp or shape mismatch between the printing surface and microlens array.
42. The printing system of claim 41, further comprising micropositioning transducers which control the microlens array's lateral translational and rotational positions parallel to the microlens array.
43. A method of manufacturing replica microlens arrays comprising the steps of :
first forming a array of low-NA microlenses to be used as a mastering element;
disposing the mastering element above a photosensitive surface, with the surface positioned at the focal plane of the mastering microlenses;
projecting a uniformly-illuminated image field onto the mastering element by means of a projection system which is telecentric at the image side, wherein the projection system's aperture stop contains a transparency mask that is imaged by each mastering microlens onto the photosensitive surface and forms a respective latent exposure image thereon;
scanning the mastering element and photosensitive surface together across the image field as the surface is exposed, while keeping their relative position fixed; and
developing the respective latent exposure images in the photosensitive surface into a microlens array, whereby a replica microlens array is formed with its aperture layout matching the mastering element's aperture layout, and wherein the replica microlenses have identical optical focusing characteristics determined by the aperture mask's transmittance profile.
44. The manufacturing method of claim 43 wherein the mastering element is formed by a photolithographic process in which the mastering microlens positions are defined by an interference pattern, or patterns, between intersecting laser beams which are accurately collimated and uniform over the exposure area, whereby very high positional placement accuracy and high uniformity of the microlenses are achieved.
45. The manufacturing method of claim 43 wherein the mastering microlens array is formed as a surface relief profile in fused silica by a process of laser-assisted chemical etching.
46. The manufacturing method of claim 43 wherein the replica microlens array is formed as a surface relief profile in fused silica by a process of laser-assisted chemical etching.
PCT/US1997/002949 1996-02-28 1997-02-20 Microlens scanner for microlithography and wide-field confocal microscopy WO1997034171A2 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP09532621A JP2001500628A (en) 1996-02-28 1997-02-20 Microlens scanner for microlithography and wide field confocal microscope
DE69729659T DE69729659T2 (en) 1996-02-28 1997-02-20 MIKROLINSEN RASTER DEVICE FOR MICROLITHOGRAPHY AND FOR CONFOCUS MICROSCOPY WITH LARGE RECORDING FIELD
EP97907857A EP0991959B1 (en) 1996-02-28 1997-02-20 Microlens scanner for microlithography and wide-field confocal microscopy
AU19751/97A AU1975197A (en) 1996-02-28 1997-02-20 Microlens scanner for microlithography and wide-field confocal microscopy

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US1243496P 1996-02-28 1996-02-28
US60/012,434 1996-02-28

Publications (2)

Publication Number Publication Date
WO1997034171A2 true WO1997034171A2 (en) 1997-09-18
WO1997034171A3 WO1997034171A3 (en) 1998-02-26

Family

ID=21754960

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US1997/002949 WO1997034171A2 (en) 1996-02-28 1997-02-20 Microlens scanner for microlithography and wide-field confocal microscopy

Country Status (6)

Country Link
US (1) US6133986A (en)
EP (1) EP0991959B1 (en)
JP (1) JP2001500628A (en)
AU (1) AU1975197A (en)
DE (1) DE69729659T2 (en)
WO (1) WO1997034171A2 (en)

Cited By (76)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0911667A1 (en) * 1997-10-22 1999-04-28 Max-Planck-Gesellschaft zur Förderung der Wissenschaften e.V. Programmable spatially light modulated microscope and microscopy method
WO1999021060A1 (en) * 1997-10-23 1999-04-29 Hugle Lithography Lens array photolithography
WO1999023474A1 (en) * 1997-10-31 1999-05-14 Carl Zeiss Optical array system and reader for micro titer plates
EP0945871A2 (en) * 1998-03-27 1999-09-29 Pioneer Electronic Corporation Volume holographic memory-based optical information- recording/reproducing apparatus
WO2000022417A1 (en) * 1998-10-12 2000-04-20 Carl Zeiss Imaging system with a cylindrical lens array
WO2000058715A2 (en) * 1999-03-26 2000-10-05 The University Court Of The University Of Glasgow Assay system
WO2000065325A2 (en) * 1999-04-27 2000-11-02 Carl Zeiss Jena Gmbh Array for optical evaluation of an object array
WO2001001112A1 (en) * 1999-06-26 2001-01-04 Packard Instrument Company, Inc. Microplate reader
WO2001037025A1 (en) * 1999-11-16 2001-05-25 Agilent Technologies, Inc. Confocal imaging
DE10017824A1 (en) * 2000-04-10 2001-10-18 Till I D Gmbh Stimulated fluorescence analyzer comprises an optical unit permitting simultaneous imaging of light from adjacent sample regions onto a detector
US6339503B1 (en) 1998-11-06 2002-01-15 Oni Systems Corp. Optical interconnect using microlens/minilens relay
US6339506B1 (en) 1998-11-06 2002-01-15 Oni Systems Corp. Microlens array with spatially varying optical property
US6424404B1 (en) 1999-01-11 2002-07-23 Kenneth C. Johnson Multi-stage microlens array
US6489984B1 (en) 1998-12-29 2002-12-03 Kenneth C. Johnson Pixel cross talk suppression in digital microprinters
US6498685B1 (en) 1999-01-11 2002-12-24 Kenneth C. Johnson Maskless, microlens EUV lithography system
WO2003040830A2 (en) * 2001-11-07 2003-05-15 Applied Materials, Inc. Optical spot grid array printer
WO2003040709A2 (en) * 2001-11-07 2003-05-15 Applied Materials, Inc. Spot grid array imaging system
EP1283416A3 (en) * 2001-08-09 2003-05-28 Yokogawa Electric Corporation Biochip reader and fluorometric imaging apparatus
US6590718B2 (en) 2000-02-05 2003-07-08 Carl-Zeiss-Stiftung Projection exposure system having a reflective reticle
US6628390B1 (en) 2000-01-24 2003-09-30 Kenneth C. Johnson Wafer alignment sensor using a phase-shifted microlens array
WO2003083580A1 (en) * 2002-03-28 2003-10-09 Pascal Joffre System for optically treating surfaces
WO2004027521A2 (en) * 2002-09-19 2004-04-01 Dmetrix, Inc. A multi-axis projection imaging system
DE10301775A1 (en) * 2003-01-18 2004-07-29 Hentze-Lissotschenko Patentverwaltungs Gmbh & Co.Kg Optical detector for objects, scanning device and confocal microscope includes second lens assembly comprising array of optical elements
DE10308708A1 (en) * 2003-02-28 2004-09-09 Hentze-Lissotschenko Patentverwaltungs Gmbh & Co.Kg Apparatus for striking an object with laser beams such as in a laser imaging system having a lens array between a laser source and an array of control elements
US6844994B2 (en) 2000-09-20 2005-01-18 Carl Zeiss Smt Ag Optical element deformation system
US6897599B2 (en) 2001-02-13 2005-05-24 Carl Zeiss Smt Ag System for damping oscillations
WO2005063432A1 (en) * 2003-12-20 2005-07-14 Hentze-Lissotschenko Patentverwaltungs Gmbh & Co. Kg Device for machining a workpiece using laser light
US6946655B2 (en) 2001-11-07 2005-09-20 Applied Materials, Inc. Spot grid array electron imaging system
JP2005354050A (en) * 2004-05-27 2005-12-22 Asml Netherlands Bv Optical position evaluating device and method
US7023622B2 (en) 2002-08-06 2006-04-04 Dmetrix, Inc. Miniature microscope objective lens
US7061584B2 (en) 2001-03-19 2006-06-13 Dmetrix, Inc. Multi-axis projection imaging system
WO2006064465A2 (en) * 2004-12-17 2006-06-22 Koninklijke Philips Electronics N. V. Multi-spot investigation apparatus
US7113651B2 (en) 2002-11-20 2006-09-26 Dmetrix, Inc. Multi-spectral miniature microscope array
US7339602B2 (en) 2003-07-22 2008-03-04 Fujifilm Corporation Image-drawing device and image-drawing method
EP1978351A3 (en) * 1998-05-16 2008-10-15 Applera Corporation Instrument for monitoring polymerase chain reaction of dna
US7498164B2 (en) 1998-05-16 2009-03-03 Applied Biosystems, Llc Instrument for monitoring nucleic acid sequence amplification reaction
WO2009066253A2 (en) 2007-11-23 2009-05-28 Koninklijke Philips Electronics N.V. Multi-modal spot generator and multi-modal multi-spot scanning microscope
US7609362B2 (en) 2004-11-08 2009-10-27 Asml Netherlands B.V. Scanning lithographic apparatus and device manufacturing method
WO2009148547A2 (en) 2008-05-30 2009-12-10 Corning Incorporated Illumination system for sizing focused spots of a patterning system for maskless lithography
US7684009B2 (en) 2004-06-30 2010-03-23 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7742148B2 (en) 2005-06-08 2010-06-22 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method for writing a digital image
US7756660B2 (en) 2004-12-28 2010-07-13 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
EP2215503A2 (en) * 2007-11-23 2010-08-11 Koninklijke Philips Electronics N.V. Multi-focal spot generator and multi-focal multi-spot scanning microscope
US7830575B2 (en) 2006-04-10 2010-11-09 Illumina, Inc. Optical scanner with improved scan time
WO2010032224A3 (en) * 2008-09-22 2011-06-23 Asml Netherlands B.V. Lithographic apparatus, programmable patterning device and lithographic method
DE102010016382A1 (en) * 2010-04-09 2011-10-13 Leica Microsystems Cms Gmbh Fluorescence microscope and method for carrying out multiple positioning in a screening application
US8169593B2 (en) 2004-12-27 2012-05-01 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
KR101140621B1 (en) 2004-12-09 2012-05-02 후지필름 가부시키가이샤 Image exposing method and apparatus
CN101846890B (en) * 2010-05-13 2012-08-22 苏州苏大维格光电科技股份有限公司 Parallel photoetching write-through system
DE102011078817A1 (en) * 2011-06-17 2012-12-20 Siemens Aktiengesellschaft Method for the three-dimensional measurement of a body and device
US8390787B2 (en) 2004-12-22 2013-03-05 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US8395755B2 (en) 2003-05-30 2013-03-12 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US8896815B2 (en) 2011-10-31 2014-11-25 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US9041911B2 (en) 2010-02-25 2015-05-26 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US9134630B2 (en) 2010-02-09 2015-09-15 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US9235140B2 (en) 2010-02-23 2016-01-12 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US9304401B2 (en) 2011-03-29 2016-04-05 Asml Netherlands B.V. Measurement of the position of a radiation beam spot in lithography
US9316926B2 (en) 2010-12-08 2016-04-19 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US9341960B2 (en) 2011-12-05 2016-05-17 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US9488921B2 (en) 2011-12-06 2016-11-08 Asml Netherlands B.V. Lithography apparatus, an apparatus for providing setpoint data, a device manufacturing method, a method of calculating setpoint data and a computer program
US9513561B2 (en) 2011-04-21 2016-12-06 Asml Netherlands B.V. Lithographic apparatus, method for maintaining a lithographic apparatus and device manufacturing method
US9568831B2 (en) 2012-01-17 2017-02-14 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US9645502B2 (en) 2011-04-08 2017-05-09 Asml Netherlands B.V. Lithographic apparatus, programmable patterning device and lithographic method
US9690210B2 (en) 2011-08-18 2017-06-27 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US9696636B2 (en) 2011-11-29 2017-07-04 Asml Netherlands B.V. Lithographic apparatus, device manufacturing method and computer program
US9696633B2 (en) 2010-04-12 2017-07-04 Asml Netherlands B.V. Substrate handling apparatus and lithographic apparatus
US9715183B2 (en) 2012-02-23 2017-07-25 Asml Netherlands B.V. Device, lithographic apparatus, method for guiding radiation and device manufacturing method
US9823576B2 (en) 2013-01-29 2017-11-21 Asml Netherlands B.V. Radiation modulator for a lithography apparatus, a lithography apparatus, a method of modulating radiation for use in lithography, and a device manufacturing method
WO2019086550A3 (en) * 2017-10-31 2019-06-13 Samantree Medical Sa Confocal scanning imaging systems with micro optical element arrays and methods of specimen imaging
US10346729B2 (en) 2011-11-29 2019-07-09 Asml Netherlands B.V. Apparatus and method for converting a vector-based representation of a desired device pattern for a lithography apparatus, apparatus and method for providing data to a programmable patterning device, a lithography apparatus and a device manufacturing method
WO2019148998A1 (en) * 2018-02-05 2019-08-08 中山新诺科技股份有限公司 3d forming device and method employing dmd scan imaging
US10852528B2 (en) 2016-12-20 2020-12-01 Ev Group E. Thallner Gmbh Method and device for exposure of photosensitive layer
US10928621B2 (en) 2017-10-31 2021-02-23 Samantree Medical Sa Sample dishes for use in microscopy and methods of their use
US11460777B2 (en) 2016-12-20 2022-10-04 Ev Group E. Thallner Gmbh Method and device for exposure of photosensitive layer
US11609186B2 (en) 2015-03-31 2023-03-21 Samantree Medical Sa Systems and methods for in-operating-theatre imaging of fresh tissue resected during surgery for pathology assessment
US11747603B2 (en) 2017-10-31 2023-09-05 Samantree Medical Sa Imaging systems with micro optical element arrays and methods of specimen imaging

Families Citing this family (392)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020195548A1 (en) * 2001-06-06 2002-12-26 Dowski Edward Raymond Wavefront coding interference contrast imaging systems
US20020118457A1 (en) * 2000-12-22 2002-08-29 Dowski Edward Raymond Wavefront coded imaging systems
US7218448B1 (en) 1997-03-17 2007-05-15 The Regents Of The University Of Colorado Extended depth of field optical systems
US20080248046A1 (en) * 1997-03-17 2008-10-09 Human Genome Sciences, Inc. Death domain containing receptor 5
US6853653B2 (en) * 1997-07-22 2005-02-08 Cymer, Inc. Laser spectral engineering for lithographic process
US6331891B1 (en) * 1998-04-07 2001-12-18 Fujitsu Limited Apparatus and method for assembling semiconductor device and semiconductor device thus fabricated
US6818437B1 (en) * 1998-05-16 2004-11-16 Applera Corporation Instrument for monitoring polymerase chain reaction of DNA
US8994920B1 (en) 2010-05-07 2015-03-31 Kenneth C. Johnson Optical systems and methods for absorbance modulation
US9097983B2 (en) 2011-05-09 2015-08-04 Kenneth C. Johnson Scanned-spot-array EUV lithography system
US9188874B1 (en) 2011-05-09 2015-11-17 Kenneth C. Johnson Spot-array imaging system for maskless lithography and parallel confocal microscopy
US7054504B2 (en) * 1999-02-25 2006-05-30 Ludwig Lester F Relative optical path phase reconstruction in the correction of misfocused images using fractional powers of the fourier transform
US6556361B1 (en) * 1999-03-17 2003-04-29 Rochester Institute Of Technology Projection imaging system with a non-circular aperture and a method thereof
US6529262B1 (en) 1999-04-14 2003-03-04 Ball Semiconductor, Inc. System and method for performing lithography on a substrate
US6392752B1 (en) * 1999-06-14 2002-05-21 Kenneth Carlisle Johnson Phase-measuring microlens microscopy
DE60006931T2 (en) * 1999-09-29 2004-10-28 Koninklijke Philips Electronics N.V. IMAGE PROJECTION SYSTEM
GB2360162B (en) 2000-01-07 2004-06-02 Axon Instr Inc Scanning microscope
US6379867B1 (en) 2000-01-10 2002-04-30 Ball Semiconductor, Inc. Moving exposure system and method for maskless lithography system
US8232582B2 (en) 2000-04-24 2012-07-31 Life Technologies Corporation Ultra-fast nucleic acid sequencing device and a method for making and using the same
US7001792B2 (en) * 2000-04-24 2006-02-21 Eagle Research & Development, Llc Ultra-fast nucleic acid sequencing device and a method for making and using the same
US6425669B1 (en) 2000-05-24 2002-07-30 Ball Semiconductor, Inc. Maskless exposure system
US6552779B2 (en) 2000-05-25 2003-04-22 Ball Semiconductor, Inc. Flying image of a maskless exposure system
US6509955B2 (en) 2000-05-25 2003-01-21 Ball Semiconductor, Inc. Lens system for maskless photolithography
US6563581B1 (en) * 2000-07-14 2003-05-13 Applera Corporation Scanning system and method for scanning a plurality of samples
US6407766B1 (en) * 2000-07-18 2002-06-18 Eastman Kodak Company Method and apparatus for printing to a photosensitive media using multiple spatial light modulators
US6537738B1 (en) 2000-08-08 2003-03-25 Ball Semiconductor, Inc. System and method for making smooth diagonal components with a digital photolithography system
US6493867B1 (en) 2000-08-08 2002-12-10 Ball Semiconductor, Inc. Digital photolithography system for making smooth diagonal components
US6708131B1 (en) * 2000-08-30 2004-03-16 Micron Technology, Inc. Wafer alignment system
US7136159B2 (en) * 2000-09-12 2006-11-14 Kla-Tencor Technologies Corporation Excimer laser inspection system
JP4659300B2 (en) 2000-09-13 2011-03-30 浜松ホトニクス株式会社 Laser processing method and semiconductor chip manufacturing method
US6313936B1 (en) * 2000-09-20 2001-11-06 General Nutronics, Inc. Method and device for switching wavelength division multiplexed optical signals using micro-electromechanical mirrors
US6456384B1 (en) * 2000-11-09 2002-09-24 Tropel Corporation Moiré interferometer with overlapping illumination and imaging systems
US6967708B1 (en) 2000-11-10 2005-11-22 National Institute Of Advanced Industrial Science And Technology Pattern transfer device using PC projector
US6473237B2 (en) 2000-11-14 2002-10-29 Ball Semiconductor, Inc. Point array maskless lithography
US6512625B2 (en) 2000-11-22 2003-01-28 Ball Semiconductor, Inc. Light modulation device and system
US6433917B1 (en) 2000-11-22 2002-08-13 Ball Semiconductor, Inc. Light modulation device and system
US20020127855A1 (en) * 2001-01-04 2002-09-12 Sauer Jon Robert Method for fabricating a pattern in a mask on a surface of an object and product manufactured thereby
US6909509B2 (en) * 2001-02-20 2005-06-21 Zygo Corporation Optical surface profiling systems
US20060291048A1 (en) * 2001-03-19 2006-12-28 Dmetrix, Inc. Multi-axis imaging system with single-axis relay
WO2002075370A2 (en) * 2001-03-19 2002-09-26 Weinstein Ronald S Miniaturized microscope array digital slide scanner
US20040004759A1 (en) * 2002-07-08 2004-01-08 Olszak Artur G. Microscope array for simultaneously imaging multiple objects
DE10116059B4 (en) * 2001-03-30 2007-03-01 Tesa Scribos Gmbh Moving lens lithograph and method of making digital holograms in a storage medium
DE60228943D1 (en) * 2001-04-10 2008-10-30 Harvard College MICROLINS FOR PROJECTION SLITHOGRAPHY AND ITS PRODUCTION PROCESS
US6941035B2 (en) * 2001-04-26 2005-09-06 Creo Srl Optical cross-connect switch
JP3708845B2 (en) 2001-06-19 2005-10-19 株式会社ミツトヨ Both telecentric objective lenses
JP5144863B2 (en) * 2001-06-29 2013-02-13 株式会社オーク製作所 Multiple exposure drawing method and multiple exposure drawing apparatus
JP4728536B2 (en) * 2001-07-05 2011-07-20 株式会社オーク製作所 Multiple exposure drawing method and multiple exposure drawing apparatus
US6975458B1 (en) 2001-07-13 2005-12-13 Kurt Kanzler Method and apparatus for transformation of a gaussian laser beam to a far field diffraction pattern
US7154928B2 (en) * 2004-06-23 2006-12-26 Cymer Inc. Laser output beam wavefront splitter for bandwidth spectrum control
US20030025979A1 (en) * 2001-07-31 2003-02-06 Ball Semiconductor, Inc. Surface distortion compensated photolithography
US6965387B2 (en) * 2001-08-03 2005-11-15 Ball Semiconductor, Inc. Real time data conversion for a digital display
JP4273291B2 (en) * 2001-08-17 2009-06-03 株式会社オーク製作所 Multiple exposure drawing apparatus and multiple exposure drawing method
JP4324645B2 (en) * 2001-08-21 2009-09-02 株式会社オーク製作所 Multiple exposure drawing apparatus and multiple exposure drawing method
US7116437B2 (en) * 2001-09-14 2006-10-03 Dmetrix Inc. Inter-objective baffle system
WO2003038518A1 (en) 2001-10-30 2003-05-08 Pixelligent Technologies Llc Advanced exposure techniques for programmable lithography
EP1311007A1 (en) * 2001-11-07 2003-05-14 ASML Netherlands B.V. Piezoelectric actuator, lithographic apparatus and device manufacturing method
WO2003040829A2 (en) 2001-11-07 2003-05-15 Applied Materials, Inc. Maskless printer using photoelectric conversion of a light beam array
US6885492B2 (en) * 2001-11-08 2005-04-26 Imaginative Optics, Inc. Spatial light modulator apparatus
KR100674245B1 (en) * 2001-11-27 2007-01-25 에이에스엠엘 네델란즈 비.브이. Imaging apparatus
US20050078294A1 (en) * 2001-12-17 2005-04-14 Nellissen Antonius Johannes Maria Method of forming optical images, diffration element for use with this method, apparatus for carrying out this method
AT411755B (en) * 2001-12-21 2004-05-25 Baeuerle Dieter Dr DEVICE AND METHOD FOR MODIFYING A WORKPIECE SURFACE WITH THE AID OF PHOTON RADIATION
US7619735B2 (en) * 2002-01-15 2009-11-17 Applied Materials, Israel, Ltd. Optical inspection using variable apodization
US6665048B2 (en) 2002-01-22 2003-12-16 Creo Inc. Method for imaging a continuously moving object
EP1494271B1 (en) 2002-03-12 2011-11-16 Hamamatsu Photonics K.K. Method for dicing substrate
US7279046B2 (en) * 2002-03-27 2007-10-09 Nanoink, Inc. Method and apparatus for aligning patterns on a substrate
US7154674B2 (en) * 2002-04-15 2006-12-26 Koninklijke Philips Electronics, N.V. Imaging method
US6870604B2 (en) * 2002-04-23 2005-03-22 Ball Semiconductor, Inc. High resolution point array
JP3938714B2 (en) * 2002-05-16 2007-06-27 大日本スクリーン製造株式会社 Exposure equipment
JP4201178B2 (en) * 2002-05-30 2008-12-24 大日本スクリーン製造株式会社 Image recording device
US6987259B2 (en) * 2002-05-30 2006-01-17 Dmetrix, Inc. Imaging system with an integrated source and detector array
US7193775B2 (en) * 2002-05-30 2007-03-20 Dmetrix, Inc. EPI-illumination system for an array microscope
JP4279053B2 (en) * 2002-06-07 2009-06-17 富士フイルム株式会社 Exposure head and exposure apparatus
EP1369731A3 (en) * 2002-06-07 2008-02-13 FUJIFILM Corporation Exposure head and exposure apparatus
JP2004062156A (en) * 2002-06-07 2004-02-26 Fuji Photo Film Co Ltd Exposure head and exposure apparatus
US7164961B2 (en) * 2002-06-14 2007-01-16 Disco Corporation Modified photolithography movement system
US7312432B2 (en) * 2002-07-08 2007-12-25 Dmetrix, Inc. Single axis illumination for multi-axis imaging system
US7053985B2 (en) 2002-07-19 2006-05-30 Applied Materials, Isreal, Ltd. Printer and a method for recording a multi-level image
US6960773B2 (en) * 2002-07-22 2005-11-01 Massachusetts Institute Of Technology System and method for maskless lithography using an array of improved diffractive focusing elements
US20040051030A1 (en) * 2002-09-17 2004-03-18 Artur Olszak Method and apparatus for acquiring images from a multiple axis imaging system
DE10246274B4 (en) * 2002-10-02 2006-06-01 Leica Microsystems Cms Gmbh Microscope with correction and method for correction of temperature change induced XYZ drift
WO2004066352A2 (en) 2003-01-23 2004-08-05 Orbotech Ltd. System and method for providing high brightness illumination
JP2004287082A (en) * 2003-03-20 2004-10-14 Tadahiro Omi Mask drawing apparatus
JP4315694B2 (en) * 2003-01-31 2009-08-19 富士フイルム株式会社 Drawing head unit, drawing apparatus and drawing method
JP4057937B2 (en) * 2003-03-25 2008-03-05 富士フイルム株式会社 Exposure equipment
US6947199B2 (en) * 2003-03-28 2005-09-20 Silicon Light Machines Corporation Loosely-packed two-dimensional modulator arrangement
US20040199073A1 (en) * 2003-04-03 2004-10-07 Agency For Science, Technology And Research Method and apparatus for measuring motion of a body in a number of dimensions
JP4480339B2 (en) * 2003-04-03 2010-06-16 新光電気工業株式会社 Exposure apparatus and exposure method, and drawing apparatus and drawing method
JP4390189B2 (en) * 2003-04-10 2009-12-24 大日本スクリーン製造株式会社 Pattern drawing device
US20040223199A1 (en) * 2003-05-06 2004-11-11 Olszak Artur G. Holographic single axis illumination for multi-axis imaging system
US7063920B2 (en) * 2003-05-16 2006-06-20 Asml Holding, N.V. Method for the generation of variable pitch nested lines and/or contact holes using fixed size pixels for direct-write lithographic systems
EP1480080A1 (en) * 2003-05-22 2004-11-24 ASML Netherlands B.V. Lithographic apparatus and device manufacturing method
US7183566B2 (en) * 2003-05-28 2007-02-27 Asml Netherlands B.V. Lithographic apparatus for manufacturing a device
US6989920B2 (en) 2003-05-29 2006-01-24 Asml Holding N.V. System and method for dose control in a lithographic system
US7061591B2 (en) * 2003-05-30 2006-06-13 Asml Holding N.V. Maskless lithography systems and methods utilizing spatial light modulator arrays
EP1482375B1 (en) * 2003-05-30 2014-09-17 ASML Netherlands B.V. Lithographic apparatus and device manufacturing method
US7016015B2 (en) * 2003-06-20 2006-03-21 Asml Netherlands B.V Lithographic apparatus and device manufacturing method
EP1489449A1 (en) * 2003-06-20 2004-12-22 ASML Netherlands B.V. Spatial light modulator
US7110082B2 (en) * 2003-06-24 2006-09-19 Asml Holding N.V. Optical system for maskless lithography
SG119224A1 (en) * 2003-06-26 2006-02-28 Asml Netherlands Bv Calibration method for a lithographic apparatus and device manufacturing method
US7295315B2 (en) * 2003-06-30 2007-11-13 Kenneth C. Johnson Focus and alignment sensors and methods for use with scanning microlens-array printer
US7158215B2 (en) * 2003-06-30 2007-01-02 Asml Holding N.V. Large field of view protection optical system with aberration correctability for flat panel displays
US7154587B2 (en) * 2003-06-30 2006-12-26 Asml Netherlands B.V Spatial light modulator, lithographic apparatus and device manufacturing method
TW200506418A (en) * 2003-07-01 2005-02-16 Nippon Sheet Glass Co Ltd Lens plate, its manufacturing method, and image transmitting apparatus
EP1500980A1 (en) * 2003-07-22 2005-01-26 ASML Netherlands B.V. Lithographic apparatus, device manufacturing method, and device manufactured thereby
US7042624B2 (en) * 2003-07-29 2006-05-09 Kodak Graphic Communications, Canada Company Non-uniform light valve
US7224504B2 (en) * 2003-07-30 2007-05-29 Asml Holding N. V. Deformable mirror using piezoelectric actuators formed as an integrated circuit and method of use
US6831768B1 (en) * 2003-07-31 2004-12-14 Asml Holding N.V. Using time and/or power modulation to achieve dose gray-scaling in optical maskless lithography
US7187399B2 (en) * 2003-07-31 2007-03-06 Fuji Photo Film Co., Ltd. Exposure head with spatial light modulator
WO2005022264A2 (en) * 2003-08-27 2005-03-10 Koninklijke Philips Electronics N.V. Optical image formation using a light valve array and a light converging array
KR20060120608A (en) * 2003-08-27 2006-11-27 코닌클리케 필립스 일렉트로닉스 엔.브이. Method of forming optical images, apparatus for carrying out said method and process for manufacturing a device using said method
US7459709B2 (en) * 2003-08-27 2008-12-02 Koninklijke Philips Electronics N.V. Method of forming optical images, a control circuit for use with this method, apparatus for carrying out said method and process for manufacturing a device using said method
US7414701B2 (en) * 2003-10-03 2008-08-19 Asml Holding N.V. Method and systems for total focus deviation adjustments on maskless lithography systems
SG110196A1 (en) * 2003-09-22 2005-04-28 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
WO2005040856A2 (en) * 2003-09-22 2005-05-06 Honeywell International Inc. Confocal scanner system and method
DE10344060A1 (en) * 2003-09-23 2005-05-04 Zeiss Carl Jena Gmbh Confocal laser scanning microscope
US7410736B2 (en) * 2003-09-30 2008-08-12 Asml Holding N.V. Methods and systems to compensate for a stitching disturbance of a printed pattern in a maskless lithography system not utilizing overlap of the exposure zones
US7023526B2 (en) * 2003-09-30 2006-04-04 Asml Holding N.V. Methods and systems to compensate for a stitching disturbance of a printed pattern in a maskless lithography system utilizing overlap without an explicit attenuation
US6876440B1 (en) * 2003-09-30 2005-04-05 Asml Holding N.V. Methods and systems to compensate for a stitching disturbance of a printed pattern in a maskless lithography system utilizing overlap of exposure zones with attenuation of the aerial image in the overlap region
US7109498B2 (en) * 2003-10-09 2006-09-19 Asml Netherlands B.V. Radiation source, lithographic apparatus, and device manufacturing method
JP3797355B2 (en) * 2003-10-22 2006-07-19 セイコーエプソン株式会社 Method for manufacturing piezoelectric vibrator
CN1871552A (en) * 2003-10-27 2006-11-29 皇家飞利浦电子股份有限公司 Apparatus for and method of forming optical images
US7196772B2 (en) * 2003-11-07 2007-03-27 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7116398B2 (en) * 2003-11-07 2006-10-03 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
JP4510429B2 (en) * 2003-11-19 2010-07-21 財団法人国際科学振興財団 Mask drawing method and mask drawing apparatus
US7116405B2 (en) * 2003-12-04 2006-10-03 Johnson Kenneth C Maskless, microlens EUV lithography system with grazing-incidence illumination optics
US7001232B2 (en) * 2003-12-11 2006-02-21 Montgomery Robert E Personal watercraft air intake assembly
US6995830B2 (en) * 2003-12-22 2006-02-07 Asml Netherlands B.V. Lithographic projection apparatus and device manufacturing method
TWI283795B (en) * 2003-12-26 2007-07-11 Fujifilm Corp A method for an image exposure and a device thereof
US7012674B2 (en) * 2004-01-13 2006-03-14 Asml Holding N.V. Maskless optical writer
US7996458B2 (en) * 2004-01-28 2011-08-09 Apple Inc. Assigning tasks in a distributed system
US6847461B1 (en) * 2004-01-29 2005-01-25 Asml Holding N.V. System and method for calibrating a spatial light modulator array using shearing interferometry
US7580559B2 (en) * 2004-01-29 2009-08-25 Asml Holding N.V. System and method for calibrating a spatial light modulator
JP4083751B2 (en) * 2004-01-29 2008-04-30 エーエスエムエル ホールディング エヌ.ブイ. System for calibrating a spatial light modulator array and method for calibrating a spatial light modulator array
TW201809727A (en) * 2004-02-06 2018-03-16 日商尼康股份有限公司 Polarization changing device
KR20060111692A (en) * 2004-02-12 2006-10-27 후지 샤신 필름 가부시기가이샤 Pattern forming process
US7133118B2 (en) * 2004-02-18 2006-11-07 Asml Netherlands, B.V. Lithographic apparatus and device manufacturing method
US7190434B2 (en) * 2004-02-18 2007-03-13 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7081947B2 (en) * 2004-02-27 2006-07-25 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7016014B2 (en) * 2004-02-27 2006-03-21 Asml Netherlands B.V Lithographic apparatus and device manufacturing method
US7061586B2 (en) * 2004-03-02 2006-06-13 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
US6967711B2 (en) * 2004-03-09 2005-11-22 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
USRE43515E1 (en) * 2004-03-09 2012-07-17 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7094506B2 (en) * 2004-03-09 2006-08-22 Asml Netherlands B.V Lithographic apparatus and device manufacturing method
JP2006184840A (en) * 2004-03-22 2006-07-13 Fuji Photo Film Co Ltd Pattern forming material, and apparatus and method for forming pattern
US7227618B1 (en) 2004-03-24 2007-06-05 Baokang Bi Pattern generating systems
JP4541010B2 (en) * 2004-03-25 2010-09-08 財団法人国際科学振興財団 Pattern exposure apparatus and two-dimensional optical image generation apparatus
JP2005309380A (en) * 2004-03-26 2005-11-04 Fuji Photo Film Co Ltd Image exposure device
US7561251B2 (en) * 2004-03-29 2009-07-14 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7153616B2 (en) * 2004-03-31 2006-12-26 Asml Holding N.V. System and method for verifying and controlling the performance of a maskless lithography tool
US7053981B2 (en) * 2004-03-31 2006-05-30 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7688426B2 (en) * 2004-04-14 2010-03-30 Litel Instruments Method and apparatus for measurement of exit pupil transmittance
US7002666B2 (en) * 2004-04-16 2006-02-21 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7088427B2 (en) * 2004-04-20 2006-08-08 Litel Instruments Apparatus and method for high resolution in-situ illumination source measurement in projection imaging systems
US7372547B2 (en) * 2004-04-27 2008-05-13 Lsi Corporation Process and apparatus for achieving single exposure pattern transfer using maskless optical direct write lithography
US6963434B1 (en) * 2004-04-30 2005-11-08 Asml Holding N.V. System and method for calculating aerial image of a spatial light modulator
JP4237727B2 (en) * 2004-04-30 2009-03-11 エーエスエムエル ネザーランズ ビー.ブイ. Lithographic apparatus and device manufacturing method
US20050243295A1 (en) * 2004-04-30 2005-11-03 Asml Netherlands B.V. Lithographic apparatus and device manufacturing
US7072500B2 (en) * 2004-05-07 2006-07-04 Wisconsin Alumni Research Foundation Image locking system for DNA micro-array synthesis
EP1598779B1 (en) * 2004-05-18 2013-07-24 Agfa HealthCare NV Suppression of periodic variations in a digital signal.
US20050259269A1 (en) * 2004-05-19 2005-11-24 Asml Holding N.V. Shearing interferometer with dynamic pupil fill
US7242456B2 (en) * 2004-05-26 2007-07-10 Asml Holdings N.V. System and method utilizing a lithography tool having modular illumination, pattern generator, and projection optics portions
JP2006011371A (en) * 2004-05-26 2006-01-12 Fuji Photo Film Co Ltd Pattern forming method
JP2006018228A (en) * 2004-05-31 2006-01-19 Fuji Photo Film Co Ltd Pattern forming method
US7123348B2 (en) * 2004-06-08 2006-10-17 Asml Netherlands B.V Lithographic apparatus and method utilizing dose control
US6989886B2 (en) * 2004-06-08 2006-01-24 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
KR20070062965A (en) * 2004-06-15 2007-06-18 후지필름 가부시키가이샤 Photosensitive composition, method for forming pattern, and permanent pattern
CN1721996A (en) * 2004-06-17 2006-01-18 富士胶片株式会社 Image drawing apparatus and image drawing method
US7016016B2 (en) * 2004-06-25 2006-03-21 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
US7116403B2 (en) * 2004-06-28 2006-10-03 Asml Netherlands B.V Lithographic apparatus and device manufacturing method
US7116404B2 (en) * 2004-06-30 2006-10-03 Asml Netherlands B.V Lithographic apparatus and device manufacturing method
US20060001890A1 (en) * 2004-07-02 2006-01-05 Asml Holding N.V. Spatial light modulator as source module for DUV wavefront sensor
US7573574B2 (en) * 2004-07-13 2009-08-11 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
US20060012779A1 (en) * 2004-07-13 2006-01-19 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
JP4583827B2 (en) * 2004-07-21 2010-11-17 富士フイルム株式会社 Image forming apparatus and image forming method
US7227613B2 (en) * 2004-07-26 2007-06-05 Asml Holding N.V. Lithographic apparatus having double telecentric illumination
US7259829B2 (en) * 2004-07-26 2007-08-21 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7335398B2 (en) * 2004-07-26 2008-02-26 Asml Holding N.V. Method to modify the spatial response of a pattern generator
US7142286B2 (en) * 2004-07-27 2006-11-28 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7251020B2 (en) * 2004-07-30 2007-07-31 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7538855B2 (en) * 2004-08-10 2009-05-26 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7102733B2 (en) * 2004-08-13 2006-09-05 Asml Holding N.V. System and method to compensate for static and dynamic misalignments and deformations in a maskless lithography tool
US7500218B2 (en) * 2004-08-17 2009-03-03 Asml Netherlands B.V. Lithographic apparatus, method, and computer program product for generating a mask pattern and device manufacturing method using same
US7304718B2 (en) * 2004-08-17 2007-12-04 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20060044533A1 (en) * 2004-08-27 2006-03-02 Asmlholding N.V. System and method for reducing disturbances caused by movement in an immersion lithography system
US7846649B2 (en) * 2004-09-13 2010-12-07 Applied Materials Israel, Ltd. High resolution printer and a method for high resolution printing
US7079225B2 (en) * 2004-09-14 2006-07-18 Asml Netherlands B.V Lithographic apparatus and device manufacturing method
JP4750396B2 (en) * 2004-09-27 2011-08-17 キヤノン株式会社 Exposure apparatus and device manufacturing method
US7177012B2 (en) * 2004-10-18 2007-02-13 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7388663B2 (en) 2004-10-28 2008-06-17 Asml Netherlands B.V. Optical position assessment apparatus and method
US7423732B2 (en) * 2004-11-04 2008-09-09 Asml Holding N.V. Lithographic apparatus and device manufacturing method utilizing placement of a patterning device at a pupil plane
WO2006055736A1 (en) 2004-11-16 2006-05-26 Illumina, Inc. And methods and apparatus for reading coded microbeads
US7170584B2 (en) * 2004-11-17 2007-01-30 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7061581B1 (en) * 2004-11-22 2006-06-13 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7474384B2 (en) * 2004-11-22 2009-01-06 Asml Holding N.V. Lithographic apparatus, device manufacturing method, and a projection element for use in the lithographic apparatus
US7643192B2 (en) * 2004-11-24 2010-01-05 Asml Holding N.V. Pattern generator using a dual phase step element and method of using same
US7400390B2 (en) * 2004-11-29 2008-07-15 Applied Materials, Israel, Ltd. Inspection system and a method for aerial reticle inspection
US7713667B2 (en) * 2004-11-30 2010-05-11 Asml Holding N.V. System and method for generating pattern data used to control a pattern generator
US7333177B2 (en) * 2004-11-30 2008-02-19 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7365848B2 (en) * 2004-12-01 2008-04-29 Asml Holding N.V. System and method using visible and infrared light to align and measure alignment patterns on multiple layers
US7391499B2 (en) * 2004-12-02 2008-06-24 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7362415B2 (en) * 2004-12-07 2008-04-22 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7355677B2 (en) * 2004-12-09 2008-04-08 Asml Netherlands B.V. System and method for an improved illumination system in a lithographic apparatus
US7349068B2 (en) * 2004-12-17 2008-03-25 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7180577B2 (en) * 2004-12-17 2007-02-20 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a microlens array at an image plane
US7382449B2 (en) * 2004-12-21 2008-06-03 Alces Technology Alignment tool for precise pattern transfer
US20060134535A1 (en) * 2004-12-22 2006-06-22 3M Innovative Properties Company Lensed fiber array for sub-micron optical lithography patterning
US7274502B2 (en) * 2004-12-22 2007-09-25 Asml Holding N.V. System, apparatus and method for maskless lithography that emulates binary, attenuating phase-shift and alternating phase-shift masks
US7375795B2 (en) * 2004-12-22 2008-05-20 Asml Netherlands B.V. Lithographic apparatus, device manufacturing method, and device manufactured thereby
US7256867B2 (en) * 2004-12-22 2007-08-14 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7230677B2 (en) * 2004-12-22 2007-06-12 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing hexagonal image grids
US7391676B2 (en) * 2004-12-22 2008-06-24 Asml Netherlands B.V. Ultrasonic distance sensors
US7656506B2 (en) * 2004-12-23 2010-02-02 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a substrate handler
US7426076B2 (en) * 2004-12-23 2008-09-16 Asml Holding N.V. Projection system for a lithographic apparatus
US7242458B2 (en) * 2004-12-23 2007-07-10 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a multiple substrate carrier for flat panel display substrates
US7538857B2 (en) * 2004-12-23 2009-05-26 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a substrate handler
US20060138349A1 (en) * 2004-12-27 2006-06-29 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7126672B2 (en) * 2004-12-27 2006-10-24 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7317510B2 (en) * 2004-12-27 2008-01-08 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7279110B2 (en) * 2004-12-27 2007-10-09 Asml Holding N.V. Method and apparatus for creating a phase step in mirrors used in spatial light modulator arrays
US7403865B2 (en) * 2004-12-28 2008-07-22 Asml Netherlands B.V. System and method for fault indication on a substrate in maskless applications
US7145636B2 (en) * 2004-12-28 2006-12-05 Asml Netherlands Bv System and method for determining maximum operational parameters used in maskless applications
US7274029B2 (en) * 2004-12-28 2007-09-25 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7253881B2 (en) * 2004-12-29 2007-08-07 Asml Netherlands Bv Methods and systems for lithographic gray scaling
US7342644B2 (en) * 2004-12-29 2008-03-11 Asml Netherlands B.V. Methods and systems for lithographic beam generation
US7567368B2 (en) * 2005-01-06 2009-07-28 Asml Holding N.V. Systems and methods for minimizing scattered light in multi-SLM maskless lithography
JP4587170B2 (en) * 2005-01-20 2010-11-24 キヤノン株式会社 Exposure apparatus and device manufacturing method
US7542013B2 (en) * 2005-01-31 2009-06-02 Asml Holding N.V. System and method for imaging enhancement via calculation of a customized optimal pupil field and illumination mode
TW200640245A (en) 2005-02-04 2006-11-16 Fuji Photo Film Co Ltd Rendering device and rendering method
US7460208B2 (en) * 2005-02-18 2008-12-02 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7286137B2 (en) * 2005-02-28 2007-10-23 Asml Holding N.V. Method and system for constrained pixel graytones interpolation for pattern rasterization
US7499146B2 (en) * 2005-03-14 2009-03-03 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method, an integrated circuit, a flat panel display, and a method of compensating for cupping
US7812930B2 (en) * 2005-03-21 2010-10-12 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using repeated patterns in an LCD to reduce datapath volume
JP4690754B2 (en) * 2005-03-24 2011-06-01 株式会社ナノシステムソリューションズ Large area maskless exposure method and exposure apparatus
US7209216B2 (en) * 2005-03-25 2007-04-24 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing dynamic correction for magnification and position in maskless lithography
US7403265B2 (en) * 2005-03-30 2008-07-22 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing data filtering
US7728956B2 (en) * 2005-04-05 2010-06-01 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing multiple die designs on a substrate using a data buffer that stores pattern variation data
US7456935B2 (en) * 2005-04-05 2008-11-25 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a positioning device for positioning an object table
US7209217B2 (en) 2005-04-08 2007-04-24 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing plural patterning devices
US7330239B2 (en) * 2005-04-08 2008-02-12 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a blazing portion of a contrast device
US7221514B2 (en) * 2005-04-15 2007-05-22 Asml Netherlands B.V. Variable lens and exposure system
US7400382B2 (en) * 2005-04-28 2008-07-15 Asml Holding N.V. Light patterning device using tilting mirrors in a superpixel form
US7738081B2 (en) * 2005-05-06 2010-06-15 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a flat panel display handler with conveyor device and substrate handler
TWI268339B (en) * 2005-05-25 2006-12-11 Ind Tech Res Inst Displacement measuring device and method, an internal diameter measuring device by use of the variance of the wavelength to measure the displacement and the internal diameter
JP4753625B2 (en) * 2005-05-31 2011-08-24 大日本スクリーン製造株式会社 Pattern drawing apparatus and block number determination method
US7477772B2 (en) * 2005-05-31 2009-01-13 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing 2D run length encoding for image data compression
US7197828B2 (en) * 2005-05-31 2007-04-03 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing FPD chuck Z position measurement
US7292317B2 (en) * 2005-06-08 2007-11-06 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing substrate stage compensating
US7233384B2 (en) * 2005-06-13 2007-06-19 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method, and device manufactured thereby for calibrating an imaging system with a sensor
US7321416B2 (en) * 2005-06-15 2008-01-22 Asml Netherlands B.V. Lithographic apparatus, device manufacturing method, device manufactured thereby, and controllable patterning device utilizing a spatial light modulator with distributed digital to analog conversion
US7408617B2 (en) * 2005-06-24 2008-08-05 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a large area FPD chuck equipped with encoders an encoder scale calibration method
JP2007010785A (en) * 2005-06-28 2007-01-18 Fujifilm Holdings Corp Method for forming permanent pattern
US7965373B2 (en) * 2005-06-28 2011-06-21 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a datapath having a balanced calculation load
US7307694B2 (en) * 2005-06-29 2007-12-11 Asml Netherlands B.V. Lithographic apparatus, radiation beam inspection device, method of inspecting a beam of radiation and device manufacturing method
US7522258B2 (en) * 2005-06-29 2009-04-21 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing movement of clean air to reduce contamination
US20070013889A1 (en) * 2005-07-12 2007-01-18 Asml Netherlands B.V. Lithographic apparatus, device manufacturing method and device manufactured thereby having an increase in depth of focus
JP2007025394A (en) * 2005-07-19 2007-02-01 Fujifilm Holdings Corp Pattern forming method
US7251019B2 (en) * 2005-07-20 2007-07-31 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a continuous light beam in combination with pixel grid imaging
US7606430B2 (en) * 2005-08-30 2009-10-20 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a multiple dictionary compression method for FPD
US20070046917A1 (en) * 2005-08-31 2007-03-01 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method that compensates for reticle induced CDU
JP2007114750A (en) * 2005-09-09 2007-05-10 Asml Netherlands Bv Projection system design method, lithography apparatus, and device manufacturing method
EP1762877B1 (en) * 2005-09-13 2010-11-17 Albert-Ludwigs-Universität Freiburg Microscopy method employing a spatially modulated illumination
JP4923254B2 (en) * 2005-09-21 2012-04-25 国立大学法人東北大学 Exposure method
JP2007086373A (en) * 2005-09-21 2007-04-05 Fujifilm Corp Permanent pattern forming method
US7830493B2 (en) * 2005-10-04 2010-11-09 Asml Netherlands B.V. System and method for compensating for radiation induced thermal distortions in a substrate or projection system
US7391503B2 (en) * 2005-10-04 2008-06-24 Asml Netherlands B.V. System and method for compensating for thermal expansion of lithography apparatus or substrate
US7332733B2 (en) * 2005-10-05 2008-02-19 Asml Netherlands B.V. System and method to correct for field curvature of multi lens array
US20070127005A1 (en) * 2005-12-02 2007-06-07 Asml Holding N.V. Illumination system
US7626181B2 (en) * 2005-12-09 2009-12-01 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20070133007A1 (en) * 2005-12-14 2007-06-14 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using laser trimming of a multiple mirror contrast device
US20070153249A1 (en) * 2005-12-20 2007-07-05 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using multiple exposures and multiple exposure types
US7440078B2 (en) * 2005-12-20 2008-10-21 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using interferometric and maskless exposure units
US7466394B2 (en) * 2005-12-21 2008-12-16 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using a compensation scheme for a patterning array
US7532403B2 (en) * 2006-02-06 2009-05-12 Asml Holding N.V. Optical system for transforming numerical aperture
DE102006009810A1 (en) * 2006-03-01 2007-09-06 Fries Research & Technology Gmbh Confocal microscope for e.g. examining biological sample, has matrix component at which reflection of light is provided, where polarization condition of light is adjusted by component at different positions between pinhole sections
JP4948866B2 (en) 2006-03-27 2012-06-06 富士フイルム株式会社 Drawing state adjusting method and apparatus
WO2007116000A2 (en) * 2006-04-04 2007-10-18 Tesa Scribos Gmbh Device and method for microstructuring a storage medium and storage medium comprising a microstructured region
US7528933B2 (en) * 2006-04-06 2009-05-05 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a MEMS mirror with large deflection using a non-linear spring arrangement
US7508491B2 (en) * 2006-04-12 2009-03-24 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilized to reduce quantization influence of datapath SLM interface to dose uniformity
US7839487B2 (en) * 2006-04-13 2010-11-23 Asml Holding N.V. Optical system for increasing illumination efficiency of a patterning device
US7948606B2 (en) * 2006-04-13 2011-05-24 Asml Netherlands B.V. Moving beam with respect to diffractive optics in order to reduce interference patterns
US8822894B2 (en) 2011-01-07 2014-09-02 California Institute Of Technology Light-field pixel for detecting a wavefront based on a first intensity normalized by a second intensity
US8264667B2 (en) * 2006-05-04 2012-09-11 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using interferometric and other exposure
US8934084B2 (en) * 2006-05-31 2015-01-13 Asml Holding N.V. System and method for printing interference patterns having a pitch in a lithography system
US7728954B2 (en) * 2006-06-06 2010-06-01 Asml Netherlands B.V. Reflective loop system producing incoherent radiation
US7649676B2 (en) * 2006-06-14 2010-01-19 Asml Netherlands B.V. System and method to form unpolarized light
US7936445B2 (en) * 2006-06-19 2011-05-03 Asml Netherlands B.V. Altering pattern data based on measured optical element characteristics
US8896808B2 (en) * 2006-06-21 2014-11-25 Asml Netherlands B.V. Lithographic apparatus and method
US7697115B2 (en) * 2006-06-23 2010-04-13 Asml Holding N.V. Resonant scanning mirror
US7593094B2 (en) * 2006-06-26 2009-09-22 Asml Netherlands B.V. Patterning device
US20080002174A1 (en) * 2006-06-30 2008-01-03 Asml Netherlands B.V. Control system for pattern generator in maskless lithography
US7630136B2 (en) 2006-07-18 2009-12-08 Asml Holding N.V. Optical integrators for lithography systems and methods
US7548315B2 (en) * 2006-07-27 2009-06-16 Asml Netherlands B.V. System and method to compensate for critical dimension non-uniformity in a lithography system
US7738077B2 (en) * 2006-07-31 2010-06-15 Asml Netherlands B.V. Patterning device utilizing sets of stepped mirrors and method of using same
US7626182B2 (en) * 2006-09-05 2009-12-01 Asml Netherlands B.V. Radiation pulse energy control system, lithographic apparatus and device manufacturing method
US7628875B2 (en) * 2006-09-12 2009-12-08 Asml Netherlands B.V. MEMS device and assembly method
US7969577B2 (en) * 2006-09-14 2011-06-28 Asml Netherlands B.V. Inspection apparatus, an apparatus for projecting an image and a method of measuring a property of a substrate
US7932993B2 (en) * 2006-09-16 2011-04-26 Wenhui Mei Divided sub-image array scanning and exposing system
US8049865B2 (en) * 2006-09-18 2011-11-01 Asml Netherlands B.V. Lithographic system, device manufacturing method, and mask optimization method
JP4511502B2 (en) * 2006-09-30 2010-07-28 日立ビアメカニクス株式会社 Substrate exposure equipment
US7804603B2 (en) * 2006-10-03 2010-09-28 Asml Netherlands B.V. Measurement apparatus and method
US7755775B1 (en) * 2006-10-03 2010-07-13 N&K Technology, Inc. Broadband optical metrology with reduced wave front distortion, chromatic dispersion compensation and monitoring
US7683300B2 (en) * 2006-10-17 2010-03-23 Asml Netherlands B.V. Using an interferometer as a high speed variable attenuator
US7453551B2 (en) * 2006-11-14 2008-11-18 Asml Netherlands B.V. Increasing pulse-to-pulse radiation beam uniformity
US20080111977A1 (en) * 2006-11-14 2008-05-15 Asml Holding N.V. Compensation techniques for fluid and magnetic bearings
US7738079B2 (en) * 2006-11-14 2010-06-15 Asml Netherlands B.V. Radiation beam pulse trimming
US8054449B2 (en) * 2006-11-22 2011-11-08 Asml Holding N.V. Enhancing the image contrast of a high resolution exposure tool
US8259285B2 (en) * 2006-12-14 2012-09-04 Asml Holding N.V. Lithographic system, device manufacturing method, setpoint data optimization method, and apparatus for producing optimized setpoint data
US7834980B2 (en) * 2006-12-21 2010-11-16 Asml Netherlands B. V. Lithographic apparatus and method
US7965378B2 (en) * 2007-02-20 2011-06-21 Asml Holding N.V Optical system and method for illumination of reflective spatial light modulators in maskless lithography
US8009269B2 (en) 2007-03-14 2011-08-30 Asml Holding N.V. Optimal rasterization for maskless lithography
US8009270B2 (en) * 2007-03-22 2011-08-30 Asml Netherlands B.V. Uniform background radiation in maskless lithography
US8687166B2 (en) * 2007-05-24 2014-04-01 Asml Netherlands B.V. Lithographic apparatus having an encoder position sensor system
US8760615B2 (en) * 2007-05-24 2014-06-24 Asml Netherlands B.V. Lithographic apparatus having encoder type position sensor system
US7714986B2 (en) * 2007-05-24 2010-05-11 Asml Netherlands B.V. Laser beam conditioning system comprising multiple optical paths allowing for dose control
US20080304034A1 (en) * 2007-06-07 2008-12-11 Asml Netherlands B.V. Dose control for optical maskless lithography
US7768627B2 (en) * 2007-06-14 2010-08-03 Asml Netherlands B.V. Illumination of a patterning device based on interference for use in a maskless lithography system
US8692974B2 (en) * 2007-06-14 2014-04-08 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using pupil filling by telecentricity control
US8189172B2 (en) * 2007-06-14 2012-05-29 Asml Netherlands B.V. Lithographic apparatus and method
DE102007038999A1 (en) * 2007-08-17 2009-02-19 Punch Graphix Prepress Germany Gmbh Method for increasing throughput and reducing motion blur
TWI378221B (en) * 2007-09-21 2012-12-01 Ind Tech Res Inst Scatterfield microscopical measuring method and apparatus
WO2009041816A2 (en) * 2007-09-25 2009-04-02 Nederlandse Organisatie Voor Toegepast-Natuurwetenschappeliijk Onderzoek Tno A method of patterning a hard disk medium
KR20180072841A (en) * 2007-11-06 2018-06-29 가부시키가이샤 니콘 Illumination optical system, exposure device and exposure method
EP2235746A1 (en) * 2008-01-02 2010-10-06 Board of Regents, The University of Texas System Microdevice fabrication
US9046680B2 (en) * 2008-03-07 2015-06-02 California Institute Of Technology Scanning illumination microscope
NL1036558A1 (en) * 2008-03-25 2009-09-28 Asml Netherlands Bv Method and lithographic apparatus for acquiring height data related to a substrate surface.
US9581723B2 (en) 2008-04-10 2017-02-28 Schlumberger Technology Corporation Method for characterizing a geological formation traversed by a borehole
US8725477B2 (en) * 2008-04-10 2014-05-13 Schlumberger Technology Corporation Method to generate numerical pseudocores using borehole images, digital rock samples, and multi-point statistics
US9561622B2 (en) 2008-05-05 2017-02-07 Georgia Tech Research Corporation Systems and methods for fabricating three-dimensional objects
TWI460548B (en) * 2008-06-04 2014-11-11 Mapper Lithography Ip Bv Method of and system for exposing a target
JP5743886B2 (en) 2008-06-04 2015-07-01 マッパー・リソグラフィー・アイピー・ビー.ブイ. Method and system for exposing a target
DE102008031412A1 (en) * 2008-07-02 2010-01-28 Nanofocus Ag Device i.e. line scanner, for monitoring measuring points on object surface to be measured, has focus plane displaceable parallel to object surface, where object surface is displaceable lateral to focus plane
JP5253037B2 (en) * 2008-08-18 2013-07-31 株式会社日立ハイテクノロジーズ Exposure apparatus, exposure method, and manufacturing method of display panel substrate
JP5190609B2 (en) * 2008-08-21 2013-04-24 株式会社ブイ・テクノロジー Exposure apparatus and photomask used therefor
JP2010060990A (en) * 2008-09-05 2010-03-18 Hitachi High-Technologies Corp Exposure device, exposure method, and method for manufacturing display panel substrate
WO2010060929A1 (en) 2008-11-26 2010-06-03 Micronic Laser Systems Ab Image reading and writing using a complex two-dimensional interlace scheme
JP5469674B2 (en) * 2008-12-05 2014-04-16 マイクロニック マイデータ アーベー Method and system for writing on a workpiece
EP2389606B1 (en) 2009-01-24 2019-08-28 Ecole Polytechnique Federale De Lausanne (EPFL) EPFL-TTO High-resolution microscopy and photolithography devices using focusing micromirrors
US8311788B2 (en) 2009-07-01 2012-11-13 Schlumberger Technology Corporation Method to quantify discrete pore shapes, volumes, and surface areas using confocal profilometry
US20110004447A1 (en) * 2009-07-01 2011-01-06 Schlumberger Technology Corporation Method to build 3D digital models of porous media using transmitted laser scanning confocal mircoscopy and multi-point statistics
KR101636523B1 (en) 2009-05-20 2016-07-06 마퍼 리쏘그라피 아이피 비.브이. Dual pass scanning
US8633432B2 (en) * 2009-09-21 2014-01-21 California Institute Of Technology Reflective focusing and transmissive projection device
WO2011047053A2 (en) * 2009-10-13 2011-04-21 California Institute Of Technology Holographically illuminated imaging devices
WO2011058634A1 (en) 2009-11-12 2011-05-19 株式会社ブイ・テクノロジー Exposure apparatus and photomask used therein
US20130256286A1 (en) * 2009-12-07 2013-10-03 Ipg Microsystems Llc Laser processing using an astigmatic elongated beam spot and using ultrashort pulses and/or longer wavelengths
EP2354853B1 (en) * 2010-02-09 2013-01-02 Carl Zeiss SMT GmbH Optical raster element, optical integrator and illumination system of a microlithographic projection exposure apparatus
US8970671B2 (en) * 2010-02-23 2015-03-03 California Institute Of Technology Nondiffracting beam detection devices for three-dimensional imaging
US20120320359A1 (en) * 2010-02-23 2012-12-20 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US8743165B2 (en) 2010-03-05 2014-06-03 Micronic Laser Systems Ab Methods and device for laser processing
JP5609611B2 (en) * 2010-03-11 2014-10-22 株式会社リコー Spectral characteristic acquisition device, image evaluation device, and image forming device
US8536545B2 (en) 2010-09-09 2013-09-17 California Institute Of Technology Delayed emission detection devices and methods
DE102010041623A1 (en) * 2010-09-29 2012-03-29 Carl Zeiss Smt Gmbh mirror
JP5515119B2 (en) * 2010-10-05 2014-06-11 株式会社ブイ・テクノロジー Scan exposure equipment using microlens array
US9086536B2 (en) 2011-03-09 2015-07-21 California Institute Of Technology Talbot imaging devices and systems
DE102011001785B4 (en) * 2011-04-04 2015-03-05 Jenoptik Optical Systems Gmbh Exposure device for the structured exposure of a surface
WO2012145566A2 (en) 2011-04-20 2012-10-26 California Institute Of Technology Talbot-illuminated imaging devices, systems, and methods for focal plane tuning
JP5346356B2 (en) * 2011-05-30 2013-11-20 エーエスエムエル ネザーランズ ビー.ブイ. Lithographic apparatus and device manufacturing method
KR20120136206A (en) * 2011-06-08 2012-12-18 삼성전기주식회사 Maskless processing apparatus
CN103048885B (en) * 2011-10-11 2015-02-25 中山新诺科技股份有限公司 Ultra-large size flat panel display maskless photolithography system and method
US8854426B2 (en) 2011-11-07 2014-10-07 Microsoft Corporation Time-of-flight camera with guided light
NL2009902A (en) 2011-12-27 2013-07-01 Asml Netherlands Bv Lithographic apparatus and device manufacturing method.
JP5833437B2 (en) * 2011-12-29 2015-12-16 ルネサスエレクトロニクス株式会社 Simulation apparatus and simulation program
WO2013104482A1 (en) 2012-01-12 2013-07-18 Asml Netherlands B.V. A lithography apparatus, an apparatus for providing setpoint data, a device manufacturing method, a method for providing setpoint data and a computer program
DE102012000650A1 (en) * 2012-01-16 2013-07-18 Carl Zeiss Microscopy Gmbh METHOD AND DEVICE FOR ABRASING A SURFACE OF AN OBJECT WITH A PARTICLE BEAM
US10025082B2 (en) 2012-02-23 2018-07-17 The United States Of America, As Represented By The Secretary, Department Of Health And Human Services Multi-focal structured illumination microscopy systems and methods
CN104471462B (en) * 2012-02-23 2017-09-19 美国卫生与公共服务秘书部 Multifocal structured lighting microscopic system and method
TW201343296A (en) * 2012-03-16 2013-11-01 Ipg Microsystems Llc Laser scribing system and method with extended depth affectation into a workpiece
CA2868263C (en) * 2012-03-23 2021-04-13 Huron Technologies International Inc. Slide scanner with dynamic focus and specimen tilt and method of operation
JP6150043B2 (en) * 2012-03-29 2017-06-21 株式会社ブイ・テクノロジー Exposure equipment
EP2875394A1 (en) 2012-07-17 2015-05-27 Ecole Polytechnique Fédérale de Lausanne (EPFL) Reflective optical objective
WO2014018584A1 (en) 2012-07-24 2014-01-30 Trustees Of Boston University Partitioned aperture wavefront imaging method and system
US10149390B2 (en) 2012-08-27 2018-12-04 Mycronic AB Maskless writing of a workpiece using a plurality of exposures having different focal planes using multiple DMDs
JP6306603B2 (en) * 2012-11-08 2018-04-04 ディーディーエム システムズ, インコーポレイテッド System and method for processing three-dimensional objects
JP6308523B2 (en) * 2014-03-11 2018-04-11 株式会社ブイ・テクノロジー Beam exposure equipment
US9523645B2 (en) * 2014-10-20 2016-12-20 Exnodes Inc. Lenticular wafer inspection
EP3040779A1 (en) * 2014-12-30 2016-07-06 Visitech As A maskless exposure apparatus with alignment
US9939732B2 (en) * 2015-10-27 2018-04-10 Cymer, Llc Controller for an optical system
US10976535B2 (en) 2016-03-30 2021-04-13 Optical Wavefront Laboratories Multiple camera microscope imaging with patterned illumination
US10908507B2 (en) 2016-07-13 2021-02-02 Applied Materials, Inc. Micro LED array illumination source
JP6781582B2 (en) 2016-07-25 2020-11-04 株式会社ニューフレアテクノロジー Electron beam inspection device and electron beam inspection method
US10069996B2 (en) * 2016-09-15 2018-09-04 Xerox Corporation System and method for utilizing digital micromirror devices to split and recombine a signal image to enable heat dissipation
WO2018113939A1 (en) * 2016-12-21 2018-06-28 CSEM Centre Suisse d'Electronique et de Microtechnique SA - Recherche et Développement Optical system
US11086113B2 (en) 2017-06-06 2021-08-10 The United States Of America Multi-focal structured illumination microscopy systems and methods
WO2019157556A1 (en) * 2018-02-13 2019-08-22 Newsouth Innovations Pty Limited Piezoelectric microlens actuator
US10634890B1 (en) 2018-10-26 2020-04-28 General Electric Company Miniaturized microscope for phase contrast and multicolor fluorescence imaging
AU2019461917B2 (en) 2019-08-14 2022-08-25 Ceramic Data Solutions GmbH Method for long-term storage of information and storage medium therefor
DE102020200214A1 (en) 2020-01-09 2021-07-15 Hochschule für angewandte Wissenschaften Kempten Körperschaft des öffentlichen Rechts Confocal measuring device for 3D measurement of an object surface
CN111258046A (en) * 2020-02-26 2020-06-09 清华大学 Light field microscope system and method based on front microlens array
US10937630B1 (en) 2020-04-27 2021-03-02 John Bennett Modular parallel electron lithography
US11875207B2 (en) 2020-07-03 2024-01-16 Ceramic Data Solutions GmbH Information storage method and information storage medium with increased storage density by multi-bit coding
WO2022002418A1 (en) 2020-07-03 2022-01-06 Ceramic Data Solution GmbH Increased storage capacity for a method for long-term storage of information and storage medium therefor
FR3112866B1 (en) * 2020-07-22 2022-07-22 Damae Medical Systems and methods for microscopic analysis of a sample
EP3955248A1 (en) 2020-08-11 2022-02-16 Christian Pflaum Data recording on ceramic material
EP4085455A1 (en) 2021-03-16 2022-11-09 Ceramic Data Solutions GmbH Data carrier, reading method and system utilizing super resolution techniques

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4289377A (en) * 1978-04-05 1981-09-15 Canon Kabushiki Kaisha Projecting apparatus
US4668080A (en) * 1985-11-29 1987-05-26 Rca Corporation Method and apparatus for forming large area high resolution patterns
US5225929A (en) * 1989-05-05 1993-07-06 University Of Hertfordshire Device for producing a light spot in a microscope
US5245369A (en) * 1989-11-01 1993-09-14 Aura Systems, Inc. Scene projector
US5473393A (en) * 1993-12-09 1995-12-05 Nikon Corporation Illuminating system, projection apparatus and detecting apparatus
US5541679A (en) * 1994-10-31 1996-07-30 Daewoo Electronics, Co., Ltd. Optical projection system
US5659420A (en) * 1993-09-30 1997-08-19 Kabushiki Kaisha Komatsu Seisakusho Confocal optical apparatus

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3013467A (en) * 1957-11-07 1961-12-19 Minsky Marvin Microscopy apparatus
US4101210A (en) * 1976-06-21 1978-07-18 Dimensional Development Corporation Projection apparatus for stereoscopic pictures
SE455736B (en) * 1984-03-15 1988-08-01 Sarastro Ab PROCEDURE KIT AND MICROPHOTOMETRATION AND ADDITIONAL IMAGE COMPOSITION
US5032720A (en) * 1988-04-21 1991-07-16 White John G Confocal imaging system
JPH0315018A (en) * 1989-01-13 1991-01-23 Dainippon Screen Mfg Co Ltd Laser exposure device for image scanning and recording device
JP2893778B2 (en) * 1990-01-17 1999-05-24 キヤノン株式会社 Exposure equipment
DE59107758D1 (en) * 1990-11-10 1996-06-05 Groskopf Rudolf Dr Ing Optical scanning device with confocal beam path, in which light source and detector matrix are used
US5239178A (en) * 1990-11-10 1993-08-24 Carl Zeiss Optical device with an illuminating grid and detector grid arranged confocally to an object
US5260826A (en) * 1992-01-21 1993-11-09 Physical Optics Corporation Nonscanning sectioning microscope
DE69226511T2 (en) * 1992-03-05 1999-01-28 Micronic Laser Systems Ab Method and device for exposing substrates
JPH0684741A (en) * 1992-06-25 1994-03-25 Think Lab Kk Semiconductor laser exposing device
JP2895703B2 (en) * 1992-07-14 1999-05-24 三菱電機株式会社 Exposure apparatus and exposure method using the exposure apparatus
JP3052587B2 (en) * 1992-07-28 2000-06-12 日本電気株式会社 Exposure equipment
US5517279A (en) * 1993-08-30 1996-05-14 Hugle; William B. Lens array photolithography
JP3404607B2 (en) * 1993-09-30 2003-05-12 株式会社小松製作所 Confocal optics
KR0124058B1 (en) * 1993-12-22 1997-11-24 Korea Telecommunication Measuring device and method of 2-dimension microscope displacement by using moire appearance
US5573877A (en) * 1994-03-15 1996-11-12 Matsushita Electric Industrial Co., Ltd. Exposure method and exposure apparatus
JP3376690B2 (en) * 1994-04-28 2003-02-10 株式会社ニコン Exposure apparatus and exposure method using the same
US5754299A (en) * 1995-01-13 1998-05-19 Nikon Corporation Inspection apparatus and method for optical system, exposure apparatus provided with the inspection apparatus, and alignment apparatus and optical system thereof applicable to the exposure apparatus
US5724121A (en) * 1995-05-12 1998-03-03 Hughes Danbury Optical Systems, Inc. Mounting member method and apparatus with variable length supports
JP3548277B2 (en) * 1995-05-17 2004-07-28 ペンタックス株式会社 Multi-beam recording apparatus and method of manufacturing aperture plate for multi-beam recording apparatus
US5739899A (en) * 1995-05-19 1998-04-14 Nikon Corporation Projection exposure apparatus correcting tilt of telecentricity
AU6601996A (en) * 1995-07-31 1997-02-26 Lsi Logic Corporation Lithography systems employing programmable reticles
US5691541A (en) * 1996-05-14 1997-11-25 The Regents Of The University Of California Maskless, reticle-free, lithography
EP0914626A4 (en) * 1996-07-25 2002-02-20 Anvik Corp Seamless, maskless lithography system using spatial light modulator

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4289377A (en) * 1978-04-05 1981-09-15 Canon Kabushiki Kaisha Projecting apparatus
US4668080A (en) * 1985-11-29 1987-05-26 Rca Corporation Method and apparatus for forming large area high resolution patterns
US5225929A (en) * 1989-05-05 1993-07-06 University Of Hertfordshire Device for producing a light spot in a microscope
US5245369A (en) * 1989-11-01 1993-09-14 Aura Systems, Inc. Scene projector
US5659420A (en) * 1993-09-30 1997-08-19 Kabushiki Kaisha Komatsu Seisakusho Confocal optical apparatus
US5473393A (en) * 1993-12-09 1995-12-05 Nikon Corporation Illuminating system, projection apparatus and detecting apparatus
US5541679A (en) * 1994-10-31 1996-07-30 Daewoo Electronics, Co., Ltd. Optical projection system

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See also references of EP0991959A2 *

Cited By (121)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0911667A1 (en) * 1997-10-22 1999-04-28 Max-Planck-Gesellschaft zur Förderung der Wissenschaften e.V. Programmable spatially light modulated microscope and microscopy method
US6399935B1 (en) 1997-10-22 2002-06-04 Max-Planck-Gesellschaft Zur Forderung Der Forderung Der Wissenschaften E.V. Programmable spatially light modulated microscope ND microscopy
US6016185A (en) * 1997-10-23 2000-01-18 Hugle Lithography Lens array photolithography
WO1999021060A1 (en) * 1997-10-23 1999-04-29 Hugle Lithography Lens array photolithography
EP1384987A3 (en) * 1997-10-31 2012-10-03 Carl Zeiss Reader for microtiter plates or substance chips
JP2002514739A (en) * 1997-10-31 2002-05-21 カール・ツアイス・シュティフテュング・ハンデルンド・アルス・カール・ツアイス Optical array system and reader for microtiter plates
WO1999023474A1 (en) * 1997-10-31 1999-05-14 Carl Zeiss Optical array system and reader for micro titer plates
US6686582B1 (en) * 1997-10-31 2004-02-03 Carl-Zeiss-Stiftung Optical array system and reader for microtiter plates
EP1384987A2 (en) * 1997-10-31 2004-01-28 Carl Zeiss Reader for microtiter plates or substance chips
EP0945871A3 (en) * 1998-03-27 2005-03-09 Pioneer Electronic Corporation Volume holographic memory-based optical information- recording/reproducing apparatus
EP0945871A2 (en) * 1998-03-27 1999-09-29 Pioneer Electronic Corporation Volume holographic memory-based optical information- recording/reproducing apparatus
JP2009002955A (en) * 1998-05-16 2009-01-08 Applera Corp Instrument for monitoring polymerase chain reaction of dna
JP2013178254A (en) * 1998-05-16 2013-09-09 Applied Biosystems Llc Instrument for monitoring polymerase chain reaction of dna
US7498164B2 (en) 1998-05-16 2009-03-03 Applied Biosystems, Llc Instrument for monitoring nucleic acid sequence amplification reaction
JP2015072285A (en) * 1998-05-16 2015-04-16 アプライド バイオシステムズ リミテッド ライアビリティー カンパニー Instrument for monitoring polymerase chain reaction of dna
US9823195B2 (en) 1998-05-16 2017-11-21 Life Technologies Corporation Optical instrument comprising multi-notch beam splitter
JP2011039071A (en) * 1998-05-16 2011-02-24 Applied Biosystems Llc Instrument for monitoring polymerase chain reaction of dna
EP1978351A3 (en) * 1998-05-16 2008-10-15 Applera Corporation Instrument for monitoring polymerase chain reaction of dna
US9671342B2 (en) 1998-05-16 2017-06-06 Life Technologies Corporation Instrument for monitoring polymerase chain reaction of DNA
US6473239B2 (en) 1998-10-12 2002-10-29 Carl-Zeiss-Stiftung Imaging system with a cylindrical lens array
WO2000022417A1 (en) * 1998-10-12 2000-04-20 Carl Zeiss Imaging system with a cylindrical lens array
US6339506B1 (en) 1998-11-06 2002-01-15 Oni Systems Corp. Microlens array with spatially varying optical property
US6339503B1 (en) 1998-11-06 2002-01-15 Oni Systems Corp. Optical interconnect using microlens/minilens relay
US6489984B1 (en) 1998-12-29 2002-12-03 Kenneth C. Johnson Pixel cross talk suppression in digital microprinters
US6498685B1 (en) 1999-01-11 2002-12-24 Kenneth C. Johnson Maskless, microlens EUV lithography system
US6424404B1 (en) 1999-01-11 2002-07-23 Kenneth C. Johnson Multi-stage microlens array
WO2000058715A3 (en) * 1999-03-26 2001-03-08 Univ Glasgow Assay system
WO2000058715A2 (en) * 1999-03-26 2000-10-05 The University Court Of The University Of Glasgow Assay system
WO2000065325A2 (en) * 1999-04-27 2000-11-02 Carl Zeiss Jena Gmbh Array for optical evaluation of an object array
JP2002543378A (en) * 1999-04-27 2002-12-17 カール ツァイス イェナ ゲーエムベーハー Apparatus for optically evaluating an object array
WO2000065325A3 (en) * 1999-04-27 2001-04-26 Zeiss Carl Jena Gmbh Array for optical evaluation of an object array
WO2001001112A1 (en) * 1999-06-26 2001-01-04 Packard Instrument Company, Inc. Microplate reader
US7170597B1 (en) 1999-06-26 2007-01-30 Packard Instrument Company, Inc. Microplate reader
GB2351556B (en) * 1999-06-26 2004-06-30 Cambridge Imaging Ltd Improved assay analysis
WO2001037025A1 (en) * 1999-11-16 2001-05-25 Agilent Technologies, Inc. Confocal imaging
US6628390B1 (en) 2000-01-24 2003-09-30 Kenneth C. Johnson Wafer alignment sensor using a phase-shifted microlens array
US6590718B2 (en) 2000-02-05 2003-07-08 Carl-Zeiss-Stiftung Projection exposure system having a reflective reticle
USRE40743E1 (en) 2000-02-05 2009-06-16 Carl Zeiss Smt Ag Projection exposure system having a reflective reticle
DE10017824B4 (en) * 2000-04-10 2004-03-18 Till I.D. Gmbh Device for parallel photometric fluorescence or luminescence analysis of several separate sample areas on an object
DE10017824A1 (en) * 2000-04-10 2001-10-18 Till I D Gmbh Stimulated fluorescence analyzer comprises an optical unit permitting simultaneous imaging of light from adjacent sample regions onto a detector
US6844994B2 (en) 2000-09-20 2005-01-18 Carl Zeiss Smt Ag Optical element deformation system
US6897599B2 (en) 2001-02-13 2005-05-24 Carl Zeiss Smt Ag System for damping oscillations
US7061584B2 (en) 2001-03-19 2006-06-13 Dmetrix, Inc. Multi-axis projection imaging system
EP1635165A3 (en) * 2001-08-09 2006-03-22 Yokogawa Electric Corporation Fluorometric imaging apparatus
EP1283416A3 (en) * 2001-08-09 2003-05-28 Yokogawa Electric Corporation Biochip reader and fluorometric imaging apparatus
US6946655B2 (en) 2001-11-07 2005-09-20 Applied Materials, Inc. Spot grid array electron imaging system
WO2003040709A2 (en) * 2001-11-07 2003-05-15 Applied Materials, Inc. Spot grid array imaging system
WO2003040830A2 (en) * 2001-11-07 2003-05-15 Applied Materials, Inc. Optical spot grid array printer
WO2003040709A3 (en) * 2001-11-07 2004-01-08 Applied Materials Inc Spot grid array imaging system
US6897941B2 (en) 2001-11-07 2005-05-24 Applied Materials, Inc. Optical spot grid array printer
WO2003040830A3 (en) * 2001-11-07 2003-10-16 Applied Materials Inc Optical spot grid array printer
WO2003083580A1 (en) * 2002-03-28 2003-10-09 Pascal Joffre System for optically treating surfaces
US7023622B2 (en) 2002-08-06 2006-04-04 Dmetrix, Inc. Miniature microscope objective lens
WO2004027521A3 (en) * 2002-09-19 2004-04-22 Dmetrix Inc A multi-axis projection imaging system
WO2004027521A2 (en) * 2002-09-19 2004-04-01 Dmetrix, Inc. A multi-axis projection imaging system
US7113651B2 (en) 2002-11-20 2006-09-26 Dmetrix, Inc. Multi-spectral miniature microscope array
DE10301775A1 (en) * 2003-01-18 2004-07-29 Hentze-Lissotschenko Patentverwaltungs Gmbh & Co.Kg Optical detector for objects, scanning device and confocal microscope includes second lens assembly comprising array of optical elements
US7015450B2 (en) 2003-01-18 2006-03-21 Hentze-Lissotschenko Patentverwaltungs Gmbh Co. Kg Detection apparatus for the optical detection of an object, method for operating a detection apparatus of this type and scanning apparatus and confocal microscope
DE10308708A1 (en) * 2003-02-28 2004-09-09 Hentze-Lissotschenko Patentverwaltungs Gmbh & Co.Kg Apparatus for striking an object with laser beams such as in a laser imaging system having a lens array between a laser source and an array of control elements
US8675175B2 (en) 2003-05-30 2014-03-18 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US8395755B2 (en) 2003-05-30 2013-03-12 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7339602B2 (en) 2003-07-22 2008-03-04 Fujifilm Corporation Image-drawing device and image-drawing method
WO2005063432A1 (en) * 2003-12-20 2005-07-14 Hentze-Lissotschenko Patentverwaltungs Gmbh & Co. Kg Device for machining a workpiece using laser light
US7477403B2 (en) 2004-05-27 2009-01-13 Asml Netherlands B.V. Optical position assessment apparatus and method
JP2005354050A (en) * 2004-05-27 2005-12-22 Asml Netherlands Bv Optical position evaluating device and method
US7684009B2 (en) 2004-06-30 2010-03-23 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7609362B2 (en) 2004-11-08 2009-10-27 Asml Netherlands B.V. Scanning lithographic apparatus and device manufacturing method
KR101140621B1 (en) 2004-12-09 2012-05-02 후지필름 가부시키가이샤 Image exposing method and apparatus
WO2006064465A2 (en) * 2004-12-17 2006-06-22 Koninklijke Philips Electronics N. V. Multi-spot investigation apparatus
WO2006064465A3 (en) * 2004-12-17 2006-09-14 Koninkl Philips Electronics Nv Multi-spot investigation apparatus
US8390787B2 (en) 2004-12-22 2013-03-05 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US8169593B2 (en) 2004-12-27 2012-05-01 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7756660B2 (en) 2004-12-28 2010-07-13 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7742148B2 (en) 2005-06-08 2010-06-22 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method for writing a digital image
US8003308B2 (en) 2005-06-08 2011-08-23 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method for writing a digital image
US7830575B2 (en) 2006-04-10 2010-11-09 Illumina, Inc. Optical scanner with improved scan time
WO2009066253A2 (en) 2007-11-23 2009-05-28 Koninklijke Philips Electronics N.V. Multi-modal spot generator and multi-modal multi-spot scanning microscope
EP2215503A2 (en) * 2007-11-23 2010-08-11 Koninklijke Philips Electronics N.V. Multi-focal spot generator and multi-focal multi-spot scanning microscope
EP2232306A2 (en) * 2007-11-23 2010-09-29 Koninklijke Philips Electronics N.V. Multi-modal spot generator and multi-modal multi-spot scanning microscope
KR101545766B1 (en) 2008-05-30 2015-08-19 코닝 인코포레이티드 Illumination system for sizing focused spots of a patterning system for maskless lithography
US8115904B2 (en) 2008-05-30 2012-02-14 Corning Incorporated Illumination system for sizing focused spots of a patterning system for maskless lithography
WO2009148547A3 (en) * 2008-05-30 2010-03-18 Corning Incorporated Illumination system for sizing focused spots of a patterning system for maskless lithography
WO2009148547A2 (en) 2008-05-30 2009-12-10 Corning Incorporated Illumination system for sizing focused spots of a patterning system for maskless lithography
US8531648B2 (en) 2008-09-22 2013-09-10 Asml Netherlands B.V. Lithographic apparatus, programmable patterning device and lithographic method
US9335638B2 (en) 2008-09-22 2016-05-10 Asml Netherlands B.V. Lithographic apparatus, programmable patterning device and lithographic method
WO2010032224A3 (en) * 2008-09-22 2011-06-23 Asml Netherlands B.V. Lithographic apparatus, programmable patterning device and lithographic method
US9372412B2 (en) 2010-02-09 2016-06-21 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US9134630B2 (en) 2010-02-09 2015-09-15 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US9235140B2 (en) 2010-02-23 2016-01-12 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US9041911B2 (en) 2010-02-25 2015-05-26 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
DE102010016382B4 (en) 2010-04-09 2022-06-02 Leica Microsystems Cms Gmbh Fluorescence microscope and method for carrying out multi-positioning in a screening application
DE102010016382A1 (en) * 2010-04-09 2011-10-13 Leica Microsystems Cms Gmbh Fluorescence microscope and method for carrying out multiple positioning in a screening application
US9696633B2 (en) 2010-04-12 2017-07-04 Asml Netherlands B.V. Substrate handling apparatus and lithographic apparatus
CN101846890B (en) * 2010-05-13 2012-08-22 苏州苏大维格光电科技股份有限公司 Parallel photoetching write-through system
US9316926B2 (en) 2010-12-08 2016-04-19 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US9304401B2 (en) 2011-03-29 2016-04-05 Asml Netherlands B.V. Measurement of the position of a radiation beam spot in lithography
US9645502B2 (en) 2011-04-08 2017-05-09 Asml Netherlands B.V. Lithographic apparatus, programmable patterning device and lithographic method
US9513561B2 (en) 2011-04-21 2016-12-06 Asml Netherlands B.V. Lithographic apparatus, method for maintaining a lithographic apparatus and device manufacturing method
DE102011078817A1 (en) * 2011-06-17 2012-12-20 Siemens Aktiengesellschaft Method for the three-dimensional measurement of a body and device
US9690210B2 (en) 2011-08-18 2017-06-27 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US8896815B2 (en) 2011-10-31 2014-11-25 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US9696636B2 (en) 2011-11-29 2017-07-04 Asml Netherlands B.V. Lithographic apparatus, device manufacturing method and computer program
US10346729B2 (en) 2011-11-29 2019-07-09 Asml Netherlands B.V. Apparatus and method for converting a vector-based representation of a desired device pattern for a lithography apparatus, apparatus and method for providing data to a programmable patterning device, a lithography apparatus and a device manufacturing method
US9341960B2 (en) 2011-12-05 2016-05-17 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US9488921B2 (en) 2011-12-06 2016-11-08 Asml Netherlands B.V. Lithography apparatus, an apparatus for providing setpoint data, a device manufacturing method, a method of calculating setpoint data and a computer program
US9568831B2 (en) 2012-01-17 2017-02-14 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US9715183B2 (en) 2012-02-23 2017-07-25 Asml Netherlands B.V. Device, lithographic apparatus, method for guiding radiation and device manufacturing method
US9823576B2 (en) 2013-01-29 2017-11-21 Asml Netherlands B.V. Radiation modulator for a lithography apparatus, a lithography apparatus, a method of modulating radiation for use in lithography, and a device manufacturing method
US11828710B2 (en) 2015-03-31 2023-11-28 Samantree Medical Sa Systems and methods for in-operating-theatre imaging of fresh tissue resected during surgery for pathology assessment
US11609186B2 (en) 2015-03-31 2023-03-21 Samantree Medical Sa Systems and methods for in-operating-theatre imaging of fresh tissue resected during surgery for pathology assessment
US11460777B2 (en) 2016-12-20 2022-10-04 Ev Group E. Thallner Gmbh Method and device for exposure of photosensitive layer
US10852528B2 (en) 2016-12-20 2020-12-01 Ev Group E. Thallner Gmbh Method and device for exposure of photosensitive layer
US10816788B2 (en) 2017-10-31 2020-10-27 Samantree Medical Sa Imaging systems with micro optical element arrays and methods of specimen imaging
US11181728B2 (en) 2017-10-31 2021-11-23 Samantree Medical Sa Imaging systems with micro optical element arrays and methods of specimen imaging
US11333875B2 (en) 2017-10-31 2022-05-17 Samantree Medical Sa Sample dishes for use in microscopy and methods of their use
US10928621B2 (en) 2017-10-31 2021-02-23 Samantree Medical Sa Sample dishes for use in microscopy and methods of their use
WO2019086550A3 (en) * 2017-10-31 2019-06-13 Samantree Medical Sa Confocal scanning imaging systems with micro optical element arrays and methods of specimen imaging
US11609416B2 (en) 2017-10-31 2023-03-21 Samantree Medical Sa Imaging systems with micro optical element arrays and methods of specimen imaging
US10539776B2 (en) 2017-10-31 2020-01-21 Samantree Medical Sa Imaging systems with micro optical element arrays and methods of specimen imaging
US11747603B2 (en) 2017-10-31 2023-09-05 Samantree Medical Sa Imaging systems with micro optical element arrays and methods of specimen imaging
WO2019148998A1 (en) * 2018-02-05 2019-08-08 中山新诺科技股份有限公司 3d forming device and method employing dmd scan imaging

Also Published As

Publication number Publication date
EP0991959B1 (en) 2004-06-23
EP0991959A4 (en) 2000-04-12
US6133986A (en) 2000-10-17
DE69729659D1 (en) 2004-07-29
EP0991959A2 (en) 2000-04-12
JP2001500628A (en) 2001-01-16
WO1997034171A3 (en) 1998-02-26
AU1975197A (en) 1997-10-01
DE69729659T2 (en) 2005-06-23

Similar Documents

Publication Publication Date Title
US6133986A (en) Microlens scanner for microlithography and wide-field confocal microscopy
US6498685B1 (en) Maskless, microlens EUV lithography system
US7474385B2 (en) Adjustable resolution interferometric lithography system
US7898646B2 (en) Using an interferometer as a high speed variable attenuator
JP5496846B2 (en) Interferometric lithography apparatus
US6489984B1 (en) Pixel cross talk suppression in digital microprinters
US20070242253A1 (en) Moving beam with respect to diffractive optics in order to reduce interference patterns
KR100832901B1 (en) Lithographic apparatus and device manufacturing method using multiple exposures and multiple exposure types
KR102117986B1 (en) Method for driving spatial light modulator, method for forming pattern for exposure, exposure method, and exposure apparatus
KR20050044371A (en) Optical spot grid array printer
US9651874B2 (en) Scanned-spot-array DUV lithography system
US8692974B2 (en) Lithographic apparatus and device manufacturing method using pupil filling by telecentricity control
US20060001890A1 (en) Spatial light modulator as source module for DUV wavefront sensor
US7768627B2 (en) Illumination of a patterning device based on interference for use in a maskless lithography system
US7522323B2 (en) Method and apparatus for printing a pattern with improved focus correction and higher throughput
US7894140B2 (en) Compensation techniques for fluid and magnetic bearings
October Microlens scanner for microlithography and wide-field confocal microscopy
JP2011509401A (en) Folded optical encoder and its application
JP2554601C (en)

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): AL AM AT AU AZ BA BB BG BR BY CA CH CN CU CZ DE DK EE ES FI GB GE HU IL IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MD MG MK MN MW MX NO NZ PL PT RO RU SD SE SG SI SK TJ TM TR TT UA UG US UZ VN YU AM AZ BY KG KZ MD RU TJ TM

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): KE LS MW SD SZ UG AT BE CH DE DK ES FI FR GB GR IE IT LU MC NL PT SE BF BJ CF

121 Ep: the epo has been informed by wipo that ep was designated in this application
AK Designated states

Kind code of ref document: A3

Designated state(s): AL AM AT AU AZ BA BB BG BR BY CA CH CN CU CZ DE DK EE ES FI GB GE HU IL IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MD MG MK MN MW MX NO NZ PL PT RO RU SD SE SG SI SK TJ TM TR TT UA UG US UZ VN YU AM AZ BY KG KZ MD RU TJ TM

AL Designated countries for regional patents

Kind code of ref document: A3

Designated state(s): KE LS MW SD SZ UG AT BE CH DE DK ES FI FR GB GR IE IT LU MC NL PT SE BF BJ CF

DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
WWE Wipo information: entry into national phase

Ref document number: 1997907857

Country of ref document: EP

ENP Entry into the national phase

Ref country code: JP

Ref document number: 1997 532621

Kind code of ref document: A

Format of ref document f/p: F

REG Reference to national code

Ref country code: DE

Ref legal event code: 8642

NENP Non-entry into the national phase

Ref country code: CA

WWP Wipo information: published in national office

Ref document number: 1997907857

Country of ref document: EP

WWG Wipo information: grant in national office

Ref document number: 1997907857

Country of ref document: EP