WO1995033082A3 - Plasma treatment and apparatus in electronic device manufacture - Google Patents

Plasma treatment and apparatus in electronic device manufacture Download PDF

Info

Publication number
WO1995033082A3
WO1995033082A3 PCT/IB1995/000270 IB9500270W WO9533082A3 WO 1995033082 A3 WO1995033082 A3 WO 1995033082A3 IB 9500270 W IB9500270 W IB 9500270W WO 9533082 A3 WO9533082 A3 WO 9533082A3
Authority
WO
WIPO (PCT)
Prior art keywords
plasma treatment
electrode
area
mixture
perforated
Prior art date
Application number
PCT/IB1995/000270
Other languages
French (fr)
Other versions
WO1995033082A2 (en
Inventor
Andrew Leonard Goodyear
Ian Douglas French
Original Assignee
Philips Electronics Nv
Philips Norden Ab
Philips Electronics Uk Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Philips Electronics Nv, Philips Norden Ab, Philips Electronics Uk Ltd filed Critical Philips Electronics Nv
Priority to JP8500593A priority Critical patent/JPH09501272A/en
Priority to EP95913928A priority patent/EP0742848B1/en
Priority to DE69505234T priority patent/DE69505234T2/en
Publication of WO1995033082A2 publication Critical patent/WO1995033082A2/en
Publication of WO1995033082A3 publication Critical patent/WO1995033082A3/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45512Premixing before introduction in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F1/00Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics
    • G02F1/01Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour 
    • G02F1/13Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour  based on liquid crystals, e.g. single liquid crystal display cells
    • G02F1/133Constructional arrangements; Operation of liquid crystal cells; Circuit arrangements
    • G02F1/1333Constructional arrangements; Manufacturing methods
    • G02F1/1345Conductors connecting electrodes to cell terminals
    • G02F1/13454Drivers integrated on the active matrix substrate
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/935Gas flow control

Abstract

In the manufacture of a large-area electronic device such as a large-area liquid-crystal display device with thin-film address and drive circuitry, a plasma treatment is carried out on a device substrate (4) which is mounted on a supporting electrode (11) facing a perforated gas-feeding electrode (12). A reactive plasma (5) is generated in a space between the electrodes (11, 12) from a mixture of reaction gases which is fed into the space through at least the perforated electrode (12). The mixture of gases comprises a first reaction gas (e.g. SiH4) which is depleted at a faster rate in the plasma treatment than a second reaction gas (e.g. N2). Through an area (12b) of the perforated electrode, one or more second supply lines (22) feeds a secondary mixture which is richer in the first reaction gas than a primary mixture supplied by a first supply line (21). This arrangement permits the plasma treatment to be carried out more uniformly over the area of the supporting electrode (11).
PCT/IB1995/000270 1994-05-26 1995-04-18 Plasma treatment and apparatus in electronic device manufacture WO1995033082A2 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP8500593A JPH09501272A (en) 1994-05-26 1995-04-18 Plasma processing and apparatus during manufacturing of electronic device
EP95913928A EP0742848B1 (en) 1994-05-26 1995-04-18 Plasma treatment in electronic device manufacture
DE69505234T DE69505234T2 (en) 1994-05-26 1995-04-18 PLASMA TREATMENT IN THE PRODUCTION OF ELECTRONICS

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
GB9410567A GB9410567D0 (en) 1994-05-26 1994-05-26 Plasma treatment and apparatus in electronic device manufacture
GB9410567.3 1994-05-26

Publications (2)

Publication Number Publication Date
WO1995033082A2 WO1995033082A2 (en) 1995-12-07
WO1995033082A3 true WO1995033082A3 (en) 1996-01-11

Family

ID=10755751

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/IB1995/000270 WO1995033082A2 (en) 1994-05-26 1995-04-18 Plasma treatment and apparatus in electronic device manufacture

Country Status (6)

Country Link
US (1) US5532190A (en)
EP (1) EP0742848B1 (en)
JP (1) JPH09501272A (en)
DE (1) DE69505234T2 (en)
GB (1) GB9410567D0 (en)
WO (1) WO1995033082A2 (en)

Families Citing this family (105)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5683517A (en) * 1995-06-07 1997-11-04 Applied Materials, Inc. Plasma reactor with programmable reactant gas distribution
US5772771A (en) * 1995-12-13 1998-06-30 Applied Materials, Inc. Deposition chamber for improved deposition thickness uniformity
US6070551A (en) * 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
US6090210A (en) * 1996-07-24 2000-07-18 Applied Materials, Inc. Multi-zone gas flow control in a process chamber
TW415970B (en) * 1997-01-08 2000-12-21 Ebara Corp Vapor-phase film growth apparatus and gas ejection head
US5980686A (en) * 1998-04-15 1999-11-09 Applied Komatsu Technology, Inc. System and method for gas distribution in a dry etch process
JP3844274B2 (en) * 1998-06-25 2006-11-08 独立行政法人産業技術総合研究所 Plasma CVD apparatus and plasma CVD method
TW384502B (en) * 1998-08-27 2000-03-11 Winbond Electronics Corp Gas dispensing apparatus
US6230651B1 (en) * 1998-12-30 2001-05-15 Lam Research Corporation Gas injection system for plasma processing
KR100331544B1 (en) * 1999-01-18 2002-04-06 윤종용 Method for introducing gases into a reactor chamber and a shower head used therein
JP2000349078A (en) * 1999-06-03 2000-12-15 Mitsubishi Electric Corp Chemical vapor deposition apparatus and manufacture thereof
JP4487338B2 (en) * 1999-08-31 2010-06-23 東京エレクトロン株式会社 Film forming apparatus and film forming method
JP4378806B2 (en) * 1999-09-28 2009-12-09 日本電気株式会社 CVD apparatus and substrate cleaning method thereof
US7780867B1 (en) 1999-10-01 2010-08-24 Novellus Systems, Inc. Edge bevel removal of copper from silicon wafers
US6444039B1 (en) * 2000-03-07 2002-09-03 Simplus Systems Corporation Three-dimensional showerhead apparatus
JP2002184764A (en) * 2000-12-18 2002-06-28 Hitachi Ltd Plasma processing apparatus
US7378127B2 (en) * 2001-03-13 2008-05-27 Micron Technology, Inc. Chemical vapor deposition methods
US20060191637A1 (en) * 2001-06-21 2006-08-31 John Zajac Etching Apparatus and Process with Thickness and Uniformity Control
TWI224815B (en) * 2001-08-01 2004-12-01 Tokyo Electron Ltd Gas processing apparatus and gas processing method
US6676760B2 (en) 2001-08-16 2004-01-13 Appiled Materials, Inc. Process chamber having multiple gas distributors and method
US20030070620A1 (en) * 2001-10-15 2003-04-17 Cooperberg David J. Tunable multi-zone gas injection system
JP3961262B2 (en) * 2001-10-31 2007-08-22 ジーイー・メディカル・システムズ・グローバル・テクノロジー・カンパニー・エルエルシー X-ray generator
US7229666B2 (en) * 2002-01-22 2007-06-12 Micron Technology, Inc. Chemical vapor deposition method
WO2003065424A2 (en) * 2002-01-25 2003-08-07 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6787185B2 (en) * 2002-02-25 2004-09-07 Micron Technology, Inc. Deposition methods for improved delivery of metastable species
US7468104B2 (en) * 2002-05-17 2008-12-23 Micron Technology, Inc. Chemical vapor deposition apparatus and deposition method
US6838114B2 (en) 2002-05-24 2005-01-04 Micron Technology, Inc. Methods for controlling gas pulsing in processes for depositing materials onto micro-device workpieces
US6913652B2 (en) * 2002-06-17 2005-07-05 Applied Materials, Inc. Gas flow division in a wafer processing system having multiple chambers
US6955725B2 (en) 2002-08-15 2005-10-18 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US6887521B2 (en) * 2002-08-15 2005-05-03 Micron Technology, Inc. Gas delivery system for pulsed-type deposition processes used in the manufacturing of micro-devices
US20040082251A1 (en) * 2002-10-29 2004-04-29 Applied Materials, Inc. Apparatus for adjustable gas distribution for semiconductor substrate processing
US7534363B2 (en) * 2002-12-13 2009-05-19 Lam Research Corporation Method for providing uniform removal of organic material
US7169231B2 (en) * 2002-12-13 2007-01-30 Lam Research Corporation Gas distribution system with tuning gas
US20040112540A1 (en) * 2002-12-13 2004-06-17 Lam Research Corporation Uniform etch system
US20040118519A1 (en) * 2002-12-20 2004-06-24 Applied Materials, Inc. Blocker plate bypass design to improve clean rate at the edge of the chamber
US6926775B2 (en) 2003-02-11 2005-08-09 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
KR100505367B1 (en) * 2003-03-27 2005-08-04 주식회사 아이피에스 Reactor for depositing thin film on wafer
DE10320597A1 (en) * 2003-04-30 2004-12-02 Aixtron Ag Method and device for depositing semiconductor layers with two process gases, one of which is preconditioned
JP2007525822A (en) * 2003-05-30 2007-09-06 アヴィザ テクノロジー インコーポレイテッド Gas distribution system
US6829056B1 (en) 2003-08-21 2004-12-07 Michael Barnes Monitoring dimensions of features at different locations in the processing of substrates
US7581511B2 (en) 2003-10-10 2009-09-01 Micron Technology, Inc. Apparatus and methods for manufacturing microfeatures on workpieces using plasma vapor processes
US20050221020A1 (en) * 2004-03-30 2005-10-06 Tokyo Electron Limited Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US7572337B2 (en) * 2004-05-26 2009-08-11 Applied Materials, Inc. Blocker plate bypass to distribute gases in a chemical vapor deposition system
US7622005B2 (en) * 2004-05-26 2009-11-24 Applied Materials, Inc. Uniformity control for low flow process and chamber to chamber matching
US7699932B2 (en) * 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
US7534362B2 (en) * 2004-07-13 2009-05-19 Savas Stephen E Uniform etching system and process for large rectangular substrates
US20060045667A1 (en) * 2004-07-14 2006-03-02 Savas Stephen E Substrate handling system and process for manufacturing large substrates
US20060011582A1 (en) * 2004-07-14 2006-01-19 Savas Stephen E Fast isotropic etching system and process for large, non-circular substrates
JP4550507B2 (en) * 2004-07-26 2010-09-22 株式会社日立ハイテクノロジーズ Plasma processing equipment
US20060021703A1 (en) * 2004-07-29 2006-02-02 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
JP4522783B2 (en) * 2004-08-03 2010-08-11 株式会社日立ハイテクノロジーズ Plasma processing apparatus and plasma processing method
US20060062914A1 (en) * 2004-09-21 2006-03-23 Diwakar Garg Apparatus and process for surface treatment of substrate using an activated reactive gas
JP4934595B2 (en) * 2005-01-18 2012-05-16 エーエスエム アメリカ インコーポレイテッド Reactor for thin film growth
US7351285B2 (en) * 2005-03-29 2008-04-01 Tokyo Electron Limited Method and system for forming a variable thickness seed layer
JP4849829B2 (en) * 2005-05-15 2012-01-11 株式会社ソニー・コンピュータエンタテインメント Center device
KR20080034157A (en) * 2005-07-29 2008-04-18 에비자 테크놀로지, 인크. Gas manifold valve cluster
JP4673173B2 (en) * 2005-09-15 2011-04-20 株式会社日立ハイテクノロジーズ Plasma etching method
US8603248B2 (en) * 2006-02-10 2013-12-10 Veeco Instruments Inc. System and method for varying wafer surface temperature via wafer-carrier temperature offset
CN101460659B (en) * 2006-06-02 2011-12-07 应用材料股份有限公司 Gas flow control by differential pressure measurements
US8100081B1 (en) * 2006-06-30 2012-01-24 Novellus Systems, Inc. Edge removal of films using externally generated plasma species
US7605078B2 (en) * 2006-09-29 2009-10-20 Tokyo Electron Limited Integration of a variable thickness copper seed layer in copper metallization
US8715455B2 (en) * 2007-02-06 2014-05-06 Tokyo Electron Limited Multi-zone gas distribution system for a treatment system
US9732416B1 (en) 2007-04-18 2017-08-15 Novellus Systems, Inc. Wafer chuck with aerodynamic design for turbulence reduction
US8528498B2 (en) * 2007-06-29 2013-09-10 Lam Research Corporation Integrated steerability array arrangement for minimizing non-uniformity
US8197636B2 (en) * 2007-07-12 2012-06-12 Applied Materials, Inc. Systems for plasma enhanced chemical vapor deposition and bevel edge etching
US20090095221A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas concentric injection showerhead
KR101376336B1 (en) 2007-11-27 2014-03-18 한국에이에스엠지니텍 주식회사 Atomic layer deposition apparatus
JP2008166853A (en) * 2008-03-28 2008-07-17 Hitachi Ltd Plasma etching apparatus
US8419964B2 (en) 2008-08-27 2013-04-16 Novellus Systems, Inc. Apparatus and method for edge bevel removal of copper from silicon wafers
US8172646B2 (en) 2009-02-27 2012-05-08 Novellus Systems, Inc. Magnetically actuated chuck for edge bevel removal
CN101643904B (en) * 2009-08-27 2011-04-27 北京北方微电子基地设备工艺研究中心有限责任公司 Deep silicon etching device and intake system thereof
JP5380359B2 (en) * 2010-05-07 2014-01-08 株式会社日立製作所 Plasma etching apparatus and plasma etching processing method
US9441295B2 (en) * 2010-05-14 2016-09-13 Solarcity Corporation Multi-channel gas-delivery system
TWI507561B (en) * 2010-12-10 2015-11-11 Ind Tech Res Inst Showerhead integrating intake and exhaust
US9175393B1 (en) * 2011-08-31 2015-11-03 Alta Devices, Inc. Tiled showerhead for a semiconductor chemical vapor deposition reactor
US10066297B2 (en) * 2011-08-31 2018-09-04 Alta Devices, Inc. Tiled showerhead for a semiconductor chemical vapor deposition reactor
JP2013159798A (en) * 2012-02-02 2013-08-19 Mitsubishi Electric Corp Plasma cvd device
US9388494B2 (en) 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US9604249B2 (en) * 2012-07-26 2017-03-28 Applied Materials, Inc. Innovative top-coat approach for advanced device on-wafer particle performance
US20140120735A1 (en) * 2012-10-31 2014-05-01 Macronix International Co., Ltd. Semiconductor process gas flow control apparatus
JP6078354B2 (en) * 2013-01-24 2017-02-08 東京エレクトロン株式会社 Plasma processing equipment
US9399228B2 (en) * 2013-02-06 2016-07-26 Novellus Systems, Inc. Method and apparatus for purging and plasma suppression in a process chamber
US9865434B2 (en) 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
JP6158025B2 (en) * 2013-10-02 2017-07-05 株式会社ニューフレアテクノロジー Film forming apparatus and film forming method
US11414759B2 (en) * 2013-11-29 2022-08-16 Taiwan Semiconductor Manufacturing Co., Ltd Mechanisms for supplying process gas into wafer process apparatus
JP6559706B2 (en) 2014-01-27 2019-08-14 ビーコ インストルメンツ インコーポレイテッド Wafer carrier with holding pockets with compound radius for chemical vapor deposition systems
KR20160145087A (en) * 2014-04-09 2016-12-19 뷔흘러 알제나우 게엠베하 Gas distribution apparatus in a vacuum chamber, comprising a gas conducting device
US9793096B2 (en) * 2014-09-12 2017-10-17 Lam Research Corporation Systems and methods for suppressing parasitic plasma and reducing within-wafer non-uniformity
US20160359080A1 (en) 2015-06-07 2016-12-08 Solarcity Corporation System, method and apparatus for chemical vapor deposition
US10403515B2 (en) * 2015-09-24 2019-09-03 Applied Materials, Inc. Loadlock integrated bevel etcher system
US10358721B2 (en) * 2015-10-22 2019-07-23 Asm Ip Holding B.V. Semiconductor manufacturing system including deposition apparatus
US9758868B1 (en) 2016-03-10 2017-09-12 Lam Research Corporation Plasma suppression behind a showerhead through the use of increased pressure
US9748434B1 (en) 2016-05-24 2017-08-29 Tesla, Inc. Systems, method and apparatus for curing conductive paste
KR102553629B1 (en) * 2016-06-17 2023-07-11 삼성전자주식회사 Plasma processing apparatus
US10403474B2 (en) 2016-07-11 2019-09-03 Lam Research Corporation Collar, conical showerheads and/or top plates for reducing recirculation in a substrate processing system
US9954136B2 (en) 2016-08-03 2018-04-24 Tesla, Inc. Cassette optimized for an inline annealing system
US10115856B2 (en) 2016-10-31 2018-10-30 Tesla, Inc. System and method for curing conductive paste using induction heating
KR102546317B1 (en) * 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
TWI649446B (en) * 2017-03-15 2019-02-01 漢民科技股份有限公司 Detachable gas injectorused for semiconductor equipment
US10872803B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10872804B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US11047035B2 (en) 2018-02-23 2021-06-29 Applied Materials, Inc. Protective yttria coating for semiconductor equipment parts
KR102121598B1 (en) * 2018-05-29 2020-06-11 피에스케이홀딩스 (주) A baffle assembly and an apparatus for treating a substrate with the baffle
US11535938B2 (en) * 2021-03-03 2022-12-27 Sky Tech Inc. Shower head assembly and atomic layer deposition device

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4810322A (en) * 1986-11-03 1989-03-07 International Business Machines Corporation Anode plate for a parallel-plate reactive ion etching reactor
US4980204A (en) * 1987-11-27 1990-12-25 Fujitsu Limited Metal organic chemical vapor deposition method with controlled gas flow rate
US5269847A (en) * 1990-08-23 1993-12-14 Applied Materials, Inc. Variable rate distribution gas flow reaction chamber

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3854443A (en) * 1973-12-19 1974-12-17 Intel Corp Gas reactor for depositing thin films
JPS5687328A (en) * 1979-12-18 1981-07-15 Matsushita Electronics Corp Semiconductor treatment device
JPS615515A (en) * 1984-06-07 1986-01-11 Fujitsu Ltd Chemical vapor growth apparatus
JPS6187872A (en) * 1984-10-05 1986-05-06 Hitachi Ltd Anode electrode in parallel plane sheet type plasma cvd apparatus
JPS61261485A (en) * 1985-05-14 1986-11-19 Nec Kyushu Ltd Plasma etching device
JPS6281089A (en) * 1985-10-04 1987-04-14 藤好 克聡 Manufacturing high density conductive circuit and high density conductive circuit
JPS62290885A (en) * 1986-06-10 1987-12-17 Toshiba Corp Reactive ion etching device
JPS6353932A (en) * 1986-08-22 1988-03-08 Nec Corp Apparatus for growing thin film semiconductor wafer
JPH01223724A (en) * 1988-03-02 1989-09-06 Mitsubishi Electric Corp Chemical vapor growth device
GB9206086D0 (en) * 1992-03-20 1992-05-06 Philips Electronics Uk Ltd Manufacturing electronic devices comprising,e.g.tfts and mims
US5453124A (en) * 1992-12-30 1995-09-26 Texas Instruments Incorporated Programmable multizone gas injector for single-wafer semiconductor processing equipment

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4810322A (en) * 1986-11-03 1989-03-07 International Business Machines Corporation Anode plate for a parallel-plate reactive ion etching reactor
US4980204A (en) * 1987-11-27 1990-12-25 Fujitsu Limited Metal organic chemical vapor deposition method with controlled gas flow rate
US5269847A (en) * 1990-08-23 1993-12-14 Applied Materials, Inc. Variable rate distribution gas flow reaction chamber

Non-Patent Citations (3)

* Cited by examiner, † Cited by third party
Title
PATENT ABSTRACTS OF JAPAN, Vol. 11, No. 124, C-416; & JP,A,61 261 485 (NEC KYUSHU LTD), 19 November 1986. *
PATENT ABSTRACTS OF JAPAN, Vol. 12, No. 186, C-500; & JP,A,62 290 885 (TOSHIBA CORP), 17 December 1987. *
PATENT ABSTRACTS OF JAPAN, Vol. 5, No. 153, E-76; & JP,A,56 087 328 (MATSUSHITA DENSHI KOGYO K.K.), 15 July 1981. *

Also Published As

Publication number Publication date
EP0742848B1 (en) 1998-10-07
WO1995033082A2 (en) 1995-12-07
US5532190A (en) 1996-07-02
GB9410567D0 (en) 1994-07-13
DE69505234D1 (en) 1998-11-12
JPH09501272A (en) 1997-02-04
DE69505234T2 (en) 1999-05-20
EP0742848A1 (en) 1996-11-20

Similar Documents

Publication Publication Date Title
WO1995033082A3 (en) Plasma treatment and apparatus in electronic device manufacture
EP0786819A4 (en) Process for preparing thin-film transistor, process for preparing active matrix substrate, and liquid crystal display
AU6415294A (en) Method and apparatus for the combustion chemical vapor deposition of films and coatings
TW352457B (en) Chemical vapor phase growth apparatus (3)
JP2001524603A5 (en)
EP0936284A3 (en) Method and apparatus for producing thin films
DE59602132D1 (en) Method and device for treating substrate surfaces
TW357392B (en) Method and apparatus for removal of excessive material from perimeter of the substrate and method of application using the apparatus
GB9322966D0 (en) Method for making a semiconductor and apparatus for the same
EP1286382A3 (en) Atmospheric pressure plasma treatment apparatus and method
EP0885981A3 (en) Process and apparatus for treating substrates by means of ions produced by low voltage arc discharge
KR20040025597A (en) Method and apparatus for forming thin film
DK0605534T3 (en) Apparatus and Method for Rapid Plasma Treatments
WO2004001804A3 (en) Device for generation of reactive ions
JP2002294454A (en) Chemical vapor deposition apparatus
WO2003010809A1 (en) Plasma treating device and substrate mounting table
TW342520B (en) Cleaning electrode unit of hot CVD device
CA1269950C (en) Glow-discharge decomposition apparatus
TW494143B (en) Annealing an amorphous film using microwave energy
ZA9810064B (en) Process and device for the surface treatment of a substrate by an electrical discharge between two electrodes in a gas mixture
EP0364215A3 (en) Plasma etching apparatus
JPS56102577A (en) Method and device for forming thin film
JPS5391665A (en) Plasma cvd device
RU2003133288A (en) METHOD AND DEVICE FOR DEPOSITING AT LEAST PARTIAL CRYSTAL SILICON LAYER ON A SUBSTRATE
EP0961307A3 (en) Plasma CVD apparatus

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): JP

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): AT BE CH DE DK ES FR GB GR IE IT LU MC NL PT SE

WWE Wipo information: entry into national phase

Ref document number: 1995913928

Country of ref document: EP

AK Designated states

Kind code of ref document: A3

Designated state(s): JP

AL Designated countries for regional patents

Kind code of ref document: A3

Designated state(s): AT BE CH DE DK ES FR GB GR IE IT LU MC NL PT SE

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWP Wipo information: published in national office

Ref document number: 1995913928

Country of ref document: EP

WWG Wipo information: grant in national office

Ref document number: 1995913928

Country of ref document: EP