WO1995033082A2 - Plasma treatment and apparatus in electronic device manufacture - Google Patents

Plasma treatment and apparatus in electronic device manufacture Download PDF

Info

Publication number
WO1995033082A2
WO1995033082A2 PCT/IB1995/000270 IB9500270W WO9533082A2 WO 1995033082 A2 WO1995033082 A2 WO 1995033082A2 IB 9500270 W IB9500270 W IB 9500270W WO 9533082 A2 WO9533082 A2 WO 9533082A2
Authority
WO
WIPO (PCT)
Prior art keywords
area
mixture
electrode
gas
space
Prior art date
Application number
PCT/IB1995/000270
Other languages
French (fr)
Other versions
WO1995033082A3 (en
Inventor
Andrew Leonard Goodyear
Ian Douglas French
Original Assignee
Philips Electronics N.V.
Philips Norden Ab
Philips Electronics Uk Limited
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Philips Electronics N.V., Philips Norden Ab, Philips Electronics Uk Limited filed Critical Philips Electronics N.V.
Priority to JP8500593A priority Critical patent/JPH09501272A/en
Priority to EP95913928A priority patent/EP0742848B1/en
Priority to DE69505234T priority patent/DE69505234T2/en
Publication of WO1995033082A2 publication Critical patent/WO1995033082A2/en
Publication of WO1995033082A3 publication Critical patent/WO1995033082A3/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45512Premixing before introduction in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F1/00Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics
    • G02F1/01Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour 
    • G02F1/13Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour  based on liquid crystals, e.g. single liquid crystal display cells
    • G02F1/133Constructional arrangements; Operation of liquid crystal cells; Circuit arrangements
    • G02F1/1333Constructional arrangements; Manufacturing methods
    • G02F1/1345Conductors connecting electrodes to cell terminals
    • G02F1/13454Drivers integrated on the active matrix substrate
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/935Gas flow control

Definitions

  • This invention relates to methods of manufacturing electronic devices in which a plasma treatment (for example thin-film deposition or an etching treatment) is carried out on a device substrate which is mounted on a supporting electrode facing a perforated gas-feeding electrode.
  • the invention also relates to electronic devices manufactured by such a method and to apparatus for such plasma treatment.
  • the device may be a monolithic semiconductor integrated circuit, but the invention is especially advantageous for the manufacture of large-area devices which may comprise thin-film circuitry on an insulating substrate.
  • Such a device with thin-film circuitry may be, for example, an active-matrix liquid-crystal display or other flat panel display, or any other type of large area electronic device, for example, a thin- film data store or an image sensor.
  • Plasma treatments are often used in the manufacture of such electronic devices.
  • the plasma treatment is carried out on a device substrate which is mounted on a supporting electrode facing a perforated gas-feeding electrode in the apparatus.
  • a reactive plasma is generated in the space between the electrodes from a mixture of reaction gases which is fed into the space through at least the perforated electrode.
  • Patent Abstracts of Japan and the drawings of JP-A-56-87328 (hereby incorporated herein as reference material), such a method and apparatus are know in which the mixture of gases flows in a direction across the substrate from a first area of the space to which it is supplied by a first supply line, and a second supply line feeds a second area of the space through the perforated electrode; the second area is along the flow direction from the first area.
  • the gas mixture is supplied to the perforated electrode by the first supply line feeding a central area of the perforated electrode and by the second supply line feeding a peripheral area of the perforated electrode.
  • the gas flow over the substrate is radially outwards from the central area.
  • the same gas mixture is fed to both the peripheral and central areas, but at different rates which are controlled by a first mass flow meter on the first supply line and a second mass flow meter on the second supply line.
  • a plasma treatment may be used for the deposition of a silicon nitride film, for example from a mixture of silane (SiH 4 ) and ammonia (NH 3 ).
  • Plasmas used in processing treatments are extremely complex, and many of the details of physical and chemical interactions both within the plasma and with surfaces exposed to the plasma are not yet understood.
  • This situation pertains in even the well known silicon nitride deposition process from SiH 4 and NH 3 .
  • the present inventors find that, in spite of adjusting different flow rates in the peripheral and central areas, significant non-uniformities can still occur in the thickness, composition and quality of the deposited film.
  • the non-uniformities are especially noticeable when the film is deposited over large areas, for example with electrode areas of about 0.5m 2 (metre 2 ) and more, e.g of the order of 1m 2 (metre 2 ).
  • Similar non- uniformities can occur in other large-area plasma treatments, for example in plasma etching treatments using mixtures of reaction gases for etching over large areas.
  • a method of manufacturing an electronic device in which a plasma treatment is carried out on a device substrate which is mounted on a supporting electrode facing a perforated gas-feeding electrode, a reactive plasma being generated in a space between the electrodes from a mixture of reaction gases which is fed into the space through at least the perforated electrode, wherein the mixture of gases flows in a direction across the substrate from a first area of the space to which it is supplied by a first supply line, and a second supply line feeds a second area of the space through the perforated electrode, the second area being along the flow direction from the first area;
  • the mixture of gases comprises a first reaction gas which is depleted at a faster rate in the plasma treatment than a second reaction gas in the mixture, and in that the second supply line supplies a secondary mixture which is richer in the first reaction gas than a primary mixture supplied by the first supply line whereby the plasma treatment is carried out more uniformly over
  • plasma treatment apparatus comprising a chamber in which a supporting electrode is mounted facing a perforated gas-feeding electrode, means for applying an electric field between the electrodes for generating a reactive plasma in a space between the electrodes from a mixture of reaction gases which is fed into the space through the perforated electrode, first and second supply lines for supplying the gas mixture to the space, the first supply line feeding a first area of the space from which the gas mixture flows to a second area of the space, the second supply line feeding the second area through the perforated electrode, and gas outlet means from the chamber so located in relation to the supporting electrode that the gas mixture flows in a direction across the substrate from the first area;
  • the apparatus is characterised in that the first and second supply lines are connected to separate respective primary and secondary supplies of the mixture, each of which comprises a first reaction gas which is depleted at a faster rate in the plasma treatment than a second reaction gas in the mixture, and in that the secondary supply is richer in the first reaction gas than
  • the present invention is based on a recognition that non-uniformities in plasma treatments over large areas can result from the different reaction gases in the gas mixture being depleted at different rates in the particular treatment (whether deposition, or etching, or whatever) and that the effect of the different depletion rates over the large areas can be most easily compensated (at least partially) by supplying to the second area a mixture which is richer in the reaction gas which depletes at a faster rate.
  • This approach permits the gas parameters to be determined first by the desired device characteristics from the plasma treatment (e.g the composition and quality of a deposited film), and then it permits achievement of these gas parameters by controlling the flow rates and gas composition of the separate supply lines.
  • the plasma treatment may involve depositing material on a surface of the substrate.
  • the first reaction gas may be silane (SiH 4 )
  • the second reaction gas may comprise nitrogen
  • the deposited material may be silicon nitride.
  • the second reaction gas may be nitrogen (N 2 ) or ammonia (NH 3 ).
  • the plasma treatment may involve etching away material from a surface of the substrate.
  • the first reaction gas may be SF 6
  • the second reaction gas may be CHF 3
  • the etched away material may be silicon nitride or, for example, silicon carbide.
  • Methods and apparatus in accordance with the invention may be used with various reactor chamber geometries. The currently most popular arrangements involve either a radial gas flow or a longitudinal gas flow.
  • the first supply line may feed the gas mixture through a central area of the perforated electrode, and one or more second supply lines may feed the gas mixture through a peripheral area or areas of the perforated electrode, the direction of gas flow over the supporting electrode being radially outward from the first (central) area of the space.
  • the first supply line may feed a first peripheral area of the space through an inlet separate from the perforated electrode, and the direction of gas flow over the supporting electrode is longitudinally across the supporting electrode, from the first peripheral area to an opposite peripheral area of the space; one or more second supply lines feed the perforated electrode.
  • Figure 1 is a part cross-sectional view and a part schematic diagram of plasma-treatment apparatus in accordance with the present invention being used in the manufacture of an electronic device by a method in accordance with the invention;
  • Figures 2 and 3 are cross-sectional views of a part of the electronic device at stages in its manufacture in accordance with the present invention
  • Figure 4 is a part cross-sectional view and a part schematic diagram of another plasma-treatment apparatus in accordance with the invention.
  • FIG. 1 shows a plasma reactor of the so-called "capacitively-coupled large-area parallel-plate” type having a radial gas flow.
  • the reactor comprises a reaction chamber 10 in which a supporting electrode 11 is mounted facing a perforated electrode 12.
  • the plasma treatment is carried out at the surface of one or more device substrates 4(14) mounted on the supporting electrode 11.
  • the supporting electrode 11 is grounded.
  • a radio frequency voltage is applied to the perforated electrode 12 from an RF source 50 via an impedance matching network 52.
  • an AC electric field is applied between the electrodes 11 and 12, for generating a reactive plasma 5 in a space between the electrodes 11 and 12 from a mixture 25 of reaction gases which is fed out through the perforated electrode 12.
  • the assembly of the perforated electrode 12 and its gas supply means 21 and 22 form a so-called "shower head" which derives its name from the fact that the gases are fed into this space through the perforated electrode
  • the plasma reactor as described so far may be regarded as being of a known type such as is used for plasma-enhanced deposition or plasma etching of thin films in monolithic integrated circuits and/or in large-area electronic devices such as liquid-crystal displays.
  • Large-area electronic devices have substrates 4(14) of large area, as compared with monolithic integrated circuit wafers. One or more of these substrates 4(14) are mounted on the electrode 11 which thus also is of large area. Consequently, a very large reaction chamber 10 is required.
  • first and second supply lines 21 and 22 for supplying the gas mixture 25 to the perforated electrode 12.
  • the first supply line 21 may feed a central area 12a of the perforated electrode 12
  • a second supply line 22 may feed an annular peripheral area 12b of the perforated electrode 12.
  • the chamber 10 has gas outlets 29 connected to a pump (not shown) for sustaining an appropriate pressure in the chamber 10. These outlets 29 are so located in relation to the supporting electrode 11 that the gas flow 28 over the supporting electrode 11 (and the substrate or substrates 4(14) thereon) is radially outwards from the central area.
  • the radially-flowing gases from the shower-head 12 are consumed to varying extents by the process reactions in the plasma space.
  • the distribution of gases within the process chamber 10 will therefore be a function of the utilisation rates of the individual gases, as well as a function of the flow arrangement from the perforated electrode areas 12a and 12b. In many cases, severe process non-uniformities result if the present invention is not employed.
  • SiH 4 and N 2 for the plasma enhanced deposition of a thin film 32 of silicon nitride (e.g possibly for MIMs as illustrated in Figures 2 and 3)
  • the inventors have found that SiH 4 is so much more reactive as to be 20% to 30% depleted in the peripheral areas as compared with the composition in the central area; whereas the N 2 is only 0.05% to 0.2% depleted in the peripheral areas as compared with the composition in the central area.
  • the resulting non-uniformity in composition over the whole area of the deposited silicon nitride film 32 can significantly vary the characteristics of MIMs and any other thin-film circuit elements (e.g TFTs) which are formed from the film. Similar non-uniformities occur with the large area deposition of other materials (for example silicon oxynitride and silicon carbide), and may also occur with plasma etching of large areas.
  • the firsthand second supply Jines 21 and 22 are connected to separate respective primary and secondary supplies (23a, 24a, 55, 56) and (23b,24b,55,56) of the gas mixture.
  • Each supply comprises a gas cylinder 55 containing the first reaction gas (e.g SiH 4 ) which is depleted at a faster rate in the plasma treatment than a second reaction gas (e.g N 2 or NH 3 ) from a gas cylinder 56.
  • the first reaction gas e.g SiH 4
  • second reaction gas e.g N 2 or NH 3
  • the cylinders 55 and 56 are connected to a valve 26a of the supply line 22 by respective mass flow meters 23a and 24a.
  • the cylinders 55 and 56 are connected to a valve 26 of the line 21 by respective mass flow meters 23b and 24b.
  • the settings of the meters 23a, 23b, 24a, 24b are such that more of the first reaction gas (e.g SiH 4 ) flows from meter 23b than from meter 23a, and/or less of the second reaction gas (e.g N 2 ) flows from meter 24b than from meter 24a.
  • the secondary supply (23b, 24b, 55, 56) provides a peripheral gas mixture 25b which is richer in the first reaction gas than the primary supply (23a, 24a, 55, 56) which provides a central mixture 25a through the electrode area 12a.
  • This richer supply of the first reaction gas in the peripheral mixture 25b can at least partially compensate for the depletion of the first reaction gas at the peripheral areas of the supporting electrode 11. In this way the plasma treatment may be carried out more uniformly over the whole area of the supporting electrode 11.
  • this approach to improved uniformity permits the main aspects of the process performance (e.g temperature, pressure, composition of the primary gas mixture 25a, flow rates etc) to be optimised first, after which fine tuning of the gas compositions with one or more secondary supplies (23b, 24b, 55, 56) can be performed to adjust the gas mixture(s) 25b so as to compensate for uniformities observed to occur in the operation of that plasma process in the particular equipment.
  • Figure 1 illustrates two device substrates 4(14) mounted on the supporting electrode 11
  • the present invention is especially advantageous for achieving good uniformity over extremely large device substrates 4(14) e.g a device substrate which is so large that only one such substrate 4(14) can be accommodated on the supporting electrode 11 of the plasma-treatment apparatus.
  • the device comprises thin film circuit elements of the MIM type (derived as an acronym from the English "metallic-jnsulative-metallic” switching diodes) and of the TFT type (derived as an acronym from the English “thin film transistors”).
  • MIM metal-jnsulative-metallic
  • TFT thin film transistors
  • the method of manufacturing this device may be similar to that described in published European Patent Application EP-A-0 561 462 (our reference PHB 33784), the whole contents of which are hereby incorporated herein as reference material.
  • the present Figures 2 and 3 correspond to Figures 4 and 2 respectively of EP-A-0 561
  • the device manufactured in accordance with the present Figures 2 and 3 may be, for example, of similar design to the active matrix device of Figure 1 of EP-A-0 561 462, including a MIM switching array driven by TFT circuitry.
  • the thin-film circuit design and the materials and other parameters of the different features shown in Figures 2 and 3 may be similar to those described in EP-A-0 561 462.
  • the device substrate 4(14) may comprise a plate 14 of glass or other low-cost insulating material.
  • An electrode pattern 18,30 and 31 may be formed on the insulating plate 14. There is then deposited on this plate 14 with the electrode pattern 18,30 and 31 , a thin film 32 of silicon nitride or of another insulating non-stoichiometric compound material of silicon alloyed with at least one other element.
  • This insulating material may have properties suitable for forming the insulating layer of the MIM devices 53,32,30 as illustrated in Figur
  • the nature of the insulating material 32 may also be such that it may b 'sverted into semiconductive cry ⁇ ⁇ e silicon material by heating with a ._- _r beam in accordance with the ion disclosed in EP-A-0 561 462.
  • the supporting electrode 11 comprises a carrier plate 11 b on which the device substrates
  • the carrier plate 11b may be mounted on a track via which the device substrates 4(14) may be moved through the apparatus, from one reaction chamber 10 to another reaction chamber 10 for different plasma treatments.
  • the device substrates 4(14) may be heated to a low temperature, for example about 200 to 250°C.
  • the gas mixture 25a fed to the central area 12a from the primary supply 23a, 24a, 55, 56 may comprise SiH 4 and N 2 in a ratio of 1 :40.
  • the gas mixture 25b fed to the peripheral area 12b from the secondary supply (23b,24b,55,56) comprises SiH 4 and N 2 in a smaller ratio, for example 1 :25 or 1 :30, depending on the flow rates, the relative areas of the peripheral portion 12b and the central portion 12a of the perforated electrode 12, and the area of the supporting electrode 11.
  • the overall areas of the electrodes 11 and 12 may be in the range of 0.5m 2 to 1m 2 ; the diameter of the central area 12a (fed by supply line 21) may be 40% to 60% of the total diameter of the perforated electrode 12; the openings in the perforated electrode 12 for feeding the gas mixtures 25a and 25b into the space between the electrodes 11 and 12 may be less than 1 mm wide; the size of the space between the electrodes 11 and 12 may be in the range of 3cm to 4cm; and the RF frequency of the source 50 may be a conventional 13.56 MHz; the flow rate of the SiH 4 through the flow meters 23a and 23b may be the same at, for example, 1cm 3 per minute; the N 2 flow rate through the meter 24a may be in the range of 35-40cm 3 per minute, whereas the N 2 flow rate through the meter 24b may be in the range of 25 to 30 cm 3 per minute.
  • a further reaction gas e.g H 2
  • a H 2 gas cylinder may be connected to valves 26a and 26b via respective mass flow meters, so that a H 2 gas flow at a rate in the range of, for example, 0 to 20cm 3 per minute may be fed to both the lines 21 and 22.
  • the fabrication of the MIM devices and TFTs involves a photolithographic and etching stage for etching away excess areas of the thin film throughout its thickness.
  • This etching stage may also be carried out in a plasma reactor such as that illustrated in Figure 1.
  • Various gas mixtures may be used for the plasma, for example SF 6 and CHF 3 .
  • the gas mixture 25b fed to the peripheral area 12b from the second supply 55b is richer in one reaction gas than the mixture 25a fed to the central area 12a from the first supply 55a, the compositions being adjusted in order to compensate for non- uniformities in etch rates and etch profiles.
  • the device substrate 4(14) is further processed as described in EP-A-0 561 462 to form the completed large-area electronic device, for example a liquid-crystal display device.
  • the second reaction gas may be NH 3 instead of N 2 .
  • gaseous mixtures may be used comprising SiH 4 as the first reaction gas and NH 3 and nitrous oxide (N 2 0) as second reaction gases.
  • gaseous mixtures may be used comprising SiH 4 as the first reaction gas and methane (CH 4 ) as a second reaction gas; hydrogen (H 2 ) may also be included.
  • the peripheral mixture 25b from the second supply 55b is richer in SiH 4 than the central mixture 25a from the first supply 55a.
  • the gas outlets 29 may be present at the periphery of the reaction chamber 10 while still causing a radially outward flow 28 over the supporting electrode 11.
  • the shower head 12,21 ,22 of Figure 1 comprises only one peripheral feed 22.
  • reactors in accordance with the present invention may comprise two or more concentric peripheral feeds 22 each with their own flow controller 23 and their own supplies 55b. The more peripheral of these separate supplies 55b may be richer in the first reaction gas than the less peripheral supplies 55b.
  • a shower head incorporating concentric feed areas 12a, 12b, 12c etc may be used with a slidable piston to control the extent of the areas
  • the chamber geometry may provide a radially inward gas flow, e.g by having only one gas outlet 29 which is located at the centre of the supporting electrode 11.
  • the flow rates through the meters 23a, 23b, 24a and 24b are such that the central mixture 25a is richer in the first reaction gas (e.g SiH 4 ) than the peripheral mixture 25b.
  • the invention may be used with other chamber geometries, for example those having a longitudinal gas flow 28 over the supporting electrode 11.
  • a plasma reactor with longitudinal flow 28 is illustrated in Figure 4.
  • the first supply line 21 feeds a first peripheral area of the space through an inlet 62 which is separate from the perforated electrode 12.
  • the inlet 62 may be a pipe having openings along its length to feed the gas mixture 25a uniformly into one side of the chamber 10; this perforated pipe 62 is shown in cross-section in Figure 4.
  • the chamber 10 has an outlet 29 at the opposite side.
  • the direction of gas flow 28 over the supporting electrode 1 1 is longitudinally across the electrode
  • Two secondary gas mixtures 25b and 25c are fed into the plasma space through the perforated electrode 12 at two successive locations 12b and 12c along the flow direction 28.
  • the gas mixture 25b from a secondary supply (23b, 24b, 55, 56) is richer in the depleting first reaction gas (e.g SiH 4 ) than the primary gas mixture 25a from the primary supply (23a, 24a, 55, 56); and the gas mixture 25c from a secondary supply (23c,24c,55,56) is richer in the depleting first reaction gas (e.g SiH 4 ) than the gas mixture 25b from the supply (23b,24b,55,56).
  • the depleting first reaction gas e.g SiH 4
  • these primary and secondary supplies are illustrated as separate entities. In practice, as in Figure 1 , these separate supplies are likely to share a common gas cylinder 55 (e.g of SiH 4 ) and a common gas cylinder 56 (e.g of N 2 ) and to derive the gas flows from the cylinders via separate mass flow controllers 23a, 24a for the primary supply 25a, via separate mass flow controllers 23b,24b for the secondary supply 25b, and via separate mass flow controllers 23c,24c for the secondary supply 25c. In the chamber arrangement shown in Figure 4, the primary gas mixture 25a is also fed to a first area 12a of the shower head, adjacent to the separate inlet 62. From reading the present disclosure, other variations and modifications will be apparent to persons skilled in the art.
  • a common gas cylinder 55 e.g of SiH 4
  • a common gas cylinder 56 e.g of N 2

Abstract

In the manufacture of a large-area electronic device such as a large-area liquid-crystal display device with thin-film address and drive circuitry, a plasma treatment is carried out on a device substrate (4) which is mounted on a supporting electrode (11) facing a perforated gas-feeding electrode (12). A reactive plasma (5) is generated in a space between the electrodes (11, 12) from a mixture of reaction gases which is fed into the space through at least the perforated electrode (12). The mixture of gases comprises a first reaction gas (e.g. SiH4) which is depleted at a faster rate in the plasma treatment than a second reaction gas (e.g. N2). Through an area (12b) of the perforated electrode, one or more second supply lines (22) feeds a secondary mixture which is richer in the first reaction gas than a primary mixture supplied by a first supply line (21). This arrangement permits the plasma treatment to be carried out more uniformly over the area of the supporting electrode (11).

Description

DESCRIPTION
PLASMA TREATMENT AND APPARATUS IN ELECTRONIC DEVICE MANUFACTURE
This invention relates to methods of manufacturing electronic devices in which a plasma treatment (for example thin-film deposition or an etching treatment) is carried out on a device substrate which is mounted on a supporting electrode facing a perforated gas-feeding electrode. The invention also relates to electronic devices manufactured by such a method and to apparatus for such plasma treatment. The device may be a monolithic semiconductor integrated circuit, but the invention is especially advantageous for the manufacture of large-area devices which may comprise thin-film circuitry on an insulating substrate. Such a device with thin-film circuitry may be, for example, an active-matrix liquid-crystal display or other flat panel display, or any other type of large area electronic device, for example, a thin- film data store or an image sensor.
Plasma treatments are often used in the manufacture of such electronic devices. The plasma treatment is carried out on a device substrate which is mounted on a supporting electrode facing a perforated gas-feeding electrode in the apparatus. A reactive plasma is generated in the space between the electrodes from a mixture of reaction gases which is fed into the space through at least the perforated electrode. From the English language abstract of published Japanese Patent Application Kokai JP-A-56-87328 in
Patent Abstracts of Japan and the drawings of JP-A-56-87328 (hereby incorporated herein as reference material), such a method and apparatus are know in which the mixture of gases flows in a direction across the substrate from a first area of the space to which it is supplied by a first supply line, and a second supply line feeds a second area of the space through the perforated electrode; the second area is along the flow direction from the first area. In the arrangement of JP-A-56-87328, the gas mixture is supplied to the perforated electrode by the first supply line feeding a central area of the perforated electrode and by the second supply line feeding a peripheral area of the perforated electrode. The gas flow over the substrate is radially outwards from the central area. In this known apparatus and method the same gas mixture is fed to both the peripheral and central areas, but at different rates which are controlled by a first mass flow meter on the first supply line and a second mass flow meter on the second supply line. This permits a reduction of non-uniformities in the plasma between the central area and the peripheral area. Such a plasma treatment may be used for the deposition of a silicon nitride film, for example from a mixture of silane (SiH4) and ammonia (NH3).
Plasmas used in processing treatments are extremely complex, and many of the details of physical and chemical interactions both within the plasma and with surfaces exposed to the plasma are not yet understood.
This situation pertains in even the well known silicon nitride deposition process from SiH4 and NH3. The present inventors find that, in spite of adjusting different flow rates in the peripheral and central areas, significant non-uniformities can still occur in the thickness, composition and quality of the deposited film. The non-uniformities are especially noticeable when the film is deposited over large areas, for example with electrode areas of about 0.5m2 (metre2) and more, e.g of the order of 1m2 (metre2). Similar non- uniformities can occur in other large-area plasma treatments, for example in plasma etching treatments using mixtures of reaction gases for etching over large areas.
It is an aim of the present invention to provide a means of correcting for, or at least reducing, such non-uniformities.
According to a first aspect of the present invention, there is provided a method of manufacturing an electronic device in which a plasma treatment is carried out on a device substrate which is mounted on a supporting electrode facing a perforated gas-feeding electrode, a reactive plasma being generated in a space between the electrodes from a mixture of reaction gases which is fed into the space through at least the perforated electrode, wherein the mixture of gases flows in a direction across the substrate from a first area of the space to which it is supplied by a first supply line, and a second supply line feeds a second area of the space through the perforated electrode, the second area being along the flow direction from the first area; such a method in accordance with the present invention is characterised in that the mixture of gases comprises a first reaction gas which is depleted at a faster rate in the plasma treatment than a second reaction gas in the mixture, and in that the second supply line supplies a secondary mixture which is richer in the first reaction gas than a primary mixture supplied by the first supply line whereby the plasma treatment is carried out more uniformly over the area of the supporting electrode.
According to a second aspect of the invention there is provided plasma treatment apparatus comprising a chamber in which a supporting electrode is mounted facing a perforated gas-feeding electrode, means for applying an electric field between the electrodes for generating a reactive plasma in a space between the electrodes from a mixture of reaction gases which is fed into the space through the perforated electrode, first and second supply lines for supplying the gas mixture to the space, the first supply line feeding a first area of the space from which the gas mixture flows to a second area of the space, the second supply line feeding the second area through the perforated electrode, and gas outlet means from the chamber so located in relation to the supporting electrode that the gas mixture flows in a direction across the substrate from the first area; in accordance with the present invention the apparatus is characterised in that the first and second supply lines are connected to separate respective primary and secondary supplies of the mixture, each of which comprises a first reaction gas which is depleted at a faster rate in the plasma treatment than a second reaction gas in the mixture, and in that the secondary supply is richer in the first reaction gas than the primary supply, whereby the plasma treatment is carried out more uniformly over the area of the supporting electrode. The present invention is based on a recognition that non-uniformities in plasma treatments over large areas can result from the different reaction gases in the gas mixture being depleted at different rates in the particular treatment (whether deposition, or etching, or whatever) and that the effect of the different depletion rates over the large areas can be most easily compensated (at least partially) by supplying to the second area a mixture which is richer in the reaction gas which depletes at a faster rate. This approach permits the gas parameters to be determined first by the desired device characteristics from the plasma treatment (e.g the composition and quality of a deposited film), and then it permits achievement of these gas parameters by controlling the flow rates and gas composition of the separate supply lines.
The plasma treatment may involve depositing material on a surface of the substrate. In a particular example, the first reaction gas may be silane (SiH4), the second reaction gas may comprise nitrogen, and the deposited material may be silicon nitride. In this example the second reaction gas may be nitrogen (N2) or ammonia (NH3).
The plasma treatment may involve etching away material from a surface of the substrate. In a particular example, the first reaction gas may be SF6, the second reaction gas may be CHF3, and the etched away material may be silicon nitride or, for example, silicon carbide. The different primary and secondary mixtures in accordance with the invention may be utilised in this situation to compensate for changes in etch rate and etch profile over large areas. Methods and apparatus in accordance with the invention may be used with various reactor chamber geometries. The currently most popular arrangements involve either a radial gas flow or a longitudinal gas flow. In the first case, the first supply line may feed the gas mixture through a central area of the perforated electrode, and one or more second supply lines may feed the gas mixture through a peripheral area or areas of the perforated electrode, the direction of gas flow over the supporting electrode being radially outward from the first (central) area of the space. As described below, an arrangement with a radially inward gas flow is also possible. In the second case, the first supply line may feed a first peripheral area of the space through an inlet separate from the perforated electrode, and the direction of gas flow over the supporting electrode is longitudinally across the supporting electrode, from the first peripheral area to an opposite peripheral area of the space; one or more second supply lines feed the perforated electrode.
These and other features of the present invention, and their advantages, are illustrated specifically in embodiments of the invention now to be described, by way of example, with reference to the accompanying diagrammatic drawings, in which:
Figure 1 is a part cross-sectional view and a part schematic diagram of plasma-treatment apparatus in accordance with the present invention being used in the manufacture of an electronic device by a method in accordance with the invention;
Figures 2 and 3 are cross-sectional views of a part of the electronic device at stages in its manufacture in accordance with the present invention; and Figure 4 is a part cross-sectional view and a part schematic diagram of another plasma-treatment apparatus in accordance with the invention.
It should be noted that all the drawings are diagrammatic and not drawn to scale. Relative dimensions and proportions of parts of these Figures have been shown exaggerated or reduced in size for the sake of clarity and convenience in the drawings. The same reference signs are generally used to refer to corresponding or similar features in the different embodiments.
Figure 1 shows a plasma reactor of the so-called "capacitively-coupled large-area parallel-plate" type having a radial gas flow. The reactor comprises a reaction chamber 10 in which a supporting electrode 11 is mounted facing a perforated electrode 12. The plasma treatment is carried out at the surface of one or more device substrates 4(14) mounted on the supporting electrode 11. The supporting electrode 11 is grounded. A radio frequency voltage is applied to the perforated electrode 12 from an RF source 50 via an impedance matching network 52. By this means 50,52, an AC electric field is applied between the electrodes 11 and 12, for generating a reactive plasma 5 in a space between the electrodes 11 and 12 from a mixture 25 of reaction gases which is fed out through the perforated electrode 12. The assembly of the perforated electrode 12 and its gas supply means 21 and 22 form a so-called "shower head" which derives its name from the fact that the gases are fed into this space through the perforated electrode
12. The plasma reactor as described so far may be regarded as being of a known type such as is used for plasma-enhanced deposition or plasma etching of thin films in monolithic integrated circuits and/or in large-area electronic devices such as liquid-crystal displays. Large-area electronic devices have substrates 4(14) of large area, as compared with monolithic integrated circuit wafers. One or more of these substrates 4(14) are mounted on the electrode 11 which thus also is of large area. Consequently, a very large reaction chamber 10 is required. For large flat-panel displays and other large-area electronic devices with thin-film circuit elements the trend is towards electrode areas of the order of 1m2 (metre2).
Especially in this thin-film circuit application, good uniformity in the plasma treatment is required over large areas of the supporting electrode 11. For this purpose, it is advantageous to have several (e.g first and second) supply lines 21 and 22 for supplying the gas mixture 25 to the perforated electrode 12. Thus, as illustrated in Figure 1, the first supply line 21 may feed a central area 12a of the perforated electrode 12, and a second supply line 22 may feed an annular peripheral area 12b of the perforated electrode 12. The chamber 10 has gas outlets 29 connected to a pump (not shown) for sustaining an appropriate pressure in the chamber 10. These outlets 29 are so located in relation to the supporting electrode 11 that the gas flow 28 over the supporting electrode 11 (and the substrate or substrates 4(14) thereon) is radially outwards from the central area. It is both normal practice and desirable practice to optimise first the main aspects of the plasma treatment process (e.g temperature, pressure, the reaction gases, composition of gas mixture, flow rates etc) so as to achieve the desired properties for the deposited film (or whatever else) that results from the plasma treatment. However, if an identical gas composition is fed via the lines 21 and 22, the present inventors find that (even with adjustment of different flow rates in the separate supply lines 21 and 22) significant depletion of one reaction gas can occur in the plasma reaction in the gas phase and at the surface of the device substrate 4(14) before the gas flow 28 reaches a/the substrate 4(14) at a peripheral area of a large area electrode 11 , and so non-uniform deposition or etching occurs over the total area. Thus, the radially-flowing gases from the shower-head 12 are consumed to varying extents by the process reactions in the plasma space. The distribution of gases within the process chamber 10 will therefore be a function of the utilisation rates of the individual gases, as well as a function of the flow arrangement from the perforated electrode areas 12a and 12b. In many cases, severe process non-uniformities result if the present invention is not employed.
In a particular example with SiH4 and N2 for the plasma enhanced deposition of a thin film 32 of silicon nitride (e.g possibly for MIMs as illustrated in Figures 2 and 3), the inventors have found that SiH4 is so much more reactive as to be 20% to 30% depleted in the peripheral areas as compared with the composition in the central area; whereas the N2 is only 0.05% to 0.2% depleted in the peripheral areas as compared with the composition in the central area. The resulting non-uniformity in composition over the whole area of the deposited silicon nitride film 32 can significantly vary the characteristics of MIMs and any other thin-film circuit elements (e.g TFTs) which are formed from the film. Similar non-uniformities occur with the large area deposition of other materials (for example silicon oxynitride and silicon carbide), and may also occur with plasma etching of large areas.
In order to avoid such non-uniformities in accordance with the present invention, the firsthand second supply Jines 21 and 22 are connected to separate respective primary and secondary supplies (23a, 24a, 55, 56) and (23b,24b,55,56) of the gas mixture. Each supply comprises a gas cylinder 55 containing the first reaction gas (e.g SiH4) which is depleted at a faster rate in the plasma treatment than a second reaction gas (e.g N2 or NH3) from a gas cylinder 56. In the arrangement illustrated by way of an example in
Figure 1 , both the primary supply (23a, 24a, 55, 56) and the secondary supply (23b, 24b, 55, 56) share a common first cylinder 55 and a common second cylinder 56. In the primary supply, the cylinders 55 and 56 are connected to a valve 26a of the supply line 22 by respective mass flow meters 23a and 24a. In the secondary supply, the cylinders 55 and 56 are connected to a valve 26 of the line 21 by respective mass flow meters 23b and 24b. The settings of the meters 23a, 23b, 24a, 24b are such that more of the first reaction gas (e.g SiH4) flows from meter 23b than from meter 23a, and/or less of the second reaction gas (e.g N2) flows from meter 24b than from meter 24a. In this way, the secondary supply (23b, 24b, 55, 56) provides a peripheral gas mixture 25b which is richer in the first reaction gas than the primary supply (23a, 24a, 55, 56) which provides a central mixture 25a through the electrode area 12a.
This richer supply of the first reaction gas in the peripheral mixture 25b can at least partially compensate for the depletion of the first reaction gas at the peripheral areas of the supporting electrode 11. In this way the plasma treatment may be carried out more uniformly over the whole area of the supporting electrode 11. Furthermore, this approach to improved uniformity permits the main aspects of the process performance (e.g temperature, pressure, composition of the primary gas mixture 25a, flow rates etc) to be optimised first, after which fine tuning of the gas compositions with one or more secondary supplies (23b, 24b, 55, 56) can be performed to adjust the gas mixture(s) 25b so as to compensate for uniformities observed to occur in the operation of that plasma process in the particular equipment. Furthermore, although Figure 1 illustrates two device substrates 4(14) mounted on the supporting electrode 11 , the present invention is especially advantageous for achieving good uniformity over extremely large device substrates 4(14) e.g a device substrate which is so large that only one such substrate 4(14) can be accommodated on the supporting electrode 11 of the plasma-treatment apparatus.
A specific example of the use of the present invention in the manufacture of a large-area electronic device will now be described with reference to Figures 2 and 3.
The device comprises thin film circuit elements of the MIM type (derived as an acronym from the English "metallic-jnsulative-metallic" switching diodes) and of the TFT type (derived as an acronym from the English "thin film transistors"). Apart from the use of a plasma reactor in accordance with the present invention, the method of manufacturing this device may be similar to that described in published European Patent Application EP-A-0 561 462 (our reference PHB 33784), the whole contents of which are hereby incorporated herein as reference material. The present Figures 2 and 3 correspond to Figures 4 and 2 respectively of EP-A-0 561
462 and have corresponding reference signs to those used in EP-A-0 561 462. The device manufactured in accordance with the present Figures 2 and 3 may be, for example, of similar design to the active matrix device of Figure 1 of EP-A-0 561 462, including a MIM switching array driven by TFT circuitry. The thin-film circuit design and the materials and other parameters of the different features shown in Figures 2 and 3 may be similar to those described in EP-A-0 561 462.
Thus the device substrate 4(14) may comprise a plate 14 of glass or other low-cost insulating material. An electrode pattern 18,30 and 31 may be formed on the insulating plate 14. There is then deposited on this plate 14 with the electrode pattern 18,30 and 31 , a thin film 32 of silicon nitride or of another insulating non-stoichiometric compound material of silicon alloyed with at least one other element. This insulating material may have properties suitable for forming the insulating layer of the MIM devices 53,32,30 as illustrated in Figur The nature of the insulating material 32 may also be such that it may b 'sverted into semiconductive cryε ιe silicon material by heating with a ._- _r beam in accordance with the ion disclosed in EP-A-0 561 462.
In order to achieve good uniformity of the insulating film 32 over the whole substrate area or areas on the supporting electrode 11 , this deposition is carried out in a reactor such as that illustrated in Figure 1. The supporting electrode 11 comprises a carrier plate 11 b on which the device substrates
4(14) are mounted, and a susceptor plate 11a via which the device substrates 4(14) are heated. Depending on the type of reactor, the carrier plate 11b may be mounted on a track via which the device substrates 4(14) may be moved through the apparatus, from one reaction chamber 10 to another reaction chamber 10 for different plasma treatments.
For the deposition of a suitable non-stoichiometric silicon nitride film 32, the device substrates 4(14) may be heated to a low temperature, for example about 200 to 250°C. The gas mixture 25a fed to the central area 12a from the primary supply 23a, 24a, 55, 56 may comprise SiH4 and N2 in a ratio of 1 :40. The gas mixture 25b fed to the peripheral area 12b from the secondary supply (23b,24b,55,56) comprises SiH4 and N2 in a smaller ratio, for example 1 :25 or 1 :30, depending on the flow rates, the relative areas of the peripheral portion 12b and the central portion 12a of the perforated electrode 12, and the area of the supporting electrode 11. In a specific example, the overall areas of the electrodes 11 and 12 may be in the range of 0.5m2 to 1m2; the diameter of the central area 12a (fed by supply line 21) may be 40% to 60% of the total diameter of the perforated electrode 12; the openings in the perforated electrode 12 for feeding the gas mixtures 25a and 25b into the space between the electrodes 11 and 12 may be less than 1 mm wide; the size of the space between the electrodes 11 and 12 may be in the range of 3cm to 4cm; and the RF frequency of the source 50 may be a conventional 13.56 MHz; the flow rate of the SiH4 through the flow meters 23a and 23b may be the same at, for example, 1cm3 per minute; the N2 flow rate through the meter 24a may be in the range of 35-40cm3 per minute, whereas the N2 flow rate through the meter 24b may be in the range of 25 to 30 cm3 per minute. In order to adjust the composition and other properties (e.g film stress) of the deposited film 32, a further reaction gas (e.g H2) may be added to both gas flows 25a and 25b; thus, a H2 gas cylinder may be connected to valves 26a and 26b via respective mass flow meters, so that a H2 gas flow at a rate in the range of, for example, 0 to 20cm3 per minute may be fed to both the lines 21 and 22. By using different gas compositions in the primary and secondary mixtures 25a and 25b in this way, good uniformity and reproducibility can be obtained for the properties of the film 32, both in the central area of a device substrate 4(14) where the MIM type devices are formed and in a peripheral area where TFTs are formed. As shown in the present Figure 3 and as described in EP-A-0561 462, the fabrication of the MIM devices and TFTs involves a photolithographic and etching stage for etching away excess areas of the thin film throughout its thickness. This etching stage may also be carried out in a plasma reactor such as that illustrated in Figure 1. Various gas mixtures may be used for the plasma, for example SF6 and CHF3. In this case the gas mixture 25b fed to the peripheral area 12b from the second supply 55b is richer in one reaction gas than the mixture 25a fed to the central area 12a from the first supply 55a, the compositions being adjusted in order to compensate for non- uniformities in etch rates and etch profiles. After this etching step, the device substrate 4(14) is further processed as described in EP-A-0 561 462 to form the completed large-area electronic device, for example a liquid-crystal display device.
It will be evident that many modifications are possible within the scope of the present invention. Thus, for example, in the deposition of a film 32 of silicon nitride, the second reaction gas may be NH3 instead of N2. For plasma-enhanced deposition of silicon oxynitride, gaseous mixtures may be used comprising SiH4 as the first reaction gas and NH3 and nitrous oxide (N20) as second reaction gases. For plasma-enhanced deposition of a non- stoichiometric silicon carbide film 32, gaseous mixtures may be used comprising SiH4 as the first reaction gas and methane (CH4) as a second reaction gas; hydrogen (H2) may also be included. In each case, the peripheral mixture 25b from the second supply 55b is richer in SiH4 than the central mixture 25a from the first supply 55a.
It will be evident that many other modifications and variations are possible. Thus, for example, instead of the gas outlets 29 being present at the periphery of the reaction chamber 10, the gas outlets 29 from the chamber 10 may be present behind the supporting electrode 11 while still causing a radially outward flow 28 over the supporting electrode 11. The shower head 12,21 ,22 of Figure 1 comprises only one peripheral feed 22. However, reactors in accordance with the present invention may comprise two or more concentric peripheral feeds 22 each with their own flow controller 23 and their own supplies 55b. The more peripheral of these separate supplies 55b may be richer in the first reaction gas than the less peripheral supplies 55b. Instead of the central and peripheral flows 25a and 25b being always fed to the same fixed areas 12a and 12b of the electrode 12 (as in Figure 1), a shower head incorporating concentric feed areas 12a, 12b, 12c etc may be used with a slidable piston to control the extent of the areas
12a, 12b, 12c etc through which the gas mixtures 25a or 25b are fed. An example of such a shower head (but without separate supplies 55a or 55b) is disclosed in the English language abstract of published Japanese Patent Application Kokai JP-A-61-87872 in Patent Abstracts of Japan and in the drawings of JP-A-61-87872, which are hereby incorporated herein as reference material.
Instead of a radially outward gas flow 28, the chamber geometry may provide a radially inward gas flow, e.g by having only one gas outlet 29 which is located at the centre of the supporting electrode 11. In this arrangement the flow rates through the meters 23a, 23b, 24a and 24b are such that the central mixture 25a is richer in the first reaction gas (e.g SiH4) than the peripheral mixture 25b.
The invention may be used with other chamber geometries, for example those having a longitudinal gas flow 28 over the supporting electrode 11. One example of a plasma reactor with longitudinal flow 28 is illustrated in Figure 4. In this example, the first supply line 21 feeds a first peripheral area of the space through an inlet 62 which is separate from the perforated electrode 12. The inlet 62 may be a pipe having openings along its length to feed the gas mixture 25a uniformly into one side of the chamber 10; this perforated pipe 62 is shown in cross-section in Figure 4. The chamber 10 has an outlet 29 at the opposite side. Thus, the direction of gas flow 28 over the supporting electrode 1 1 is longitudinally across the electrode
1 1 , from the first peripheral area (with inlet 62) to the opposite peripheral area (with outlet 29).
Two secondary gas mixtures 25b and 25c are fed into the plasma space through the perforated electrode 12 at two successive locations 12b and 12c along the flow direction 28. The gas mixture 25b from a secondary supply (23b, 24b, 55, 56) is richer in the depleting first reaction gas (e.g SiH4) than the primary gas mixture 25a from the primary supply (23a, 24a, 55, 56); and the gas mixture 25c from a secondary supply (23c,24c,55,56) is richer in the depleting first reaction gas (e.g SiH4) than the gas mixture 25b from the supply (23b,24b,55,56). For convenience and simplicity in the drawing of
Figure 4, these primary and secondary supplies are illustrated as separate entities. In practice, as in Figure 1 , these separate supplies are likely to share a common gas cylinder 55 (e.g of SiH4) and a common gas cylinder 56 (e.g of N2) and to derive the gas flows from the cylinders via separate mass flow controllers 23a, 24a for the primary supply 25a, via separate mass flow controllers 23b,24b for the secondary supply 25b, and via separate mass flow controllers 23c,24c for the secondary supply 25c. In the chamber arrangement shown in Figure 4, the primary gas mixture 25a is also fed to a first area 12a of the shower head, adjacent to the separate inlet 62. From reading the present disclosure, other variations and modifications will be apparent to persons skilled in the art. Such variations and modifications may involve equivalent and other features which are already known in the design, manufacture and use of plasma reactors and their use in plasma treatments for electronic devices comprising MIMs, TFTs and other semiconductor devices and component parts thereof and which may be used instead of or in addition to features already described herein. Although claims have been formulated in this application to particular combinations of features, it should be understood that the scope of the disclosure of the present invention also includes any novel feature or any novel combination of features disclosed herein either explicitly or implicitly or any generalisation thereof, whether or not it relates to the same invention as presently claimed in any claim and whether or not it mitigates any or all of the same technical problems as does the present invention. The applicants hereby give notice that new claims may be formulated to such features and/or combinations of features during the prosecution of the present application or of any further application derived therefrom.

Claims

1. A method of manufacturing an electronic device in which a plasma treatment is carried out on a device substrate which is mounted on a supporting electrode facing a perforated gas-feeding electrode, a reactive plasma being generated in a space between the electrodes from a mixture of reaction gases which is fed into the space through at least the perforated electrode, wherein the mixture of gases flows in a direction across the substrate from a first area of the space to which it is supplied by a first supply line, and a second supply line feeds a second area of the space through the perforated electrode, the second area being along the flow direction from the first area, characterised in that the mixture of gases comprises a first reaction gas which is depleted at a faster rate in the plasma treatment than a second reaction gas in the mixture, and in that the second supply line supplies a secondary mixture which is richer in the first reaction gas than a primary mixture supplied by the first supply line, whereby the plasma treatment is carried out more uniformly over the area of the supporting electrode.
2. A method as claimed in Claim 1 , further characterised in that the plasma treatment involves depositing material on a surface of the substrate.
3. A method as claimed in Claim 2, further characterised in that the first reaction gas is silane (SiH4).
4. A method as claimed in Claim 3, further characterised in that the second reaction gas comprises nitrogen (N2), and the deposited material is silicon nitride.
5. A method as claimed in Claim 4, further characterised in that the second reaction gas is ammonia (NH3).
6. A method as claimed in Claim 1 , further characterised in that the plasma treatment involves etching away material from a surface of the substrate.
7. Plasma treatment apparatus comprising a chamber in which a supporting electrode is mounted facing a perforated gas-feeding electrode, means for applying an electric field between the electrodes for generating a reactive plasma in a space between the electrodes from a mixture of reaction gases which is fed into the space through the perforated electrode, first and second supply lines for supplying the gas mixture to the space, the first supply line feeding a first area of the space from which the gas mixture flows to a second area of the space, the second supply line feeding the second area through the perforated electrode, and gas outlet means from the chamber so located in relation to the supporting electrode that the gas mixture flows in a direction across the substrate from the first area, which apparatus is characterised in that the first and second supply lines are connected to separate respective primary and secondary supplies of the mixture, each of which comprises a first reaction gas which is depleted at a faster rate in the plasma treatment than a second reaction gas in the mixture, and in that the secondary supply is richer in the first reaction gas than the primary supply, whereby the plasma treatment is carried out more uniformly over the area of the supporting electrode.
8. A method as claimed in any one of Claims 1 to 6 or apparatus as claimed in Claim 7, further characterised in that the first supply line feeds the primary mixture through a central area of the perforated electrode, and the second supply line feeds the secondary mixture through a peripheral area of the perforated electrode, the direction of gas flow over the supporting electrode being radially outward from the first area of the space.
9. A method as claimed in any of Claims 1 to 6 or apparatus as claimed in Claim 7, further characterised in that the first supply line feeds a first peripheral area of the space through an inlet separate from the perforated electrode, the direction of gas flow over the supporting electrode being longitudinally across the supporting electrode, from the first peripheral area to an opposite peripheral area of the space.
PCT/IB1995/000270 1994-05-26 1995-04-18 Plasma treatment and apparatus in electronic device manufacture WO1995033082A2 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP8500593A JPH09501272A (en) 1994-05-26 1995-04-18 Plasma processing and apparatus during manufacturing of electronic device
EP95913928A EP0742848B1 (en) 1994-05-26 1995-04-18 Plasma treatment in electronic device manufacture
DE69505234T DE69505234T2 (en) 1994-05-26 1995-04-18 PLASMA TREATMENT IN THE PRODUCTION OF ELECTRONICS

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
GB9410567.3 1994-05-26
GB9410567A GB9410567D0 (en) 1994-05-26 1994-05-26 Plasma treatment and apparatus in electronic device manufacture

Publications (2)

Publication Number Publication Date
WO1995033082A2 true WO1995033082A2 (en) 1995-12-07
WO1995033082A3 WO1995033082A3 (en) 1996-01-11

Family

ID=10755751

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/IB1995/000270 WO1995033082A2 (en) 1994-05-26 1995-04-18 Plasma treatment and apparatus in electronic device manufacture

Country Status (6)

Country Link
US (1) US5532190A (en)
EP (1) EP0742848B1 (en)
JP (1) JPH09501272A (en)
DE (1) DE69505234T2 (en)
GB (1) GB9410567D0 (en)
WO (1) WO1995033082A2 (en)

Families Citing this family (105)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5683517A (en) * 1995-06-07 1997-11-04 Applied Materials, Inc. Plasma reactor with programmable reactant gas distribution
US5772771A (en) * 1995-12-13 1998-06-30 Applied Materials, Inc. Deposition chamber for improved deposition thickness uniformity
US6070551A (en) * 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
US6090210A (en) * 1996-07-24 2000-07-18 Applied Materials, Inc. Multi-zone gas flow control in a process chamber
TW415970B (en) * 1997-01-08 2000-12-21 Ebara Corp Vapor-phase film growth apparatus and gas ejection head
US5980686A (en) * 1998-04-15 1999-11-09 Applied Komatsu Technology, Inc. System and method for gas distribution in a dry etch process
JP3844274B2 (en) * 1998-06-25 2006-11-08 独立行政法人産業技術総合研究所 Plasma CVD apparatus and plasma CVD method
TW384502B (en) * 1998-08-27 2000-03-11 Winbond Electronics Corp Gas dispensing apparatus
US6230651B1 (en) * 1998-12-30 2001-05-15 Lam Research Corporation Gas injection system for plasma processing
KR100331544B1 (en) * 1999-01-18 2002-04-06 윤종용 Method for introducing gases into a reactor chamber and a shower head used therein
JP2000349078A (en) * 1999-06-03 2000-12-15 Mitsubishi Electric Corp Chemical vapor deposition apparatus and manufacture thereof
JP4487338B2 (en) * 1999-08-31 2010-06-23 東京エレクトロン株式会社 Film forming apparatus and film forming method
JP4378806B2 (en) * 1999-09-28 2009-12-09 日本電気株式会社 CVD apparatus and substrate cleaning method thereof
US7780867B1 (en) 1999-10-01 2010-08-24 Novellus Systems, Inc. Edge bevel removal of copper from silicon wafers
US6444039B1 (en) * 2000-03-07 2002-09-03 Simplus Systems Corporation Three-dimensional showerhead apparatus
JP2002184764A (en) * 2000-12-18 2002-06-28 Hitachi Ltd Plasma processing apparatus
US7378127B2 (en) * 2001-03-13 2008-05-27 Micron Technology, Inc. Chemical vapor deposition methods
US20060191637A1 (en) * 2001-06-21 2006-08-31 John Zajac Etching Apparatus and Process with Thickness and Uniformity Control
TWI224815B (en) * 2001-08-01 2004-12-01 Tokyo Electron Ltd Gas processing apparatus and gas processing method
US6676760B2 (en) 2001-08-16 2004-01-13 Appiled Materials, Inc. Process chamber having multiple gas distributors and method
US20030070620A1 (en) * 2001-10-15 2003-04-17 Cooperberg David J. Tunable multi-zone gas injection system
JP3961262B2 (en) * 2001-10-31 2007-08-22 ジーイー・メディカル・システムズ・グローバル・テクノロジー・カンパニー・エルエルシー X-ray generator
US7229666B2 (en) * 2002-01-22 2007-06-12 Micron Technology, Inc. Chemical vapor deposition method
WO2003065424A2 (en) * 2002-01-25 2003-08-07 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6787185B2 (en) * 2002-02-25 2004-09-07 Micron Technology, Inc. Deposition methods for improved delivery of metastable species
US7468104B2 (en) * 2002-05-17 2008-12-23 Micron Technology, Inc. Chemical vapor deposition apparatus and deposition method
US6838114B2 (en) 2002-05-24 2005-01-04 Micron Technology, Inc. Methods for controlling gas pulsing in processes for depositing materials onto micro-device workpieces
US6913652B2 (en) * 2002-06-17 2005-07-05 Applied Materials, Inc. Gas flow division in a wafer processing system having multiple chambers
US6955725B2 (en) 2002-08-15 2005-10-18 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US6887521B2 (en) * 2002-08-15 2005-05-03 Micron Technology, Inc. Gas delivery system for pulsed-type deposition processes used in the manufacturing of micro-devices
US20040082251A1 (en) * 2002-10-29 2004-04-29 Applied Materials, Inc. Apparatus for adjustable gas distribution for semiconductor substrate processing
US7169231B2 (en) * 2002-12-13 2007-01-30 Lam Research Corporation Gas distribution system with tuning gas
US20040112540A1 (en) * 2002-12-13 2004-06-17 Lam Research Corporation Uniform etch system
US7534363B2 (en) * 2002-12-13 2009-05-19 Lam Research Corporation Method for providing uniform removal of organic material
US20040118519A1 (en) * 2002-12-20 2004-06-24 Applied Materials, Inc. Blocker plate bypass design to improve clean rate at the edge of the chamber
US6926775B2 (en) 2003-02-11 2005-08-09 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
KR100505367B1 (en) * 2003-03-27 2005-08-04 주식회사 아이피에스 Reactor for depositing thin film on wafer
DE10320597A1 (en) * 2003-04-30 2004-12-02 Aixtron Ag Method and device for depositing semiconductor layers with two process gases, one of which is preconditioned
CN101068950A (en) * 2003-05-30 2007-11-07 阿维扎技术公司 Gas distribution system
US6829056B1 (en) 2003-08-21 2004-12-07 Michael Barnes Monitoring dimensions of features at different locations in the processing of substrates
US7581511B2 (en) 2003-10-10 2009-09-01 Micron Technology, Inc. Apparatus and methods for manufacturing microfeatures on workpieces using plasma vapor processes
US20050221020A1 (en) * 2004-03-30 2005-10-06 Tokyo Electron Limited Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US7572337B2 (en) * 2004-05-26 2009-08-11 Applied Materials, Inc. Blocker plate bypass to distribute gases in a chemical vapor deposition system
US7622005B2 (en) * 2004-05-26 2009-11-24 Applied Materials, Inc. Uniformity control for low flow process and chamber to chamber matching
US7699932B2 (en) * 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
US7534362B2 (en) * 2004-07-13 2009-05-19 Savas Stephen E Uniform etching system and process for large rectangular substrates
US20060011582A1 (en) * 2004-07-14 2006-01-19 Savas Stephen E Fast isotropic etching system and process for large, non-circular substrates
US20060045667A1 (en) * 2004-07-14 2006-03-02 Savas Stephen E Substrate handling system and process for manufacturing large substrates
JP4550507B2 (en) * 2004-07-26 2010-09-22 株式会社日立ハイテクノロジーズ Plasma processing equipment
US20060021703A1 (en) * 2004-07-29 2006-02-02 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
JP4522783B2 (en) * 2004-08-03 2010-08-11 株式会社日立ハイテクノロジーズ Plasma processing apparatus and plasma processing method
US20060062914A1 (en) * 2004-09-21 2006-03-23 Diwakar Garg Apparatus and process for surface treatment of substrate using an activated reactive gas
JP4934595B2 (en) * 2005-01-18 2012-05-16 エーエスエム アメリカ インコーポレイテッド Reactor for thin film growth
US7351285B2 (en) * 2005-03-29 2008-04-01 Tokyo Electron Limited Method and system for forming a variable thickness seed layer
JP4849829B2 (en) * 2005-05-15 2012-01-11 株式会社ソニー・コンピュータエンタテインメント Center device
WO2007016701A2 (en) * 2005-07-29 2007-02-08 Aviza Technology, Inc. Deposition apparatus for semiconductor processing
JP4673173B2 (en) * 2005-09-15 2011-04-20 株式会社日立ハイテクノロジーズ Plasma etching method
US8603248B2 (en) * 2006-02-10 2013-12-10 Veeco Instruments Inc. System and method for varying wafer surface temperature via wafer-carrier temperature offset
KR101501426B1 (en) * 2006-06-02 2015-03-11 어플라이드 머티어리얼스, 인코포레이티드 Gas flow control by differential pressure measurements
US8100081B1 (en) * 2006-06-30 2012-01-24 Novellus Systems, Inc. Edge removal of films using externally generated plasma species
US7605078B2 (en) * 2006-09-29 2009-10-20 Tokyo Electron Limited Integration of a variable thickness copper seed layer in copper metallization
US8715455B2 (en) * 2007-02-06 2014-05-06 Tokyo Electron Limited Multi-zone gas distribution system for a treatment system
US9732416B1 (en) 2007-04-18 2017-08-15 Novellus Systems, Inc. Wafer chuck with aerodynamic design for turbulence reduction
US8528498B2 (en) * 2007-06-29 2013-09-10 Lam Research Corporation Integrated steerability array arrangement for minimizing non-uniformity
US8197636B2 (en) * 2007-07-12 2012-06-12 Applied Materials, Inc. Systems for plasma enhanced chemical vapor deposition and bevel edge etching
US20090095221A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas concentric injection showerhead
US8282735B2 (en) * 2007-11-27 2012-10-09 Asm Genitech Korea Ltd. Atomic layer deposition apparatus
JP2008166853A (en) * 2008-03-28 2008-07-17 Hitachi Ltd Plasma etching apparatus
US8419964B2 (en) * 2008-08-27 2013-04-16 Novellus Systems, Inc. Apparatus and method for edge bevel removal of copper from silicon wafers
US8172646B2 (en) 2009-02-27 2012-05-08 Novellus Systems, Inc. Magnetically actuated chuck for edge bevel removal
CN101643904B (en) * 2009-08-27 2011-04-27 北京北方微电子基地设备工艺研究中心有限责任公司 Deep silicon etching device and intake system thereof
JP5380359B2 (en) * 2010-05-07 2014-01-08 株式会社日立製作所 Plasma etching apparatus and plasma etching processing method
US9441295B2 (en) * 2010-05-14 2016-09-13 Solarcity Corporation Multi-channel gas-delivery system
TWI507561B (en) * 2010-12-10 2015-11-11 Ind Tech Res Inst Showerhead integrating intake and exhaust
US9175393B1 (en) * 2011-08-31 2015-11-03 Alta Devices, Inc. Tiled showerhead for a semiconductor chemical vapor deposition reactor
US10066297B2 (en) * 2011-08-31 2018-09-04 Alta Devices, Inc. Tiled showerhead for a semiconductor chemical vapor deposition reactor
JP2013159798A (en) * 2012-02-02 2013-08-19 Mitsubishi Electric Corp Plasma cvd device
US9388494B2 (en) 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US9604249B2 (en) * 2012-07-26 2017-03-28 Applied Materials, Inc. Innovative top-coat approach for advanced device on-wafer particle performance
US20140120735A1 (en) * 2012-10-31 2014-05-01 Macronix International Co., Ltd. Semiconductor process gas flow control apparatus
JP6078354B2 (en) * 2013-01-24 2017-02-08 東京エレクトロン株式会社 Plasma processing equipment
US9399228B2 (en) * 2013-02-06 2016-07-26 Novellus Systems, Inc. Method and apparatus for purging and plasma suppression in a process chamber
US9865434B2 (en) 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
JP6158025B2 (en) * 2013-10-02 2017-07-05 株式会社ニューフレアテクノロジー Film forming apparatus and film forming method
US11414759B2 (en) * 2013-11-29 2022-08-16 Taiwan Semiconductor Manufacturing Co., Ltd Mechanisms for supplying process gas into wafer process apparatus
SG11201606084RA (en) 2014-01-27 2016-08-30 Veeco Instr Inc Wafer carrier having retention pockets with compound radii for chemical vapor deposition systems
KR20180105260A (en) * 2014-04-09 2018-09-27 뷔흘러 알제나우 게엠베하 Gas distribution apparatus in a vacuum chamber, comprising a gas conducting device
US9793096B2 (en) * 2014-09-12 2017-10-17 Lam Research Corporation Systems and methods for suppressing parasitic plasma and reducing within-wafer non-uniformity
US9972740B2 (en) 2015-06-07 2018-05-15 Tesla, Inc. Chemical vapor deposition tool and process for fabrication of photovoltaic structures
US10403515B2 (en) * 2015-09-24 2019-09-03 Applied Materials, Inc. Loadlock integrated bevel etcher system
US10358721B2 (en) * 2015-10-22 2019-07-23 Asm Ip Holding B.V. Semiconductor manufacturing system including deposition apparatus
US9758868B1 (en) 2016-03-10 2017-09-12 Lam Research Corporation Plasma suppression behind a showerhead through the use of increased pressure
US9748434B1 (en) 2016-05-24 2017-08-29 Tesla, Inc. Systems, method and apparatus for curing conductive paste
KR102553629B1 (en) * 2016-06-17 2023-07-11 삼성전자주식회사 Plasma processing apparatus
US10403474B2 (en) 2016-07-11 2019-09-03 Lam Research Corporation Collar, conical showerheads and/or top plates for reducing recirculation in a substrate processing system
US9954136B2 (en) 2016-08-03 2018-04-24 Tesla, Inc. Cassette optimized for an inline annealing system
US10115856B2 (en) 2016-10-31 2018-10-30 Tesla, Inc. System and method for curing conductive paste using induction heating
KR102546317B1 (en) * 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
TWI649446B (en) * 2017-03-15 2019-02-01 漢民科技股份有限公司 Detachable gas injectorused for semiconductor equipment
US10872803B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10872804B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US11047035B2 (en) 2018-02-23 2021-06-29 Applied Materials, Inc. Protective yttria coating for semiconductor equipment parts
KR102121598B1 (en) * 2018-05-29 2020-06-11 피에스케이홀딩스 (주) A baffle assembly and an apparatus for treating a substrate with the baffle
US11535938B2 (en) * 2021-03-03 2022-12-27 Sky Tech Inc. Shower head assembly and atomic layer deposition device

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5687328A (en) * 1979-12-18 1981-07-15 Matsushita Electronics Corp Semiconductor treatment device
JPS61261485A (en) * 1985-05-14 1986-11-19 Nec Kyushu Ltd Plasma etching device
JPS62290885A (en) * 1986-06-10 1987-12-17 Toshiba Corp Reactive ion etching device
US4810322A (en) * 1986-11-03 1989-03-07 International Business Machines Corporation Anode plate for a parallel-plate reactive ion etching reactor
US4980204A (en) * 1987-11-27 1990-12-25 Fujitsu Limited Metal organic chemical vapor deposition method with controlled gas flow rate
US5269847A (en) * 1990-08-23 1993-12-14 Applied Materials, Inc. Variable rate distribution gas flow reaction chamber

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3854443A (en) * 1973-12-19 1974-12-17 Intel Corp Gas reactor for depositing thin films
JPS615515A (en) * 1984-06-07 1986-01-11 Fujitsu Ltd Chemical vapor growth apparatus
JPS6187872A (en) * 1984-10-05 1986-05-06 Hitachi Ltd Anode electrode in parallel plane sheet type plasma cvd apparatus
JPS6281089A (en) * 1985-10-04 1987-04-14 藤好 克聡 Manufacturing high density conductive circuit and high density conductive circuit
JPS6353932A (en) * 1986-08-22 1988-03-08 Nec Corp Apparatus for growing thin film semiconductor wafer
JPH01223724A (en) * 1988-03-02 1989-09-06 Mitsubishi Electric Corp Chemical vapor growth device
GB9206086D0 (en) * 1992-03-20 1992-05-06 Philips Electronics Uk Ltd Manufacturing electronic devices comprising,e.g.tfts and mims
US5453124A (en) * 1992-12-30 1995-09-26 Texas Instruments Incorporated Programmable multizone gas injector for single-wafer semiconductor processing equipment

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5687328A (en) * 1979-12-18 1981-07-15 Matsushita Electronics Corp Semiconductor treatment device
JPS61261485A (en) * 1985-05-14 1986-11-19 Nec Kyushu Ltd Plasma etching device
JPS62290885A (en) * 1986-06-10 1987-12-17 Toshiba Corp Reactive ion etching device
US4810322A (en) * 1986-11-03 1989-03-07 International Business Machines Corporation Anode plate for a parallel-plate reactive ion etching reactor
US4980204A (en) * 1987-11-27 1990-12-25 Fujitsu Limited Metal organic chemical vapor deposition method with controlled gas flow rate
US5269847A (en) * 1990-08-23 1993-12-14 Applied Materials, Inc. Variable rate distribution gas flow reaction chamber

Non-Patent Citations (4)

* Cited by examiner, † Cited by third party
Title
PATENT ABSTRACTS OF JAPAN, Vol. 11, No. 124, C-416; & JP,A,61 261 485 (NEC KYUSHU LTD), 19 November 1986. *
PATENT ABSTRACTS OF JAPAN, Vol. 12, No. 186, C-500; & JP,A,62 290 885 (TOSHIBA CORP), 17 December 1987. *
PATENT ABSTRACTS OF JAPAN, Vol. 5, No. 153, E-76; & JP,A,56 087 328 (MATSUSHITA DENSHI KOGYO K.K.), 15 July 1981. *
See also references of EP0742848A1 *

Also Published As

Publication number Publication date
JPH09501272A (en) 1997-02-04
EP0742848B1 (en) 1998-10-07
WO1995033082A3 (en) 1996-01-11
DE69505234D1 (en) 1998-11-12
EP0742848A1 (en) 1996-11-20
US5532190A (en) 1996-07-02
DE69505234T2 (en) 1999-05-20
GB9410567D0 (en) 1994-07-13

Similar Documents

Publication Publication Date Title
US5532190A (en) Plasma treatment method in electronic device manufacture
KR101336446B1 (en) Process tuning gas injection from the substrate edge
KR100783200B1 (en) Apparatus for depositing film and apparatus for plasma processing a substrate
US7754294B2 (en) Method of improving the uniformity of PECVD-deposited thin films
US6552297B2 (en) RF matching network with distributed outputs
US6857387B1 (en) Multiple frequency plasma chamber with grounding capacitor at cathode
JP3164956B2 (en) Method for depositing amorphous silicon thin film at high deposition rate on large area glass substrate by CVD
US6800502B2 (en) Thin film transistor, method of producing the same, liquid crystal display, and thin film forming apparatus
US5567476A (en) Multi-step chemical vapor deposition method for thin film transistors
US4539934A (en) Plasma vapor deposition film forming apparatus
US5926689A (en) Process for reducing circuit damage during PECVD in single wafer PECVD system
US20070283889A1 (en) Apparatus of processing substrate
US9818572B2 (en) Substrate treatment apparatus
JPH08288223A (en) Manufacture of thin film
US4599971A (en) Vapor deposition film forming apparatus
JP2006128446A (en) Plasma cvd method and device thereof
KR102329646B1 (en) Substrate processing apparatus for comprising electric power and gas supplying structure of multiple shower head
KR20010025958A (en) PECVD equipment and multi cluster type deposition equipment
JPH0620978A (en) Glow discharge method and device thereof
JP2001308016A (en) Apparatus and method for chemical vapor deposition
KR20020059082A (en) Thin Film Transistor and Method for the same
JPH06181221A (en) Manufacture of film transistor
JPS62188782A (en) Method and apparatus for producing thin compound film by using plural electric fields
JPS596510B2 (en) Manufacturing method of semiconductor device

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): JP

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): AT BE CH DE DK ES FR GB GR IE IT LU MC NL PT SE

WWE Wipo information: entry into national phase

Ref document number: 1995913928

Country of ref document: EP

AK Designated states

Kind code of ref document: A3

Designated state(s): JP

AL Designated countries for regional patents

Kind code of ref document: A3

Designated state(s): AT BE CH DE DK ES FR GB GR IE IT LU MC NL PT SE

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWP Wipo information: published in national office

Ref document number: 1995913928

Country of ref document: EP

WWG Wipo information: grant in national office

Ref document number: 1995913928

Country of ref document: EP