WO1994000251A1 - A plasma cleaning method for removing residues in a plasma treatment chamber - Google Patents

A plasma cleaning method for removing residues in a plasma treatment chamber Download PDF

Info

Publication number
WO1994000251A1
WO1994000251A1 PCT/US1993/005750 US9305750W WO9400251A1 WO 1994000251 A1 WO1994000251 A1 WO 1994000251A1 US 9305750 W US9305750 W US 9305750W WO 9400251 A1 WO9400251 A1 WO 9400251A1
Authority
WO
WIPO (PCT)
Prior art keywords
gas
plasma
cleaning
residues
treatment chamber
Prior art date
Application number
PCT/US1993/005750
Other languages
French (fr)
Inventor
Ching-Hwa Chen
David Arnett
David Liu
Original Assignee
Lam Research Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corporation filed Critical Lam Research Corporation
Priority to KR1019940704665A priority Critical patent/KR100293830B1/en
Priority to JP50243794A priority patent/JP3502096B2/en
Priority to DE69320963T priority patent/DE69320963T2/en
Priority to EP93915378A priority patent/EP0647163B1/en
Publication of WO1994000251A1 publication Critical patent/WO1994000251A1/en

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/04Cleaning involving contact with liquid
    • B08B3/10Cleaning involving contact with liquid with additional treatment of the liquid or of the object being cleaned, e.g. by heat, by electricity or by vibration
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B44DECORATIVE ARTS
    • B44CPRODUCING DECORATIVE EFFECTS; MOSAICS; TARSIA WORK; PAPERHANGING
    • B44C1/00Processes, not specifically provided for elsewhere, for producing decorative surface effects
    • B44C1/22Removing surface-material, e.g. by engraving, by etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts

Definitions

  • the invention relates to a method of cleaning a vacuum treatment apparatus to remove previously deposited residues which have accumulated on interior surfaces of the apparatus.
  • the method can be used to clean interior surfaces of a plasma chamber wherein specimens such as silicon wafers having one or more layers thereon are subjected to dry etching.
  • the invention relates to plasma etching to remove residues on electrodes, interior walls, and other components located within a plasma treatment chamber.
  • 5,022,958 discloses dry etching a dielectric with CHF 3 + Ar, removing polymer with pure oxygen and further etching the dielectric with CHF 3 + Ar + CF 4 . Such etching, however, results in residues or deposits building up on surfaces inside the plasma treatment chamber.
  • residues adhere upon surfaces in the plasma treatment chamber.
  • These residues contain metals (or silicates, depending on which type of dry etch is used) , chlorine, and organics (or compounds of the aforementioned materials) .
  • the surfaces that the residues adhere to include upper and lower electrode surfaces, walls of the plasma treatment chamber, clamping surfaces, and any other item with which the plasma or its byproducts comes in contact. A build-up of these residues deteriorates the etch performance of the dry etch. As such, the presence of such residues is undesirable.
  • Japanese Laid-Open Patent Publication No. 61- 250185 discloses a method of removing deposits such as Si x Cl y on components of a plasma etching device.
  • the components include a high-frequency electrode and interior surfaces of the plasma reaction chamber.
  • Such deposits are formed when aluminum on the wafer is dry etched by a plasma formed from SiCl 4 .
  • the deposits are removed by contacting the interior surfaces of the chamber with an oxidizing gas which oxidizes the deposits to form Si0 2 .
  • a plasma is then formed from a fluorine containing gas, and the Si0 2 is removed.
  • a gas containing moisture or hydrogen peroxide, ozone, etc. can be introduced in the form of a plasma to promote the reaction:
  • a gas containing fluorine such as CF 4 or NF 3 , SF 6 or NF 3 + 0 2 or SF ⁇ - + 0 2 can be introduced in the form of a plasma to promote the following reaction:
  • Japanese Laid-Open Patent Publication No. 3-62520 discloses a method of using a plasma formed from chlorine and fluorine to remove a reaction product that remains after etching of an aluminum alloy film and after etching of a barrier metal. For instance, when an overlying aluminum layer such as an Al-Si-Cu, Al-Si or Al-Cu film is etched with chlorine and an underlying barrier layer such as TiW, MoSi, WSi, Ti, TiN or ⁇ -Si is etched with fluorine, residual reaction products build up inside the plasma treatment chamber on parts such as electrodes after 10 wafers have been etched.
  • an overlying aluminum layer such as an Al-Si-Cu, Al-Si or Al-Cu film is etched with chlorine and an underlying barrier layer such as TiW, MoSi, WSi, Ti, TiN or ⁇ -Si is etched with fluorine
  • an overlying aluminum layer such as an Al-Si-Cu, Al-Si or Al-Cu film is etched
  • a plasma is formed from a gas containing Cl 2 alone or Cl 2 + BC1 3 or Cl 2 + HCl, and cleaning is performed for 5 minutes. Then, a gas containing SF 6 , SF or C 2 F 5 is converted into plasma, and cleaning is performed for 5 minutes. As a result, Al product and Ti product form A1C1 2 and TiCl 2 which is removed during Cl 2 plasma cleaning, and W product forms WF 5 which is removed during SF 6 plasma cleaning.
  • U.S. Patent No. 4,786,352 discloses a method of cleaning silicon oxide in a low pressure chemical vapor deposition (LPCVD) chamber using a cleaning gas which is decomposed to create etchant species in a plasma.
  • the cleaning gases include CF 4 , CF 4 + 0 2 , C 2 F 6 , SF 6 or NF 3 .
  • Other cleaning gases include CF 3 C1, CF 3 Br, CC1 4 , BC1 3 , Cl 2 , HCl, 0 2 and combinations with or without inert gases.
  • U.S. Patent No. 4,576,698 discloses plasma cleaning of deposition products which build up in a deposition chamber wherein the cleaning gas is P.D. 100.
  • U.S. Patent No. 4,749,440 discloses a method of cleaning oxide deposits on quartz boats.
  • U.S. Patent No. 4,816,113 discloses a process of removing carbon deposits inside a chamber with oxygen plasma.
  • the invention provides a plasma cleaning method for removing aluminum-containing residues in a plasma treatment chamber.
  • the method includes introducing a cleaning gas mixture comprising an oxidizing gas and a chlorine containing gas into the chamber and performing a plasma cleaning step by activating the cleaning gas mixture and forming a plasma containing the cleaning gas, contacting interior surfaces of the chamber with the plasma cleaning gas and removing aluminum-containing residues on the interior surfaces.
  • the cleaning gas can further comprise a fluorine-based gas.
  • the chlorine-based gas can comprise 10-25% by volume of the cleaning gas.
  • the fluorine-based gas can comprise 2-10% by volume of the cleaning gas.
  • the chlorine-based gas can comprise Cl 2 , CC1 4/ HCl or mixture thereof.
  • the fluorine-based gas can comprise NF 3 , SF 6 , a fluorocarbon or mixture thereof.
  • the fluorocarbon can comprise CF 4 , C 2 F 6 or mixture thereof.
  • the oxidizing gas is preferably 0 2 and can contain H 2 0, H 2 0 2 , 0 3 or mixture thereof.
  • the chlorine-based gas and the fluorine-based gas can comprise a chlorofluorocarbon gas.
  • the plasma cleaning gas can remove carbon of organic residues, metals, and metal oxides in the form of gas by-products.
  • aluminum and copper in the residues can be converted into a gaseous form via reaction with the chlorine in the plasma cleaning gas.
  • Oxides and tungsten in the residues can be converted into a gaseous form via reaction with the fluorine of the plasma cleaning gas.
  • the process can consist of alternating steps of "high” and “low” pressures while generating the chamber cleaning plasma. These alternating steps can further be sequentially repeated to further enhance cleaning.
  • the "high" pressure (relative to the processes normally run in the chamber) can be greater than 25 mTorr, and the "low” pressure can be less than 25 mTorr.
  • the high pressure can be at 40 mTorr, and the low pressure can be at 10 mTorr.
  • the residues can be removed without opening the plasma treatment chamber.
  • the cleaning step can be performed following a step of dry etching one or more layers on a wafer wherein the layers include a photoresist, metal film and oxide film and the dry etching causing residues to be deposited on interior surfaces of the plasma treatment chamber.
  • the cleaning s.tep can be performed after etching several hundred such wafers.
  • residues of a chlorine-based dry etching can be removed by the plasma cleaning gas without leaving by-products that will interfere with subsequent dry etching and without generating particles which would reduce a yield of a subsequent dry etch.
  • residues can be completely removed from the interior surfaces of the plasma treatment chamber without by-products remaining in the plasma treatment chamber after the plasma cleaning step is terminated.
  • by-products arising from the use of the cleaning plasma such as A1C1 3 , CO, C0 2 , Cl 2 , CuCl, and WF 5 , can be removed from the plasma treatment chamber when the interior surfaces of the chamber are contacted with the plasma.
  • the invention provides a new and improved method of removing residues from interior surfaces of a plasma treatment chamber. These residues are produced when multilayer structures are dry etched with plasma (such as by chlorine-based and/or fluorine-based plasmas) in the plasma treatment chamber. Such residues contain metals, silicates, chlorine, organics, and/or mixtures thereof.
  • the method is particularly effective in cleaning the apparatus disclosed in commonly-owned U.S. Patent No. 4,948,458 ("Ogle"), the subject matter of which is hereby incorporated by reference.
  • plasma formed from chlorine and an oxidizing gas is used to remove residues from the interior surfaces of a plasma treatment chamber. Because of the completeness of the reactions in converting the solid residues into gaseous form, it is possible to completely clean the plasma treatment chamber, that is, no by-products remain in the chamber.
  • the method according to the invention unexpectedly provides superior cleaning of chamber residues compared to sequential use of individual plasma cleaning gases.
  • a plasma containing only a chlorine-based gas fails to completely remove residues left by dry etching a stack of thin layers such as those encountered in the manufacturing of a semiconductor device.
  • Such layers typically include a barrier metal which can be etched by the addition of a fluorine-based gas.
  • the dry etch residues are a product of a serial etching of some layers of materials such as various metal layers and the constant etching of others such as photoresist.
  • the residues are a complex combination of materials that a selective chlorine-based etch cannot remove.
  • a plasma containing only an oxidizing gas is ineffective in cleaning residue containing metals or silicates, such as aluminum.
  • a plasma containing only a fluorine-based gas results in solid by-products such as A1F 3 that remain in the chamber. These by-products continue interfering with subsequent dry etching because of fluorine that would be freed during the dry etch. In addition, these by-products contribute to particulates that cause a yield loss in the product of the dry etch.
  • a plasma (or its reactive by-products) is introduced into an evacuated chamber (that was previously used for chlorine-based dry etching or chlorine and fluorine-based dry etching) so as to come into contact with the interior surfaces of the plasma treatment chamber, and the products of the reaction with the residues are evacuated.
  • the plasma used to clean the plasma treatment chamber includes an oxidizing gas (e.g., 0 2 ) and a chlorine- based gas (e.g. , Cl 2 ) or a gas mixture containing the oxidizing gas, the chlorine-based gas and a fluorine- based gas.
  • an oxidizing gas e.g., 0 2
  • a chlorine- based gas e.g. , Cl 2
  • the plasma liberates the carbon of the organics and metals such as Al or Cu are released into a gaseous form via reaction with the chlorine.
  • the cleaning process according to the invention can be run at a high pressure (e.g., a pressure greater than 25 mTorr such as 40 mTorr) and then at a low pressure (e.g., a pressure lower than 25 mTorr such as 10 mTorr) , each step being performed for about 15 minutes.
  • a high pressure e.g., a pressure greater than 25 mTorr such as 40 mTorr
  • a low pressure e.g., a pressure lower than 25 mTorr such as 10 mTorr
  • the residues, containing metals, chlorine, fluorine and organics are thus removed via by-products such as A1C1 3 , CO, C0 2 , Cl 2 , CuCl and WF 5 . Due to the completeness of the reactions in converting the solid residues into gaseous form, no by-products remain in the chamber after the cleaning step.
  • the cleaning plasma includes an oxidizing gas and a chlorine-based gas
  • the chlorine-based gas can be present in the amount of 10- 25% by volume.
  • the cleaning gas includes an oxidizing gas, a chlorine-based gas and a fluorine-based gas
  • the chlorine-based gas can be present in the amount of 10-25% by volume
  • the fluorine-based gas can be present in the amount of 2- 10% by volume.
  • the oxidizing gas can also contain H 2 0, H 2 0 2 , 0 3 and/or mixtures thereof.
  • the chlorine-based gas can comprise Cl 2 , CC1 4 , HCl and/or mixtures thereof.
  • the fluorine-based gas can comprise NF 3 , SF 6 , a fluorocarbon such as CF 4 or C 2 F 6 and/or combinations thereof. In either case, the molecules themselves, a combination, or the dissociated ions and radicals thereof may be incorporated into the oxidizing, the chlorine-based and/or the fluorine-based gas.
  • the chlorine-based and fluorine-based gases can be replaced or supplemented by a chlorocarbon gas (also known as a CFC) .

Abstract

A plasma cleaning method for removing residues previously formed in a plasma treatment chamber by dry etching layers such as photoresist, barriers, etc., on a wafer. The method includes introducing a cleaning gas mixture of an oxidizing gas and a chlorine containing gas into the chamber followed by performing a plasma cleaning step. The plasma cleaning step is performed by activating the cleaning gas mixture and forming a plasma cleaning gas, contacting interior surfaces of the chamber with the plasma cleaning gas and removing residues on the interior surfaces. The cleaning gas mixture can also include a fluorine-based gas. For instance, the cleaning gas can include Cl2 and O2 and optionally CF4. An advantage of the cleaning method is that it is not necessary to open the plasma treatment chamber. Also, it is possible to completely remove all residues and prevent by-products formed during the cleaning step from remaining after the cleaning step.

Description

A PLASMA CLEANING METHOD FOR REMOVING RESIDUES IN A PLASMA TREATMENT CHAMBER
BACKGROUND OF THE INVENTION
Field of the Invention
The invention relates to a method of cleaning a vacuum treatment apparatus to remove previously deposited residues which have accumulated on interior surfaces of the apparatus. For instance, the method can be used to clean interior surfaces of a plasma chamber wherein specimens such as silicon wafers having one or more layers thereon are subjected to dry etching. In particular, the invention relates to plasma etching to remove residues on electrodes, interior walls, and other components located within a plasma treatment chamber.
Description of the Related Art
It is conventional to dry etch a stack of thin layers which can include a photoresist (for patterning the underlying layers) , an anti-reflective coating (also known as an ARC layer, used for covering the surface of the underlying layers) , aluminum (or an aluminum alloy) , and a barrier material (such as metal, metal compound or suicide). For instance, U.S. Patent No. 4,986,877 discloses dry etching of aluminum with Cl2, polysilicon with SF6, Si02 and Si3N4 with a fluorocarbon and photoresist with 02. U.S. Patent No. 5,022,958 discloses dry etching a dielectric with CHF3 + Ar, removing polymer with pure oxygen and further etching the dielectric with CHF3 + Ar + CF4. Such etching, however, results in residues or deposits building up on surfaces inside the plasma treatment chamber.
When a film is dry etched in a chlorine-based plasma (or a sequence of chlorine-based and fluorine- based dry etches) , residual reaction product
(henceforth referred to as "residues") adhere upon surfaces in the plasma treatment chamber. These residues contain metals (or silicates, depending on which type of dry etch is used) , chlorine, and organics (or compounds of the aforementioned materials) . The surfaces that the residues adhere to include upper and lower electrode surfaces, walls of the plasma treatment chamber, clamping surfaces, and any other item with which the plasma or its byproducts comes in contact. A build-up of these residues deteriorates the etch performance of the dry etch. As such, the presence of such residues is undesirable.
Japanese Laid-Open Patent Publication No. 61- 250185 discloses a method of removing deposits such as SixCly on components of a plasma etching device. The components include a high-frequency electrode and interior surfaces of the plasma reaction chamber. Such deposits are formed when aluminum on the wafer is dry etched by a plasma formed from SiCl4. The deposits are removed by contacting the interior surfaces of the chamber with an oxidizing gas which oxidizes the deposits to form Si02. A plasma is then formed from a fluorine containing gas, and the Si02 is removed. For instance, a gas containing moisture or hydrogen peroxide, ozone, etc. , can be introduced in the form of a plasma to promote the reaction:
SixCly + H20 → mHCl (gas) t + Si02 Next, after evacuating the chamber, a gas containing fluorine such as CF4 or NF3, SF6 or NF3 + 02 or SF<- + 02 can be introduced in the form of a plasma to promote the following reaction:
Si02 + CF4 + e → SiF4 (gas) t + C02 t + e
Japanese Laid-Open Patent Publication No. 3-62520 discloses a method of using a plasma formed from chlorine and fluorine to remove a reaction product that remains after etching of an aluminum alloy film and after etching of a barrier metal. For instance, when an overlying aluminum layer such as an Al-Si-Cu, Al-Si or Al-Cu film is etched with chlorine and an underlying barrier layer such as TiW, MoSi, WSi, Ti, TiN or α-Si is etched with fluorine, residual reaction products build up inside the plasma treatment chamber on parts such as electrodes after 10 wafers have been etched. To remove the deposits, a plasma is formed from a gas containing Cl2 alone or Cl2 + BC13 or Cl2 + HCl, and cleaning is performed for 5 minutes. Then, a gas containing SF6, SF or C2F5 is converted into plasma, and cleaning is performed for 5 minutes. As a result, Al product and Ti product form A1C12 and TiCl2 which is removed during Cl2 plasma cleaning, and W product forms WF5 which is removed during SF6 plasma cleaning.
U.S. Patent No. 4,786,352 discloses a method of cleaning silicon oxide in a low pressure chemical vapor deposition (LPCVD) chamber using a cleaning gas which is decomposed to create etchant species in a plasma. The cleaning gases include CF4, CF4 + 02, C2F6, SF6 or NF3. Other cleaning gases include CF3C1, CF3Br, CC14, BC13, Cl2, HCl, 02 and combinations with or without inert gases.
U.S. Patent No. 4,576,698 discloses plasma cleaning of deposition products which build up in a deposition chamber wherein the cleaning gas is P.D. 100. U.S. Patent No. 4,749,440 discloses a method of cleaning oxide deposits on quartz boats. U.S. Patent No. 4,816,113 discloses a process of removing carbon deposits inside a chamber with oxygen plasma.
SUMMARY OF THE INVENTION
The invention provides a plasma cleaning method for removing aluminum-containing residues in a plasma treatment chamber. The method includes introducing a cleaning gas mixture comprising an oxidizing gas and a chlorine containing gas into the chamber and performing a plasma cleaning step by activating the cleaning gas mixture and forming a plasma containing the cleaning gas, contacting interior surfaces of the chamber with the plasma cleaning gas and removing aluminum-containing residues on the interior surfaces. The cleaning gas can further comprise a fluorine-based gas.
The chlorine-based gas can comprise 10-25% by volume of the cleaning gas. The fluorine-based gas can comprise 2-10% by volume of the cleaning gas. The chlorine-based gas can comprise Cl2, CC14/ HCl or mixture thereof. The fluorine-based gas can comprise NF3, SF6, a fluorocarbon or mixture thereof. The fluorocarbon can comprise CF4, C2F6 or mixture thereof. The oxidizing gas is preferably 02 and can contain H20, H202, 03 or mixture thereof. The chlorine-based gas and the fluorine-based gas can comprise a chlorofluorocarbon gas.
The plasma cleaning gas can remove carbon of organic residues, metals, and metal oxides in the form of gas by-products. For instance, aluminum and copper in the residues can be converted into a gaseous form via reaction with the chlorine in the plasma cleaning gas. Oxides and tungsten in the residues can be converted into a gaseous form via reaction with the fluorine of the plasma cleaning gas.
The process can consist of alternating steps of "high" and "low" pressures while generating the chamber cleaning plasma. These alternating steps can further be sequentially repeated to further enhance cleaning. For instance, the "high" pressure (relative to the processes normally run in the chamber) can be greater than 25 mTorr, and the "low" pressure can be less than 25 mTorr. As a specific example, the high pressure can be at 40 mTorr, and the low pressure can be at 10 mTorr.
As a result of this plasma cleaning procedure, the residues can be removed without opening the plasma treatment chamber. For instance, the cleaning step can be performed following a step of dry etching one or more layers on a wafer wherein the layers include a photoresist, metal film and oxide film and the dry etching causing residues to be deposited on interior surfaces of the plasma treatment chamber. In particular, the cleaning s.tep can be performed after etching several hundred such wafers. For example, residues of a chlorine-based dry etching can be removed by the plasma cleaning gas without leaving by-products that will interfere with subsequent dry etching and without generating particles which would reduce a yield of a subsequent dry etch. In fact, residues can be completely removed from the interior surfaces of the plasma treatment chamber without by-products remaining in the plasma treatment chamber after the plasma cleaning step is terminated. For instance, by-products arising from the use of the cleaning plasma, such as A1C13, CO, C02, Cl2, CuCl, and WF5, can be removed from the plasma treatment chamber when the interior surfaces of the chamber are contacted with the plasma.
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
The invention provides a new and improved method of removing residues from interior surfaces of a plasma treatment chamber. These residues are produced when multilayer structures are dry etched with plasma (such as by chlorine-based and/or fluorine-based plasmas) in the plasma treatment chamber. Such residues contain metals, silicates, chlorine, organics, and/or mixtures thereof. The method is particularly effective in cleaning the apparatus disclosed in commonly-owned U.S. Patent No. 4,948,458 ("Ogle"), the subject matter of which is hereby incorporated by reference.
According to the invention, plasma formed from chlorine and an oxidizing gas is used to remove residues from the interior surfaces of a plasma treatment chamber. Because of the completeness of the reactions in converting the solid residues into gaseous form, it is possible to completely clean the plasma treatment chamber, that is, no by-products remain in the chamber.
The method according to the invention unexpectedly provides superior cleaning of chamber residues compared to sequential use of individual plasma cleaning gases. For instance, a plasma containing only a chlorine-based gas fails to completely remove residues left by dry etching a stack of thin layers such as those encountered in the manufacturing of a semiconductor device. Such layers typically include a barrier metal which can be etched by the addition of a fluorine-based gas. It should be noted that the dry etch residues are a product of a serial etching of some layers of materials such as various metal layers and the constant etching of others such as photoresist. As such, the residues are a complex combination of materials that a selective chlorine-based etch cannot remove.
A plasma containing only an oxidizing gas is ineffective in cleaning residue containing metals or silicates, such as aluminum. A plasma containing only a fluorine-based gas results in solid by-products such as A1F3 that remain in the chamber. These by-products continue interfering with subsequent dry etching because of fluorine that would be freed during the dry etch. In addition, these by-products contribute to particulates that cause a yield loss in the product of the dry etch.
It has been unexpectedly discovered that a plasma formed from chlorine and an oxidizing gas with or without a fluorine containing gas is effective in completely removing residues in a plasma treatment chamber. On the other hand, if cleaning is performed sequentially with an oxidizing plasma, then a chlorine- based plasma followed by a fluorine-based plasma, each plasma is too selective in what is reacted and consequently cannot remove residues that contain complex compounds of metals, chlorine, and/or organics. The same is true for different sequences of cleaning with such oxidizing, chlorine-based and fluorine-based gases. Furthermore, by using a sequence of etches based on the oxidizing, chlorine-based and fluorine- based gases, other by-products are formed which continue to interfere with dry etching and even produce particles that reduce the yield of the dry etch. According to the invention, however, it is possible to plasma clean a plasma treatment chamber and completely remove the residues left from previous dry etching without generating particles that would reduce the yield of the dry etch.
According to the invention, a plasma (or its reactive by-products) is introduced into an evacuated chamber (that was previously used for chlorine-based dry etching or chlorine and fluorine-based dry etching) so as to come into contact with the interior surfaces of the plasma treatment chamber, and the products of the reaction with the residues are evacuated. The plasma used to clean the plasma treatment chamber includes an oxidizing gas (e.g., 02) and a chlorine- based gas (e.g. , Cl2) or a gas mixture containing the oxidizing gas, the chlorine-based gas and a fluorine- based gas. As a result, the plasma liberates the carbon of the organics and metals such as Al or Cu are released into a gaseous form via reaction with the chlorine. Oxides and some metals such as W react with the fluorine to form other gas by-products. The chlorine and fluorine also provide catalytic assistance to the oxygen (via sputtering) so as to liberate the carbon of the organics. As all of these reactions take place simultaneously and assist each other, all material in the residues is consumed. Accordingly, the residues of the previous chlorine-based dry etching are removed without leaving products that would interfere with future dry etching and without generating particles that would reduce the yield of the dry etch.
The following example is given for purposes of further explaining aspects of the invention. Exa ple In order to etch a multi-layer stack (such as an overlying layer of photoresists for patterning, Ti for the ARC, aluminum with copper and TiW) , it is conventional to perform at least a two etching step process. In particular, the Ti and Al are etched with a chlorine-based dry etch, and then the TiW is etched with a fluorine-based dry etch. When several hundred wafers are dry etched, residues from these etches coat the surfaces of the chamber, accumulating to a significant thickness which adversely affects subsequent dry etching of such wafers. In accordance with the invention, these residues can be removed by using the following gas mixtures: (1) 02 + Cl2 (gas volume ratio 20:4)
(2) 02 + Cl2 + CF4 (gas volume ratio 20:4:1)
The cleaning process according to the invention can be run at a high pressure (e.g., a pressure greater than 25 mTorr such as 40 mTorr) and then at a low pressure (e.g., a pressure lower than 25 mTorr such as 10 mTorr) , each step being performed for about 15 minutes. These "high" and "low" pressures are relative to the normal operating range of the dry etches performed in the chamber. The residues, containing metals, chlorine, fluorine and organics are thus removed via by-products such as A1C13, CO, C02, Cl2, CuCl and WF5. Due to the completeness of the reactions in converting the solid residues into gaseous form, no by-products remain in the chamber after the cleaning step.
In the case where the cleaning plasma includes an oxidizing gas and a chlorine-based gas, the chlorine-based gas can be present in the amount of 10- 25% by volume. In the case where the cleaning gas includes an oxidizing gas, a chlorine-based gas and a fluorine-based gas, the chlorine-based gas can be present in the amount of 10-25% by volume, and the fluorine-based gas can be present in the amount of 2- 10% by volume. The oxidizing gas can also contain H20, H202, 03 and/or mixtures thereof. The chlorine-based gas can comprise Cl2, CC14, HCl and/or mixtures thereof. The fluorine-based gas can comprise NF3, SF6, a fluorocarbon such as CF4 or C2F6 and/or combinations thereof. In either case, the molecules themselves, a combination, or the dissociated ions and radicals thereof may be incorporated into the oxidizing, the chlorine-based and/or the fluorine-based gas. The chlorine-based and fluorine-based gases can be replaced or supplemented by a chlorocarbon gas (also known as a CFC) .
While the invention has been described with reference to the foregoing embodiments, various changes and modifications can be made thereto within the scope of the appended claims.

Claims

What is Claimed is:
1. A plasma cleaning method for removing aluminum-containing residues in a plasma treatment chamber, comprising: introducing a cleaning gas mixture comprising an oxidizing gas and a chlorine containing gas into a plasma treatment chamber; and performing a plasma cleaning step by activating the cleaning gas mixture and forming a plasma with the cleaning gas, contacting interior surfaces of the plasma treatment chamber with the plasma cleaning gas and removing aluminum-containing residues on the interior surfaces.
2. The method of claim 1, wherein the cleaning gas mixture further comprises a fluorine-based gas.
3. The method of claim 1, wherein the chlorine-based gas comprises 10-25% by volume of the cleaning gas.
4. The method of claim 2, wherein the fluorine-based gas comprises 2-10% by volume of the cleaning gas.
5. The method of claim 1, wherein the chlorine-based gas comprises Cl2, CC14, HCl or mixtures thereof.
6. The method of claim 2, wherein the fluorine-based gas comprises NF3, SF6, a fluorocarbon or mixture thereof.
7. The method of claim 6, wherein the fluorocarbon comprises CF4, C2F6 or mixture thereof.
8. The method of claim 1, wherein the oxidizing gas contains H20, H202, 03 or mixture thereof.
9. The method of claim 2, wherein the chlorine-based gas and the fluorine-based gas comprise a chlorofluorocarbon gas.
10. The method of claim 1, wherein the plasma cleaning gas removes carbon of organic residues, metals, and metal oxides in the form of gas by¬ products.
11. The method of claim 1, wherein at least one of aluminum and copper in the residues is converted into a gaseous form via reaction with the chlorine in the plasma cleaning gas.
12. The method of claim 2, wherein at least one of oxides and tungsten in the residues is converted into a gaseous form via reaction with the fluorine of the plasma cleaning gas.
13. The method of claim 1, wherein the plasma treatment chamber is evacuated during the cleaning step.
14. The method of claim 13, wherein during the cleaning step an interior of the plasma treatment chamber is initially at a high pressure and then at a low pressure, the high pressure being greater than 25 mTorr and the low pressure being less than 25 mTorr.
15. The method of claim 14, wherein the high pressure is around 40 mTorr and the low pressure is around 10 mTorr.
16. The method of claim 1, wherein at least one by-product selected from the group consisting of
A1C13, CO, C02, Cl2, CuCl, and WF5 is removed from the plasma treatment chamber when the interior surfaces of the chamber are contacted with the plasma cleaning gas.
17. The method of claim 1, wherein the residues are removed without opening the plasma treatment chamber.
18. The method of claim 1, further comprising a step of dry etching one or more layers on at least one wafer prior to the plasma cleaning step, the layers including at least one of a photoresist, metal film and oxide film, the dry etching causing residues to be deposited on interior surfaces of the plasma treatment chamber.
19. The method of claim 18, wherein the dry etch comprises a chlorine-based dry etch and residues of the chlorine-based dry etch are removed by the plasma cleaning gas without leaving by-products that will interfere with a dry etch performed after the plasma cleaning step and without generating particles which would reduce a yield of a subsequent dry etch.
20. The method of claim 1, wherein the residues are completely removed from the interior surfaces of the plasma treatment chamber and no by¬ products remain in the plasma treatment chamber after the plasma cleaning step is terminated.
PCT/US1993/005750 1992-06-22 1993-06-16 A plasma cleaning method for removing residues in a plasma treatment chamber WO1994000251A1 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
KR1019940704665A KR100293830B1 (en) 1992-06-22 1993-06-16 Plasma Purification Method for Removing Residues in Plasma Treatment Chamber
JP50243794A JP3502096B2 (en) 1992-06-22 1993-06-16 Plasma cleaning method for removing residue in plasma processing apparatus
DE69320963T DE69320963T2 (en) 1992-06-22 1993-06-16 PLASMA CLEANING METHOD FOR REMOVING RESIDUES IN A PLASMA TREATMENT CHAMBER
EP93915378A EP0647163B1 (en) 1992-06-22 1993-06-16 A plasma cleaning method for removing residues in a plasma treatment chamber

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US90266392A 1992-06-22 1992-06-22
US07/902,663 1992-06-22

Publications (1)

Publication Number Publication Date
WO1994000251A1 true WO1994000251A1 (en) 1994-01-06

Family

ID=25416198

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US1993/005750 WO1994000251A1 (en) 1992-06-22 1993-06-16 A plasma cleaning method for removing residues in a plasma treatment chamber

Country Status (7)

Country Link
US (1) US5356478A (en)
EP (1) EP0647163B1 (en)
JP (1) JP3502096B2 (en)
KR (1) KR100293830B1 (en)
DE (1) DE69320963T2 (en)
TW (1) TW227643B (en)
WO (1) WO1994000251A1 (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE4414263A1 (en) * 1994-04-23 1995-10-26 Fraunhofer Ges Forschung Plasma-induced chemical cleaning of substrates
WO2000019491A1 (en) * 1998-09-30 2000-04-06 Applied Materials, Inc. Method for cleaning a process chamber
WO2002091453A1 (en) * 2001-05-04 2002-11-14 Lam Research Corporation High pressure wafer-less auto clean for etch applications
FR2866470A1 (en) * 2004-02-18 2005-08-19 Atmel Nantes Sa Integrated circuit manufacturing procedure includes preparation of plasma-enhanced chemical vapor deposition chamber with compound containing at least one oxygen atom
US10734243B2 (en) 2016-12-13 2020-08-04 Tokyo Electron Limited Etching method and substrate processing system

Families Citing this family (576)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5417826A (en) * 1992-06-15 1995-05-23 Micron Technology, Inc. Removal of carbon-based polymer residues with ozone, useful in the cleaning of plasma reactors
KR100363340B1 (en) * 1993-05-20 2003-02-19 가부시끼가이샤 히다치 세이사꾸쇼 Plasma processing method
US5486235A (en) * 1993-08-09 1996-01-23 Applied Materials, Inc. Plasma dry cleaning of semiconductor processing chambers
JPH0786242A (en) * 1993-09-10 1995-03-31 Fujitsu Ltd Manufacture of semiconductor device
JPH07142444A (en) * 1993-11-12 1995-06-02 Hitachi Ltd Microwave plasma processing system and method
KR0137841B1 (en) * 1994-06-07 1998-04-27 문정환 Method for removing a etching waste material
JP3533583B2 (en) * 1994-07-25 2004-05-31 富士通株式会社 Cleaning method for hydrogen plasma down flow device
US5769953A (en) * 1995-05-01 1998-06-23 Bridgestone Corporation Plasma and heating method of cleaning vulcanizing mold for ashing residue
JPH08319586A (en) * 1995-05-24 1996-12-03 Nec Yamagata Ltd Method for cleaning vacuum treating device
US6060397A (en) * 1995-07-14 2000-05-09 Applied Materials, Inc. Gas chemistry for improved in-situ cleaning of residue for a CVD apparatus
US5753567A (en) * 1995-08-28 1998-05-19 Memc Electronic Materials, Inc. Cleaning of metallic contaminants from the surface of polycrystalline silicon with a halogen gas or plasma
US5756400A (en) * 1995-12-08 1998-05-26 Applied Materials, Inc. Method and apparatus for cleaning by-products from plasma chamber surfaces
US5647953A (en) * 1995-12-22 1997-07-15 Lam Research Corporation Plasma cleaning method for removing residues in a plasma process chamber
US5952244A (en) * 1996-02-15 1999-09-14 Lam Research Corporation Methods for reducing etch rate loading while etching through a titanium nitride anti-reflective layer and an aluminum-based metallization layer
US6004884A (en) * 1996-02-15 1999-12-21 Lam Research Corporation Methods and apparatus for etching semiconductor wafers
US6554910B1 (en) 1996-05-17 2003-04-29 Micron Technology, Inc. Method for treating residues in semiconductor processing chambers
US5679214A (en) * 1996-06-14 1997-10-21 Taiwan Semiconductor Manufacturing Company, Ltd Method of maintaining a strong endpoint detection signal for RIE processes, via use of an insitu dry clean procedure
US6626185B2 (en) * 1996-06-28 2003-09-30 Lam Research Corporation Method of depositing a silicon containing layer on a semiconductor substrate
US5846443A (en) * 1996-07-09 1998-12-08 Lam Research Corporation Methods and apparatus for etching semiconductor wafers and layers thereof
US5770523A (en) * 1996-09-09 1998-06-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method for removal of photoresist residue after dry metal etch
US5883007A (en) * 1996-12-20 1999-03-16 Lam Research Corporation Methods and apparatuses for improving photoresist selectivity and reducing etch rate loading
KR100268926B1 (en) * 1996-12-31 2000-10-16 김영환 Method of forming metal interconnector in semiconductor device
US6051286A (en) * 1997-02-12 2000-04-18 Applied Materials, Inc. High temperature, high deposition rate process and apparatus for depositing titanium layers
US5843239A (en) * 1997-03-03 1998-12-01 Applied Materials, Inc. Two-step process for cleaning a substrate processing chamber
US5980768A (en) * 1997-03-07 1999-11-09 Lam Research Corp. Methods and apparatus for removing photoresist mask defects in a plasma reactor
JP3594759B2 (en) * 1997-03-19 2004-12-02 株式会社日立製作所 Plasma processing method
US5868853A (en) * 1997-06-18 1999-02-09 Taiwan Semiconductor Manufacturing Co. Ltd. Integrated film etching/chamber cleaning process
US6087266A (en) * 1997-06-27 2000-07-11 Lam Research Corporation Methods and apparatus for improving microloading while etching a substrate
US6534007B1 (en) * 1997-08-01 2003-03-18 Applied Komatsu Technology, Inc. Method and apparatus for detecting the endpoint of a chamber cleaning
US6090304A (en) * 1997-08-28 2000-07-18 Lam Research Corporation Methods for selective plasma etch
US6003526A (en) * 1997-09-12 1999-12-21 Taiwan Semiconductor Manufacturing Company, Ltd In-sit chamber cleaning method
US6379575B1 (en) * 1997-10-21 2002-04-30 Applied Materials, Inc. Treatment of etching chambers using activated cleaning gas
US6872322B1 (en) 1997-11-12 2005-03-29 Applied Materials, Inc. Multiple stage process for cleaning process chambers
US6136211A (en) 1997-11-12 2000-10-24 Applied Materials, Inc. Self-cleaning etch process
US6322714B1 (en) 1997-11-12 2001-11-27 Applied Materials Inc. Process for etching silicon-containing material on substrates
US6797188B1 (en) 1997-11-12 2004-09-28 Meihua Shen Self-cleaning process for etching silicon-containing material
WO1999026277A1 (en) 1997-11-17 1999-05-27 Mattson Technology, Inc. Systems and methods for plasma enhanced processing of semiconductor wafers
TW466266B (en) * 1997-12-18 2001-12-01 Central Glass Co Ltd Gas for removing deposit and removal method using same
US6071573A (en) * 1997-12-30 2000-06-06 Lam Research Corporation Process for precoating plasma CVD reactors
US6042654A (en) * 1998-01-13 2000-03-28 Applied Materials, Inc. Method of cleaning CVD cold-wall chamber and exhaust lines
US6093655A (en) 1998-02-12 2000-07-25 Micron Technology, Inc. Plasma etching methods
KR100425856B1 (en) * 1998-03-26 2004-06-18 엘지.필립스 엘시디 주식회사 Method for etching layer to be etched
US6223755B1 (en) * 1998-04-23 2001-05-01 Sandia Corporation Method and apparatus for monitoring plasma processing operations
US6067999A (en) * 1998-04-23 2000-05-30 International Business Machines Corporation Method for deposition tool cleaning
US6235213B1 (en) * 1998-05-18 2001-05-22 Micron Technology, Inc. Etching methods, methods of removing portions of material, and methods of forming silicon nitride spacers
KR100319165B1 (en) * 1998-08-20 2002-05-09 박종섭 Manufacturing method of fine pattern of semiconductor device
US6277759B1 (en) 1998-08-27 2001-08-21 Micron Technology, Inc. Plasma etching methods
US6130166A (en) * 1999-02-01 2000-10-10 Vlsi Technology, Inc. Alternative plasma chemistry for enhanced photoresist removal
US6374831B1 (en) * 1999-02-04 2002-04-23 Applied Materials, Inc. Accelerated plasma clean
US6401728B2 (en) * 1999-03-01 2002-06-11 United Microelectronics Corp. Method for cleaning interior of etching chamber
SG101418A1 (en) * 1999-03-30 2004-01-30 Showa Denko Kk Production process for magnetic recording medium
US6374833B1 (en) * 1999-05-05 2002-04-23 Mosel Vitelic, Inc. Method of in situ reactive gas plasma treatment
US6352081B1 (en) 1999-07-09 2002-03-05 Applied Materials, Inc. Method of cleaning a semiconductor device processing chamber after a copper etch process
US6318381B1 (en) 1999-07-13 2001-11-20 Micron Technology, Inc. Methods of cleaning vaporization surfaces
US6350697B1 (en) 1999-12-22 2002-02-26 Lam Research Corporation Method of cleaning and conditioning plasma reaction chamber
US6371134B2 (en) 2000-01-31 2002-04-16 Advanced Micro Devices, Inc. Ozone cleaning of wafers
US6423479B1 (en) 2000-01-31 2002-07-23 Advanced Micro Devices, Inc. Cleaning carbon contamination on mask using gaseous phase
US6527968B1 (en) * 2000-03-27 2003-03-04 Applied Materials Inc. Two-stage self-cleaning silicon etch process
US6190062B1 (en) 2000-04-26 2001-02-20 Advanced Micro Devices, Inc. Cleaning chamber built into SEM for plasma or gaseous phase cleaning
US6440864B1 (en) 2000-06-30 2002-08-27 Applied Materials Inc. Substrate cleaning process
US6450117B1 (en) 2000-08-07 2002-09-17 Applied Materials, Inc. Directing a flow of gas in a substrate processing chamber
US6399509B1 (en) * 2000-09-18 2002-06-04 Promos Technologies, Inc. Defects reduction for a metal etcher
US6569257B1 (en) 2000-11-09 2003-05-27 Applied Materials Inc. Method for cleaning a process chamber
US6534921B1 (en) * 2000-11-09 2003-03-18 Samsung Electronics Co., Ltd. Method for removing residual metal-containing polymer material and ion implanted photoresist in atmospheric downstream plasma jet system
US6905800B1 (en) 2000-11-21 2005-06-14 Stephen Yuen Etching a substrate in a process zone
US6692903B2 (en) 2000-12-13 2004-02-17 Applied Materials, Inc Substrate cleaning apparatus and method
TWI237066B (en) * 2000-12-14 2005-08-01 Mosel Vitelic Inc A method of prevent an etcher from being eroded
US6621660B2 (en) 2001-01-16 2003-09-16 International Business Machines Corporation Thin film magnetic head
DE10102745C2 (en) * 2001-01-22 2003-06-12 Infineon Technologies Ag Process for cleaning a CVD reactor
US6852242B2 (en) 2001-02-23 2005-02-08 Zhi-Wen Sun Cleaning of multicompositional etchant residues
JP2002299316A (en) * 2001-03-29 2002-10-11 Toshiba Corp Plasma processing method
US7084070B1 (en) 2001-03-30 2006-08-01 Lam Research Corporation Treatment for corrosion in substrate processing
US20020177321A1 (en) 2001-03-30 2002-11-28 Li Si Yi Plasma etching of silicon carbide
US6770214B2 (en) 2001-03-30 2004-08-03 Lam Research Corporation Method of reducing aluminum fluoride deposits in plasma etch reactor
JP2002319571A (en) 2001-04-20 2002-10-31 Kawasaki Microelectronics Kk Preprocessing method for etching tank and manufacturing method for semiconductor device
US6676760B2 (en) 2001-08-16 2004-01-13 Appiled Materials, Inc. Process chamber having multiple gas distributors and method
US6878214B2 (en) * 2002-01-24 2005-04-12 Applied Materials, Inc. Process endpoint detection in processing chambers
US7204913B1 (en) * 2002-06-28 2007-04-17 Lam Research Corporation In-situ pre-coating of plasma etch chamber for improved productivity and chamber condition control
US7357138B2 (en) * 2002-07-18 2008-04-15 Air Products And Chemicals, Inc. Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
US6767836B2 (en) * 2002-09-04 2004-07-27 Asm Japan K.K. Method of cleaning a CVD reaction chamber using an active oxygen species
US20040045577A1 (en) * 2002-09-10 2004-03-11 Bing Ji Cleaning of processing chambers with dilute NF3 plasmas
US7270761B2 (en) * 2002-10-18 2007-09-18 Appleid Materials, Inc Fluorine free integrated process for etching aluminum including chamber dry clean
TW200410337A (en) * 2002-12-02 2004-06-16 Au Optronics Corp Dry cleaning method for plasma reaction chamber
US20040200498A1 (en) * 2003-04-08 2004-10-14 Applied Materials, Inc. Method and apparatus for cleaning a substrate processing chamber
US7323064B2 (en) * 2003-08-06 2008-01-29 Micron Technology, Inc. Supercritical fluid technology for cleaning processing chambers and systems
US20050035085A1 (en) * 2003-08-13 2005-02-17 Stowell William Randolph Apparatus and method for reducing metal oxides on superalloy articles
DE10344612A1 (en) * 2003-09-25 2005-05-04 Infineon Technologies Ag Use of a mixture of a fluorine-containing and chlorine-containing gas for cleaning atomic layer deposition reactors used in semiconductor technology
CN100355015C (en) * 2003-11-14 2007-12-12 茂德科技股份有限公司 Reaction room treating method
US7055263B2 (en) * 2003-11-25 2006-06-06 Air Products And Chemicals, Inc. Method for cleaning deposition chambers for high dielectric constant materials
US20050241670A1 (en) * 2004-04-29 2005-11-03 Dong Chun C Method for cleaning a reactor using electron attachment
US20050241671A1 (en) * 2004-04-29 2005-11-03 Dong Chun C Method for removing a substance from a substrate using electron attachment
US20060011578A1 (en) * 2004-07-16 2006-01-19 Lam Research Corporation Low-k dielectric etch
CN100352013C (en) * 2004-07-16 2007-11-28 鸿富锦精密工业(深圳)有限公司 Dry etch post process method
US7597816B2 (en) * 2004-09-03 2009-10-06 Lam Research Corporation Wafer bevel polymer removal
JP2006165246A (en) * 2004-12-07 2006-06-22 Tokyo Electron Ltd Plasma etching method
US7488689B2 (en) * 2004-12-07 2009-02-10 Tokyo Electron Limited Plasma etching method
US8486198B2 (en) * 2005-08-04 2013-07-16 Aviza Technology Limited Method of processing substrates
WO2007142850A2 (en) * 2006-06-02 2007-12-13 Applied Materials Gas flow control by differential pressure measurements
US20070295357A1 (en) * 2006-06-27 2007-12-27 Lovejoy Michael L Removing metal using an oxidizing chemistry
KR100785443B1 (en) * 2006-08-11 2007-12-13 삼성전자주식회사 Apparatus for cleaning a chamber for fabricating semiconductor device and method of cleaning the same
US7575007B2 (en) * 2006-08-23 2009-08-18 Applied Materials, Inc. Chamber recovery after opening barrier over copper
US8292698B1 (en) 2007-03-30 2012-10-23 Lam Research Corporation On-line chamber cleaning using dry ice blasting
WO2008149741A1 (en) * 2007-05-31 2008-12-11 Ulvac, Inc. Method for dry cleaning plasma processing apparatus
EP2025775A1 (en) * 2007-07-05 2009-02-18 Interuniversitair Microelektronica Centrum Vzw Photon induced cleaning of a reaction chamber
US8118946B2 (en) 2007-11-30 2012-02-21 Wesley George Lau Cleaning process residues from substrate processing chamber components
JP2010080850A (en) * 2008-09-29 2010-04-08 Toshiba Corp Semiconductor manufacturing device and cleaning method thereof
US7967913B2 (en) * 2008-10-22 2011-06-28 Applied Materials, Inc. Remote plasma clean process with cycled high and low pressure clean steps
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
CN102893378A (en) * 2009-11-09 2013-01-23 3M创新有限公司 Etching process for semiconductors
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US9017486B2 (en) 2010-09-09 2015-04-28 International Business Machines Corporation Deposition chamber cleaning method including stressed cleaning layer
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
KR101903215B1 (en) * 2011-07-13 2018-10-01 도쿄엘렉트론가부시키가이샤 Etching method and device
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US9533332B2 (en) * 2011-10-06 2017-01-03 Applied Materials, Inc. Methods for in-situ chamber clean utilized in an etching processing chamber
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9114438B2 (en) * 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9142393B2 (en) * 2013-05-23 2015-09-22 Asm Ip Holding B.V. Method for cleaning reaction chamber using pre-cleaning process
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US10163656B2 (en) * 2013-11-16 2018-12-25 Applied Materials, Inc. Methods for dry etching cobalt metal using fluorine radicals
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
JP6285213B2 (en) * 2014-03-03 2018-02-28 東京エレクトロン株式会社 Cleaning method for plasma processing apparatus
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
KR102300403B1 (en) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. Method of depositing thin film
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
KR102263121B1 (en) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. Semiconductor device and manufacuring method thereof
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9633867B2 (en) 2015-01-05 2017-04-25 Lam Research Corporation Method and apparatus for anisotropic tungsten etching
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (en) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. Method of forming metal interconnection and method of fabricating semiconductor device using the same
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10304668B2 (en) 2016-05-24 2019-05-28 Tokyo Electron Limited Localized process control using a plasma system
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (en) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
CN111316417B (en) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 Storage device for storing wafer cassettes for use with batch ovens
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (en) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 Deposition method
CN111630203A (en) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 Method for depositing gap filling layer by plasma auxiliary deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN116732497A (en) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 Method for depositing ruthenium-containing films on substrates by cyclical deposition processes
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI766433B (en) 2018-02-28 2022-06-01 美商應用材料股份有限公司 Systems and methods to form airgaps
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
TWI811348B (en) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TW202349473A (en) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (en) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (en) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. Periodic deposition method for forming metal-containing material and film and structure comprising metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
GB201817370D0 (en) * 2018-10-25 2018-12-12 Spts Technologies Ltd A method of fabricating integrated circuits
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (en) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 Method of forming device structure, structure formed by the method and system for performing the method
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
KR102638425B1 (en) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for filling a recess formed within a substrate surface
KR20200102357A (en) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for plug fill deposition in 3-d nand applications
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
TW202104632A (en) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
KR20200116033A (en) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
KR20200123380A (en) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (en) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 Method of forming topology-controlled amorphous carbon polymer film
CN112309843A (en) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 Selective deposition method for achieving high dopant doping
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (en) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. Liquid level sensor for a chemical source vessel
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
TW202115273A (en) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
TW202125596A (en) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132576A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Method of forming vanadium nitride-containing layer and structure comprising the same
KR20210132605A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Vertical batch furnace assembly comprising a cooling gas supply
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
KR20220010438A (en) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
KR20220027026A (en) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. Method and system for forming metal silicon oxide and metal silicon oxynitride
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
JP7418309B2 (en) * 2020-09-16 2024-01-19 東京エレクトロン株式会社 Plasma purge method
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
CN114639631A (en) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 Fixing device for measuring jumping and swinging
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4786360A (en) * 1987-03-30 1988-11-22 International Business Machines Corporation Anisotropic etch process for tungsten metallurgy
JPH0250185A (en) * 1988-08-12 1990-02-20 Canon Inc Developing device
US4975146A (en) * 1989-09-08 1990-12-04 Motorola Inc. Plasma removal of unwanted material
US4983254A (en) * 1989-01-10 1991-01-08 Fujitsu Limited Processing for stripping organic material
JPH0362520A (en) * 1989-07-31 1991-03-18 Hitachi Ltd Plasma cleaning process
US5108542A (en) * 1990-08-23 1992-04-28 Hewlett Packard Company Selective etching method for tungsten and tungsten alloys
US5110408A (en) * 1989-08-28 1992-05-05 Hitachi, Ltd. Process for etching
US5158644A (en) * 1986-12-19 1992-10-27 Applied Materials, Inc. Reactor chamber self-cleaning process

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS57201016A (en) * 1981-06-05 1982-12-09 Oki Electric Ind Co Ltd Cleaning method for semiconductor manufacturing apparatus
US4576698A (en) * 1983-06-30 1986-03-18 International Business Machines Corporation Plasma etch cleaning in low pressure chemical vapor deposition systems
JPS61250185A (en) * 1985-04-25 1986-11-07 Anelva Corp Cleaning method for vacuum treatment device
US4749440A (en) * 1985-08-28 1988-06-07 Fsi Corporation Gaseous process and apparatus for removing films from substrates
US4786352A (en) * 1986-09-12 1988-11-22 Benzing Technologies, Inc. Apparatus for in-situ chamber cleaning
JPS63210275A (en) * 1987-02-24 1988-08-31 Semiconductor Energy Lab Co Ltd Method for removing unnecessary carbon matter in apparatus for producing carbon
JPS6432633A (en) * 1987-07-29 1989-02-02 Hitachi Ltd Taper etching method
US4998979A (en) * 1988-06-06 1991-03-12 Canon Kabushiki Kaisha Method for washing deposition film-forming device
US5022958A (en) * 1990-06-27 1991-06-11 At&T Bell Laboratories Method of etching for integrated circuits with planarized dielectric

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5158644A (en) * 1986-12-19 1992-10-27 Applied Materials, Inc. Reactor chamber self-cleaning process
US4786360A (en) * 1987-03-30 1988-11-22 International Business Machines Corporation Anisotropic etch process for tungsten metallurgy
JPH0250185A (en) * 1988-08-12 1990-02-20 Canon Inc Developing device
US4983254A (en) * 1989-01-10 1991-01-08 Fujitsu Limited Processing for stripping organic material
JPH0362520A (en) * 1989-07-31 1991-03-18 Hitachi Ltd Plasma cleaning process
US5110408A (en) * 1989-08-28 1992-05-05 Hitachi, Ltd. Process for etching
US4975146A (en) * 1989-09-08 1990-12-04 Motorola Inc. Plasma removal of unwanted material
US5108542A (en) * 1990-08-23 1992-04-28 Hewlett Packard Company Selective etching method for tungsten and tungsten alloys

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See also references of EP0647163A4 *

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE4414263A1 (en) * 1994-04-23 1995-10-26 Fraunhofer Ges Forschung Plasma-induced chemical cleaning of substrates
DE4414263C2 (en) * 1994-04-23 2000-07-06 Fraunhofer Ges Forschung Process and evaporator for plasma chemical cleaning of substrates
WO2000019491A1 (en) * 1998-09-30 2000-04-06 Applied Materials, Inc. Method for cleaning a process chamber
US6242347B1 (en) 1998-09-30 2001-06-05 Applied Materials, Inc. Method for cleaning a process chamber
US6482746B2 (en) 1998-09-30 2002-11-19 Applied Materials, Inc. Computer readable medium for controlling a method of cleaning a process chamber
WO2002091453A1 (en) * 2001-05-04 2002-11-14 Lam Research Corporation High pressure wafer-less auto clean for etch applications
KR100887906B1 (en) * 2001-05-04 2009-03-12 램 리써치 코포레이션 High pressure wafer-less auto clean for etch applications
FR2866470A1 (en) * 2004-02-18 2005-08-19 Atmel Nantes Sa Integrated circuit manufacturing procedure includes preparation of plasma-enhanced chemical vapor deposition chamber with compound containing at least one oxygen atom
EP1566468A2 (en) * 2004-02-18 2005-08-24 Atmel Nantes Sa Method for fabrication of integrated circuits and corresponding device
EP1566468A3 (en) * 2004-02-18 2006-06-21 Atmel Nantes Sa Method for fabrication of integrated circuits and corresponding device
US10734243B2 (en) 2016-12-13 2020-08-04 Tokyo Electron Limited Etching method and substrate processing system

Also Published As

Publication number Publication date
TW227643B (en) 1994-08-01
JP3502096B2 (en) 2004-03-02
EP0647163A4 (en) 1996-02-07
EP0647163B1 (en) 1998-09-09
DE69320963T2 (en) 1999-05-12
KR100293830B1 (en) 2001-09-17
KR950702140A (en) 1995-06-19
DE69320963D1 (en) 1998-10-15
JPH07508313A (en) 1995-09-14
EP0647163A1 (en) 1995-04-12
US5356478A (en) 1994-10-18

Similar Documents

Publication Publication Date Title
US5356478A (en) Plasma cleaning method for removing residues in a plasma treatment chamber
US5647953A (en) Plasma cleaning method for removing residues in a plasma process chamber
US5817578A (en) Method of cleaning vacuum processing apparatus
JPH05267256A (en) Method of cleaning reaction chamber
US8278222B2 (en) Selective etching and formation of xenon difluoride
KR100531337B1 (en) Plasma treatment method and manufacturing method of semiconductor device
US20070117396A1 (en) Selective etching of titanium nitride with xenon difluoride
EP0801606A1 (en) Cleaning method
EP1053566B1 (en) Method and composition for dry photoresist stripping in semiconductor fabrication
WO2001004936A1 (en) Method of cleaning a semiconductor device processing chamber after a copper etch process
JPH04311033A (en) Method for etching post-treatment for semiconductor device
US20050066994A1 (en) Methods for cleaning processing chambers
TWI475611B (en) Selective etching and formation of xenon difluoride
US5599743A (en) Method of manufacturing a semiconductor device
EP1724374A1 (en) Method and process for reactive gas cleaning of tool parts
JP2892694B2 (en) Plasma cleaning method
JP2008060171A (en) Method of cleaning semiconductor processing equipment
JPH10178014A (en) Method for manufacturing semiconductor device
EP1362366A1 (en) Method for etching a hardmask layer and a metal layer
JP3195066B2 (en) Dry etching method
CN104576316B (en) Pre-cleaning semiconductor structure
JPH06302565A (en) Plasma cleaning method for chamber
JP2001308068A (en) Method of cleaning chamber of etching apparatus
KR20220063927A (en) Substrate processing method
JPH0927475A (en) Dry etching method

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): JP KR

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): AT BE CH DE DK ES FR GB GR IE IT LU MC NL PT SE

121 Ep: the epo has been informed by wipo that ep was designated in this application
DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
WWE Wipo information: entry into national phase

Ref document number: 1019940704665

Country of ref document: KR

WWE Wipo information: entry into national phase

Ref document number: 1993915378

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 1993915378

Country of ref document: EP

WWG Wipo information: grant in national office

Ref document number: 1993915378

Country of ref document: EP