WO1993018201A1 - Plasma implantation process and equipment - Google Patents

Plasma implantation process and equipment Download PDF

Info

Publication number
WO1993018201A1
WO1993018201A1 PCT/US1993/001788 US9301788W WO9318201A1 WO 1993018201 A1 WO1993018201 A1 WO 1993018201A1 US 9301788 W US9301788 W US 9301788W WO 9318201 A1 WO9318201 A1 WO 9318201A1
Authority
WO
WIPO (PCT)
Prior art keywords
target
wafer
target electrode
plasma
ion
Prior art date
Application number
PCT/US1993/001788
Other languages
French (fr)
Inventor
Susan B. Felch
Charles Burleigh Cooper, Iii
Terry Tienyu Sheng
Stephen S. Rosenblum
Original Assignee
Varian Associates, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Varian Associates, Inc. filed Critical Varian Associates, Inc.
Publication of WO1993018201A1 publication Critical patent/WO1993018201A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/48Ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32412Plasma immersion ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • H01J37/32678Electron cyclotron resonance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • H01J37/32706Polarising the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/223Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase
    • H01L21/2236Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase from or into a plasma phase

Definitions

  • This invention relates to the field of semiconductor processing and particularly to the field of doping of semiconductors by ion implantation.
  • Materials called semiconductor are the basis of most of modern electronic devices.
  • Semiconductor materials such as silicon, have a crystalline structure in which each atom is tightly bound to its neighbor such that the material is a very poor conductor of electricity because none of the carriers of electricity are mobile. Some electrons can become conductors if they acquire sufficient energy to break free.
  • the conductivity of a pure semiconductor is called the intrinsic conductivity, but the material is not useful as an electronic device in that form.
  • a small amount of certain types of impurity are needed to be added into its crystal lattice. Even an extremely small amount of such impurities will provide a tremendous increase in the number of current carriers.
  • the impurity selected is an atom of the same size as the semiconductor atom having a different number of electrons in its outer or valence band so as to result in a chemically bonded structure where the unbonded electron or hole can move around in the structure with very little energy expenditure.
  • This process of adding an impurity has typically been called "doping". Early doping was accomplished by simultaneously placing a plurality of semiconductor wafers in a high temperature diffusion furnace into which has been added gas containing dopant which was diffused into the semiconductor. This process worked well for most early so called discrete transistors.
  • PI 3 Plasma Immersion Ion Implantation
  • This system locates the target within the plasma in the center of the plasma chamber and away from the chamber walls.
  • the object of our invention is to provide an improved implantation apparatus with the uniformity of scanning implantation but with the simplicity of PI 3 .
  • a further object is to provide simple implantation apparatus with shallow junction capability, having high throughput, as well as better uniformity and control of implant.
  • the present invention provides a configuration which applies a pulsed uniform electric field over one surface of a large area target electrode so that a large cross section ion beam is available.
  • the target electrode upon which the substrate workpiece is to be mounted is placed on the downstream chamber wall as opposed to being immersed in the plasma, and a unipolar, variable pulse width high voltage is applied to the target.
  • This configuration also permits a symmetrical plurality of vacuum pumping ports to be placed completely around the target to facilitate symmetrical removal of reaction products and neutral species during implantation.
  • ground shielding which is symmetrically placed close to and distributed around the sides of the target electrode, so that secondary plasma formation is eliminated.
  • FIG. 1 is a schematic representation of a cross section of a portion of our inventive implanter.
  • FIG. 2 is a cross section of an embodiment of our invention.
  • FIG. 3A is a bottom view of our implanter showing the symmetry of the vacuum ports.
  • FIG. 3B is section BB side view of FIG 3A exhaust manifold.
  • FIG. 4A, 4B and 4C are alternate embodiments of workpiece and electrode configurations.
  • the electrons 54 in the charged gas in the close vicinity of the electrode 13 are repelled first, because they are lighter.
  • This sheath extends to a distance of 1 to 3 cm above the target electrode 13.
  • the positive ions in this region 53 are accelerated by the large area negative potential of the target along the straight field lines pe ⁇ endicular to the planar face of the electrode 13. Since the workpiece wafer 12 is situated between the gases and the electrode 13, the positive ions impact and implant into the wafer.
  • All of the exhaust ports are preferably connected as shown in bottom views, FIGS. 3A and 3B, to a centrally located manifold 37 in order to have a uniform and symmetrical pressure gradient in the vicinity of the target electrode for uniform distribution of plasma components and the reaction products.
  • Very high voltage gradients exist in the gap 32 between the side wall of the target electrode 13 and the cylindrical ground shield 22.
  • This gap 32 must be large enough so that an arc is not struck in this space and so that the region is cleanable. It is preferable to round the corners of the target 13 and shield 22 near the mouth of the gap 32 to avoid field emission and spurious arcing. Our embodiment will not arc below 6KV DC. Also, the gap 32 must be narrow enough so that ions cannot be trapped in the gaps to sustain a plasma when the accelerating voltage pulse is supplied to the target 13. This gap distance is related to the chamber pressure and should be less than the order of the mean free path for the ion involved at the pressure employed. In our configuration, the gap 32 is on the order of 0J25 inches.
  • a standard microwave generator 5 is coupled to the ECR plasma source 2 via waveguide 7 containing an RF tuner 6 such as a stub tuner.
  • the microwaves enter into the plasma source through RF window quartz disk 8.
  • an alumina layer 9 could be coated on disk 8 or ' it could be part of the alumina chamber liner 10, as shown.
  • BF 3 is the source gas, sputtering of contaminants from the stainless steel walls of the plasma chamber may occur which will introduce contaminant ions into the implant.
  • Magnet coils 3 and 4 are shown surrounding the plasma source 2 and provide the uniform strong axial fixed magnetic field necessary to sustain electron cyclotron resonance in the chamber 2.
  • An electron in motion in a magnetic field is acted upon by the field to produce force on the electron at right angles to the direction of motion of the electron.
  • the radius of curvature is an inverse function of the intensity of the magnetic field.
  • the liner 10 is preferably made from alumina but could be made from any material which does not contain elements which should not be co-implanted.
  • the liner material could be made of a material that is resistant to sputtering or chemical etching by the plasma species. In the case of processing with BF 3 , resistant materials include oxides (i.e. alumina), nitrides (i.e., boron nitride or silicon nitride) or carbides (i.e., silicon carbide).
  • the liner could be of a sacrificial material which has measurable etch rates in the presence of the plasma species, but does not contribute undesirable impurities which could be co-implanted.
  • sacrificial materials include carbon (i.e., graphite, diamond) or poly-crystalline silicon.
  • the plasma source chamber could be coated with films of liner materials which could be applied by plasma spraying, CVD, sputtering or evaporation. Alternatively, the plasma, source chamber walls could be protected by a separate piece of material composed entirely of or coated with the desired liner material.
  • Magnet 19 is a coil which may be used to assist in canceling the magnetic fields in the vicinity of the target electrode to improve plasma ion density uniformity at electrode wafer interface.
  • Chamber 1 is an axially symmetrical structure with the target electrode 13 mounted to the wall of the chamber opposite from the mouth of plasma source 2.
  • Slit valve 27 permits the loading and unloading of the chamber by a transfer arm (not shown) without requirement for pumping down from atmosphere each time a new wafer is introduced in the chamber. It is believed that our system will be able to treat 30 six-inch wafers per hour when fully automated for doping time per wafer of 1 minute. During wafer doping only the four ports 20, 21, 20a and 21a are pumped. At other times the chamber can be pumped through high conductance side port 38 at greater speed to provide a lower base pressure. During loading of a wafer the pressure is below 1 X 10" 6 torr in the chamber. We find that this helps eliminate deposition on the wafer and coimplantation of contaminating elements.
  • the target electrode 13 is electrically isolated from the chamber walls by a dielectric ring vacuum seal 23 and mechanically clamped
  • Viable implantation can be carried out over the following range of conditions.
  • the flow rate of BF 3 gas can be varied between 4 to 50 SCCM giving pressures of 0.3-2.0 mtorr and the microwave power varied from 550 to 1400 W.
  • Pulse voltages can be varied from 1-30/x seconds at voltages from 1-5KV. Pulse repetition rate can be varied from DC to 10,000 Hz.
  • Our chambers can be oriented with the wafer facing up, down, or sideways with respect to gravity. We believe that the quality of the finished product is independent of the gravity orientation of the wafer during implantation so long as the gas flows in a straight line from the source region to the wafer and passes around the wafer as it is being pumped out.
  • ECR electrospray generating
  • Other types of remote plasma generation providing high density, low plasma potential such as inductively coupled plasma generation, helicon or hollow cathode sources could also be employed.
  • FIG. 2 we have determined that ion bombardment of the aluminum target electrode 13 in the region of the periphery 39 of the wafer 12 could be responsible for the introduction Of contamination of the wafer being implanted.
  • FIG. 4A, 4B and 4C show other configurations of the target 13 which improve or overcome this difficulty.
  • FIG. 4A we show a shortening of the target electrode 13a so that its periphery exactly matches the periphery of the overlying target 12. Obviously this configuration will reduce the extent of the target 13a which is directly bombarded by ions.
  • FIG. 4B illustrates our preferred target electrode embodiment which is a configuration where the target electrode 13b has a diameter which is considerably smaller than the diameter of the wafer 12a. This configuration also avoids contamination by shielding the electrode from direct ion bombardment.
  • the target electrode 13c has a very much larger planar surface area 43 than the frontal surface area of the wafer 44.
  • the passivation layer 40 would preferably be a silicon wafer of larger diameter than wafer 12 in order to minimize contamination from direct ion bombardment of the target electrode.
  • the wafer 12 may simply be held by gravity on the top surface of the wafer 40 or by use of a vacuum chuck. To improve the heat transfer across wafer 40, its surfaces top and bottom should be very smooth.
  • the temperature of the wafer 12 is not normally a problem because of the lower implantation energy employed in our invention than in comparison to raster scanning implantation techniques.

Abstract

A method and apparatus is provided for ion implantation for large dose, low energy work which does not immerse the target wafer (12) in the plasma (50) and which obtains good sheet resistance uniformity, high production rate and good under 100 nm shallow junction depth control.

Description

PLASMA IMPLANTATION PROCESS AND EQUIPMENT
Field of the Invention
This invention relates to the field of semiconductor processing and particularly to the field of doping of semiconductors by ion implantation.
Background of the Invention
Materials called semiconductor are the basis of most of modern electronic devices. Semiconductor materials, such as silicon, have a crystalline structure in which each atom is tightly bound to its neighbor such that the material is a very poor conductor of electricity because none of the carriers of electricity are mobile. Some electrons can become conductors if they acquire sufficient energy to break free. The conductivity of a pure semiconductor is called the intrinsic conductivity, but the material is not useful as an electronic device in that form. A small amount of certain types of impurity are needed to be added into its crystal lattice. Even an extremely small amount of such impurities will provide a tremendous increase in the number of current carriers. Usually the impurity selected is an atom of the same size as the semiconductor atom having a different number of electrons in its outer or valence band so as to result in a chemically bonded structure where the unbonded electron or hole can move around in the structure with very little energy expenditure. This process of adding an impurity has typically been called "doping". Early doping was accomplished by simultaneously placing a plurality of semiconductor wafers in a high temperature diffusion furnace into which has been added gas containing dopant which was diffused into the semiconductor. This process worked well for most early so called discrete transistors. However, when it became important to increase the number and decrease the size of each transistor on a given piece of silicon, it became important to gain much more precise control over the spatial distribution and concentration of impurities added to the semiconductors than was possible employing the diffusion process. At this stage, a device known as an ion implanter became the usual tool for adding the necessary impurity to the crystal. These implanter devices are complex large devices capable of very precise control of a dopant ion beam which beam was typically scanned to uniformly cover the entire wafer surface.
In recent years, as semiconductor technology has continued to evolve, it has become recognized that the standard ion implanter has certain limitations in application where a low energy beam (under 10KV) is required, especially where the requirement is for high dose and production rate (wafer throughput) for the processing apparatus.
A method known as Plasma Immersion Ion Implantation (PI3) is being considered for this application. Using PI3 apparatus, a high ion density (1010 - 10u cm"3) plasma is able to be generated. A substrate near the plasma is negatively biased causing positive ions to be accelerated toward the substrate and implanted therein. The dose rate can be high, i.e., 1016 cm"2 s"1, and large samples can be implanted quickly without any scanning.
Prior PI3 work is described by N.W. Cheung, "Plasma Immersion Ion Implantation for ULSI Processing", Nuclear Instruments and Methods in Physics Research. 1355 (1991), pp. 811-
820. This system locates the target within the plasma in the center of the plasma chamber and away from the chamber walls.
The object of our invention is to provide an improved implantation apparatus with the uniformity of scanning implantation but with the simplicity of PI3. A further object is to provide simple implantation apparatus with shallow junction capability, having high throughput, as well as better uniformity and control of implant.
Summary of the Invention
The present invention provides a configuration which applies a pulsed uniform electric field over one surface of a large area target electrode so that a large cross section ion beam is available. To accomplish this goal, the target electrode upon which the substrate workpiece is to be mounted is placed on the downstream chamber wall as opposed to being immersed in the plasma, and a unipolar, variable pulse width high voltage is applied to the target. This configuration also permits a symmetrical plurality of vacuum pumping ports to be placed completely around the target to facilitate symmetrical removal of reaction products and neutral species during implantation.
Also provided is a ground shielding which is symmetrically placed close to and distributed around the sides of the target electrode, so that secondary plasma formation is eliminated.
Description of Drawing
FIG. 1 is a schematic representation of a cross section of a portion of our inventive implanter.
FIG. 2 is a cross section of an embodiment of our invention. FIG. 3A is a bottom view of our implanter showing the symmetry of the vacuum ports.
FIG. 3B is section BB side view of FIG 3A exhaust manifold. FIG. 4A, 4B and 4C are alternate embodiments of workpiece and electrode configurations.
Detailed Description of the Invention With reference to FIG. 1, the operation of our ion implantation apparatus is explained schematically. We cause a plasma 50 generated in region 2 to flow into chamber 1 where the semiconductor wafer 12 is to be treated. There are several different plasma regions within our device during operation. In the region depicted by the dashed line 50, at low pressures, electrons are induced to undergo electron cyclotron resonance (ECR) which creates a plasma in the ion source region 11. ECR will be more fully described subsequently. The plasma is essentially electrically neutral since it consists of approximately equal number of electrons and positively charged species. Only a small percentage of the atoms in the plasma are ionized at any given instant. This plasma has a plasma potential of approximately +20 volts. Under the influence of the pressure differential from vacuum pumping of ports 20, 21, 20a and 21a, and the pressure from mass flow controller introducing gases into the inlet 29 near the top of the plasma source, the charged and the neutral species flow from the source 2 into process chamber 1 toward the highly conductive target electrode 13. As this flow moves toward the target 13, some flow divides and moves along equal conductance paths toward the symmetrically located vacuum port exhausts 21 and 20 and 20a and
21a in the bottom wall of the process chamber 1. The flow rate is adjusted so that some of these flowing gases flow around and toward the exhaust ports and provide a steady state refreshing of the dopant species. When a high voltage pulse, i.e. -3KV, is provided to electrode
13 from generator 36, the electrons 54 in the charged gas in the close vicinity of the electrode 13 are repelled first, because they are lighter. This leaves a positively charged sheath of ions in the immediate vicinity 53 of the target electrode. This sheath extends to a distance of 1 to 3 cm above the target electrode 13. The positive ions in this region 53 are accelerated by the large area negative potential of the target along the straight field lines peφendicular to the planar face of the electrode 13. Since the workpiece wafer 12 is situated between the gases and the electrode 13, the positive ions impact and implant into the wafer.
All of the exhaust ports are preferably connected as shown in bottom views, FIGS. 3A and 3B, to a centrally located manifold 37 in order to have a uniform and symmetrical pressure gradient in the vicinity of the target electrode for uniform distribution of plasma components and the reaction products.
Very high voltage gradients exist in the gap 32 between the side wall of the target electrode 13 and the cylindrical ground shield 22.
This gap 32 must be large enough so that an arc is not struck in this space and so that the region is cleanable. It is preferable to round the corners of the target 13 and shield 22 near the mouth of the gap 32 to avoid field emission and spurious arcing. Our embodiment will not arc below 6KV DC. Also, the gap 32 must be narrow enough so that ions cannot be trapped in the gaps to sustain a plasma when the accelerating voltage pulse is supplied to the target 13. This gap distance is related to the chamber pressure and should be less than the order of the mean free path for the ion involved at the pressure employed. In our configuration, the gap 32 is on the order of 0J25 inches.
The preferred embodiment of our invention is more fully described with reference to FIG. 2. A standard microwave generator 5 is coupled to the ECR plasma source 2 via waveguide 7 containing an RF tuner 6 such as a stub tuner. The microwaves enter into the plasma source through RF window quartz disk 8. To prevent etching of quartz window 9, an alumina layer 9 could be coated on disk 8 or ' it could be part of the alumina chamber liner 10, as shown. There are four symmetrical dopant species gas inlet lines 29 (only 2 shown) which introduce the dopant through mass flow controller 30 from a gas source 31. When BF3 is the source gas, sputtering of contaminants from the stainless steel walls of the plasma chamber may occur which will introduce contaminant ions into the implant.
Magnet coils 3 and 4 are shown surrounding the plasma source 2 and provide the uniform strong axial fixed magnetic field necessary to sustain electron cyclotron resonance in the chamber 2. An electron in motion in a magnetic field is acted upon by the field to produce force on the electron at right angles to the direction of motion of the electron. As a result, an electron entering a fixed magnetic field will follow a curved path. The radius of curvature is an inverse function of the intensity of the magnetic field. The frequency of electron rotation, w, is expressed as w=2.8 X10°B cycles/sec where B is in gauss. This is known as the electron cyclotron resonance frequency. We have designed our ECR plasma generator to employ a magnetic field of 875 gauss and the corresponding cyclotron frequency of 2.45 GHz.
The liner 10 is preferably made from alumina but could be made from any material which does not contain elements which should not be co-implanted. The liner material could be made of a material that is resistant to sputtering or chemical etching by the plasma species. In the case of processing with BF3, resistant materials include oxides (i.e. alumina), nitrides (i.e., boron nitride or silicon nitride) or carbides (i.e., silicon carbide). Alternatively, the liner could be of a sacrificial material which has measurable etch rates in the presence of the plasma species, but does not contribute undesirable impurities which could be co-implanted. Examples of sacrificial materials include carbon (i.e., graphite, diamond) or poly-crystalline silicon. The plasma source chamber could be coated with films of liner materials which could be applied by plasma spraying, CVD, sputtering or evaporation. Alternatively, the plasma, source chamber walls could be protected by a separate piece of material composed entirely of or coated with the desired liner material. Magnet 19 is a coil which may be used to assist in canceling the magnetic fields in the vicinity of the target electrode to improve plasma ion density uniformity at electrode wafer interface. Chamber 1 is an axially symmetrical structure with the target electrode 13 mounted to the wall of the chamber opposite from the mouth of plasma source 2. A wafer load lock 26 having its own vacuum pump port 24, can receive up to 25 wafers at once through door 25. Slit valve 27 permits the loading and unloading of the chamber by a transfer arm (not shown) without requirement for pumping down from atmosphere each time a new wafer is introduced in the chamber. It is believed that our system will be able to treat 30 six-inch wafers per hour when fully automated for doping time per wafer of 1 minute. During wafer doping only the four ports 20, 21, 20a and 21a are pumped. At other times the chamber can be pumped through high conductance side port 38 at greater speed to provide a lower base pressure. During loading of a wafer the pressure is below 1 X 10"6 torr in the chamber. We find that this helps eliminate deposition on the wafer and coimplantation of contaminating elements.
The target electrode 13 is electrically isolated from the chamber walls by a dielectric ring vacuum seal 23 and mechanically clamped
(not shown) to the chamber wall. The ground shield wall 22 surrounding the target restricts 'secondary plasma formed in the gap 32. Accordingly, our wafer temperatures are typically able to be maintained below 60°C without any active cooling of the target electrode. This low temperature operation is a feature of our invention since final implantation junction depth is very much a function of the processing temperatures. Additionally, it is frequently required to implant through photoresist layers or photoresist masks. Temperatures must be below 100°C to avoid degradation of these layers. We have discovered that we can routinely make devices having final junction depths less than lOOnm after rapid thermal processing at 1050°C activation of 10 seconds. Connected to our electrode 13 via conductor 14 is high voltage pulse generator 16 having a variable duty cycle control. By controlling both the amplitude and pulse duty cycle, we can influence the energy distribution of the ions to be implanted. Our equipment employed for this purpose is standard, such as Velonex* Model 350 generator which also provides the ability to adjust the DC bias of the wafer. At this time, we have discovered that the optimum process conditions for BF3 is a chamber pressure during implantation of 1.0 mtorr, a microwave power of 800 watts, a pulse voltage of negative 3.5 KV with a pulse length of 12μ, seconds and a pulse period R, of 1msec with a total processing time of 60 seconds. This corresponds to a duty cycle of 1.2%. This set of parameters results in a 90nm junction depth p-type layer after a rapid thermal anneal step. Our sheet resistance is approximately 200 n/sq. The 1-sigma uniformity of this sheet resistance on a 150mm diameter silicon wafer is less than 3%.
Viable implantation can be carried out over the following range of conditions. The flow rate of BF3 gas can be varied between 4 to 50 SCCM giving pressures of 0.3-2.0 mtorr and the microwave power varied from 550 to 1400 W. Pulse voltages can be varied from 1-30/x seconds at voltages from 1-5KV. Pulse repetition rate can be varied from DC to 10,000 Hz.
Our chambers can be oriented with the wafer facing up, down, or sideways with respect to gravity. We believe that the quality of the finished product is independent of the gravity orientation of the wafer during implantation so long as the gas flows in a straight line from the source region to the wafer and passes around the wafer as it is being pumped out.
We have elected to use ECR as the plasma generating technique. Other types of remote plasma generation providing high density, low plasma potential such as inductively coupled plasma generation, helicon or hollow cathode sources could also be employed. With reference to FIG. 2, we have determined that ion bombardment of the aluminum target electrode 13 in the region of the periphery 39 of the wafer 12 could be responsible for the introduction Of contamination of the wafer being implanted. The embodiments of FIG. 4A, 4B and 4C show other configurations of the target 13 which improve or overcome this difficulty.
With reference to FIG. 4A, we show a shortening of the target electrode 13a so that its periphery exactly matches the periphery of the overlying target 12. Obviously this configuration will reduce the extent of the target 13a which is directly bombarded by ions. FIG. 4B illustrates our preferred target electrode embodiment which is a configuration where the target electrode 13b has a diameter which is considerably smaller than the diameter of the wafer 12a. This configuration also avoids contamination by shielding the electrode from direct ion bombardment.
Another target electrode configuration is shown in FIG. 4C. In this embodiment, the target electrode 13c has a very much larger planar surface area 43 than the frontal surface area of the wafer 44. If wafer 12 is a silicon wafer, then the passivation layer 40 would preferably be a silicon wafer of larger diameter than wafer 12 in order to minimize contamination from direct ion bombardment of the target electrode. The wafer 12 may simply be held by gravity on the top surface of the wafer 40 or by use of a vacuum chuck. To improve the heat transfer across wafer 40, its surfaces top and bottom should be very smooth. The temperature of the wafer 12 is not normally a problem because of the lower implantation energy employed in our invention than in comparison to raster scanning implantation techniques. However, it may be desirable to employ active temperature control of the wafer for certain applications. This could be achieved with backside gas coupling between the wafer and a temperature controlled electrode. In this configuration, a positive wafer clamp would be required. It is understood that the present invention is not limited to the particular embodiments set forth herein but embraces all such modified forms which come within the scope of the following claims.
JO-

Claims

We Claim:
1. In an ion implanter including a plasma ion source and an ion accelerating voltage source connected to a target to cause ions to move, in operation, towards said target, THE IMPROVEMENT
COMPRISING: said target being a target electrode; a workpiece processing chamber; said plasma ion source being mounted to said workpiece processing chamber, said workpiece processing chamber having said target electrode directly and fixedly mounted thereto and electrically isolated therefrom, said target electrode having a planar front surface facing into said workpiece processing chamber; and said ion accelerating voltage source being a variable duty cycle high voltage unipolar pulse generator having a oscillator period R and a pulse width W, where the duty cycle W R is selectable.
2. In the ion implanter of claim 1 wherein the said target electrode is a right circular cylinder and wherein all points on the side wall of said right circular cylinder are electrically isolated from said workpiece process chamber by the same electrical resistance, said workpiece processing chamber having a cylindrical metallic shield substantially surrounding the said sides walls of said target electrode wherein said cylindrical metallic shield is at a distance from said target electrode side walls which is less than the mean free path of the ions in said workpiece processing chamber.
3. In the ion implanter of claim 2, wherein said plasma ion source is an ECR plasma source including a microwave generator, a cylindrical resonance chamber coupled to said microwave generator by a waveguide through an RF window, said cylindrical resonance chamber being lined with a material on all surfaces including said RF window which liner material is selected from a group of materials which will not contaminate the workpiece.
4. In the ion implanter of claim 3, wherein said liner material is selected from the group of dielectrics including alumina, graphite, polysilicon, boron nitride, silicon nitride, silicon carbide, diamond, or carbon.
5. In the ion implanter of claim 4 wherein the surface of said target electrode opposite said planar surface of said target is in direct contact with ambient temperature environment for passive cooling.
6. A semiconductor ion implantation apparatus for treating a wafer comprising: an ECR ion source having a cylindrical configuration, a first diameter and an axis; a process chamber being cylindrically shaped and having a second diameter and an axis; said ECR ion source axis being coextensive with said process chamber axis and said ECR source opening into said process chamber; a target electrode having a side wall and a planar top and bottom surface, said target electrode being a cylindrical disk of highly conductive metal, the axis of said cylindrical disk being coaxial with said process chamber axis; said process chamber having a cylindrical shield surrounding said side wall of said cylindrical target electrode in close proximity thereto; and said planar top surface of said target electrode being passivated so that it does not introduce contaminants into said process chamber during operation.
7. The apparatus of claim 6 wherein said target electrode is passivated by placing a passivating layer of material on top of said target electrode for supporting said wafer to be treated.
8. The apparatus of claim 7 wherein said passivating layer of material is a semiconductor wafer having a diameter equal to or larger than the entire said top surface area of said target electrode to minimize bombardment of said target electrode surface by ions.
9. The apparatus of claim 8 wherein said passivating layer diameter is large enough to also overlap said process chamber cylindrical shield to preclude bombardment of said side walls of said target electrode.
10. The apparatus of claim 9 wherein said passivating layer semiconductor material is selected from the same semiconductor material of said wafer to be processed.
11. The apparatus of claim 6 wherein said processing chamber includes a plurality of vacuum ports substantially equally and symmetrically spaced from and around said electrode target to maintain, in operation, an isopressure region on the order of 1 mtorr in the said processing chamber near said electrode target.
12. Ion implantation apparatus for treating a wafer comprising;
(a) downstream plasma generating means including a chamber to generate said plasma and means to flow said plasma to a processing region;
(b) ion accelerating means in said processing region to simultaneously draw a large cross section beam of ions from said flowing plasma, said large cross section being on the order of 100 mm or larger including; a target electrode, said target electrode having a large area flat surface for supporting said wafer workpiece placed at a distance removed from said plasma generating chamber, said target electrode being located adjacent said flowing plasma; and high voltage pulse generating means connected to said target electrode, and means to apply said high voltage pulses to said target electrode to cause said large cross section beam to impact a workpiece wafer substantially perpendicularly to the surface of the wafer across the entire front surface of said wafer.
13. The ion implantation apparatus of claim 12 wherein said high voltage pulse generating means includes means for selecting the duty cycle of said high voltage pulses.
14. The ion implantation apparatus of claim 13 wherein said target electrode is passivated.
15. The ion implantation apparatus of claim 14 wherein said passivated target electrode comprises said wafer material having an area equal to or larger than said large area front surface which is placed above and in contact with said large area front surface of said target electrode.
16. A method for implanting ions into a semiconductor wafer comprising, placing said wafer on a large area planar surface target, said target being made from a highly conductive material; forming, in a nearby region, an ionized plasma containing a dopant ion, at pressures near 1 mtorr; locating said target outside of said ion forming region and flowing said ionized plasma uniformly toward and around said target; and applying a sequence of high voltage pulses to said target to cause said dopant ions to be drawn from said flowing plasma and to be accelerated along unidirectional electric field lines created by said planar surface target toward said target and implanting into said wafer only on the surface of said wafer removed from said target.
17. The method of claim 14 wherein the step of applying said sequence of high voltages pulses includes selecting the duty cycle of said pulses to control the energy distribution of ions.
PCT/US1993/001788 1992-03-02 1993-03-01 Plasma implantation process and equipment WO1993018201A1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US84435392A 1992-03-02 1992-03-02
US07/844,353 1992-03-02

Publications (1)

Publication Number Publication Date
WO1993018201A1 true WO1993018201A1 (en) 1993-09-16

Family

ID=25292487

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US1993/001788 WO1993018201A1 (en) 1992-03-02 1993-03-01 Plasma implantation process and equipment

Country Status (1)

Country Link
WO (1) WO1993018201A1 (en)

Cited By (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5449920A (en) * 1994-04-20 1995-09-12 Northeastern University Large area ion implantation process and apparatus
US5508227A (en) * 1994-06-08 1996-04-16 Northeastern University Plasma ion implantation hydrogenation process utilizing voltage pulse applied to substrate
EP0710977A1 (en) * 1994-11-04 1996-05-08 Hitachi, Ltd. Surface treatment method and system
EP0747927A2 (en) * 1995-06-06 1996-12-11 Varian Associates, Inc. Apparatus for obtaining dose uniformity in plasma doping (PLAD) ion implantation processing
DE19538903A1 (en) * 1995-10-19 1997-04-24 Rossendorf Forschzent Method for ion implantation into conductive and semiconductive workpieces
US5654043A (en) * 1996-10-10 1997-08-05 Eaton Corporation Pulsed plate plasma implantation system and method
US5661043A (en) * 1994-07-25 1997-08-26 Rissman; Paul Forming a buried insulator layer using plasma source ion implantation
US5672541A (en) * 1995-06-14 1997-09-30 Wisconsin Alumni Research Foundation Ultra-shallow junction semiconductor device fabrication
US5693376A (en) * 1995-06-23 1997-12-02 Wisconsin Alumni Research Foundation Method for plasma source ion implantation and deposition for cylindrical surfaces
DE19702294A1 (en) * 1997-01-23 1998-07-30 Rossendorf Forschzent Modulator for plasma immersion ion implantation
US5883016A (en) * 1994-06-08 1999-03-16 Northeastern University Apparatus and method for hydrogenating polysilicon thin film transistors by plasma immersion ion implantation
US5911832A (en) * 1996-10-10 1999-06-15 Eaton Corporation Plasma immersion implantation with pulsed anode
US6113735A (en) * 1998-03-02 2000-09-05 Silicon Genesis Corporation Distributed system and code for control and automation of plasma immersion ion implanter
US6120660A (en) * 1998-02-11 2000-09-19 Silicon Genesis Corporation Removable liner design for plasma immersion ion implantation
US6153524A (en) * 1997-07-29 2000-11-28 Silicon Genesis Corporation Cluster tool method using plasma immersion ion implantation
US6213050B1 (en) 1998-12-01 2001-04-10 Silicon Genesis Corporation Enhanced plasma mode and computer system for plasma immersion ion implantation
US6274459B1 (en) 1998-02-17 2001-08-14 Silicon Genesis Corporation Method for non mass selected ion implant profile control
EP1144717A1 (en) * 1998-12-01 2001-10-17 Silicon Genesis Corporation Enhanced plasma mode, method, and system for plasma immersion ion implantation
US6338313B1 (en) 1995-07-19 2002-01-15 Silison Genesis Corporation System for the plasma treatment of large area substrates
WO2006099438A1 (en) * 2005-03-15 2006-09-21 Varian Semiconductor Equipment Associates, Inc. Profile adjustment in plasma ion implantation
US7687787B2 (en) 2005-03-15 2010-03-30 Varian Semiconductor Equipment Associates, Inc. Profile adjustment in plasma ion implanter
WO2015048122A1 (en) * 2013-09-27 2015-04-02 Varian Semiconductor Equipment Associates, Inc. SiC COATING IN AN ION IMPLANTER

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4384918A (en) * 1980-09-30 1983-05-24 Fujitsu Limited Method and apparatus for dry etching and electrostatic chucking device used therein
US4399016A (en) * 1981-03-12 1983-08-16 Anelva Corporation Plasma device comprising an intermediate electrode out of contact with a high frequency electrode to induce electrostatic attraction
US4732761A (en) * 1985-03-23 1988-03-22 Nippon Telegraph And Telephone Corporation Thin film forming apparatus and method
US4897171A (en) * 1985-11-26 1990-01-30 Tadahiro Ohmi Wafer susceptor

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4384918A (en) * 1980-09-30 1983-05-24 Fujitsu Limited Method and apparatus for dry etching and electrostatic chucking device used therein
US4399016A (en) * 1981-03-12 1983-08-16 Anelva Corporation Plasma device comprising an intermediate electrode out of contact with a high frequency electrode to induce electrostatic attraction
US4732761A (en) * 1985-03-23 1988-03-22 Nippon Telegraph And Telephone Corporation Thin film forming apparatus and method
US4897171A (en) * 1985-11-26 1990-01-30 Tadahiro Ohmi Wafer susceptor

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
N. CHEUNG, "Plasma Immersion Ion Implantation for ULSI Processing", Nuclear Inst. and Methods on Physics Research, B55, (1991), p. 811-820. *
X. QIAN et al., "Plasma Immersion Ion Implantation for VLSI Fabrication", Memorandum No. UCB/ERL M90/84, 13 September 1990. *

Cited By (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5449920A (en) * 1994-04-20 1995-09-12 Northeastern University Large area ion implantation process and apparatus
US5508227A (en) * 1994-06-08 1996-04-16 Northeastern University Plasma ion implantation hydrogenation process utilizing voltage pulse applied to substrate
US5883016A (en) * 1994-06-08 1999-03-16 Northeastern University Apparatus and method for hydrogenating polysilicon thin film transistors by plasma immersion ion implantation
US5661043A (en) * 1994-07-25 1997-08-26 Rissman; Paul Forming a buried insulator layer using plasma source ion implantation
US6231777B1 (en) 1994-11-01 2001-05-15 Hitachi, Ltd. Surface treatment method and system
EP0710977A1 (en) * 1994-11-04 1996-05-08 Hitachi, Ltd. Surface treatment method and system
MY115990A (en) * 1994-11-04 2003-10-31 Hitachi Ltd Surface treatment method and system
KR100389642B1 (en) * 1994-11-04 2003-10-08 가부시끼가이샤 히다치 세이사꾸쇼 Surface Treatment Method and Surface Treatment Equipment
CN1069439C (en) * 1994-11-04 2001-08-08 株式会社日立制作所 Surface treatment method and system
EP0747927A2 (en) * 1995-06-06 1996-12-11 Varian Associates, Inc. Apparatus for obtaining dose uniformity in plasma doping (PLAD) ion implantation processing
EP0747927A3 (en) * 1995-06-06 1998-02-18 Varian Associates, Inc. Apparatus for obtaining dose uniformity in plasma doping (PLAD) ion implantation processing
US5672541A (en) * 1995-06-14 1997-09-30 Wisconsin Alumni Research Foundation Ultra-shallow junction semiconductor device fabrication
US5693376A (en) * 1995-06-23 1997-12-02 Wisconsin Alumni Research Foundation Method for plasma source ion implantation and deposition for cylindrical surfaces
US5988103A (en) * 1995-06-23 1999-11-23 Wisconsin Alumni Research Foundation Apparatus for plasma source ion implantation and deposition for cylindrical surfaces
US6338313B1 (en) 1995-07-19 2002-01-15 Silison Genesis Corporation System for the plasma treatment of large area substrates
DE19538903A1 (en) * 1995-10-19 1997-04-24 Rossendorf Forschzent Method for ion implantation into conductive and semiconductive workpieces
US5911832A (en) * 1996-10-10 1999-06-15 Eaton Corporation Plasma immersion implantation with pulsed anode
US5654043A (en) * 1996-10-10 1997-08-05 Eaton Corporation Pulsed plate plasma implantation system and method
DE19702294A1 (en) * 1997-01-23 1998-07-30 Rossendorf Forschzent Modulator for plasma immersion ion implantation
US6321134B1 (en) 1997-07-29 2001-11-20 Silicon Genesis Corporation Clustertool system software using plasma immersion ion implantation
US6207005B1 (en) 1997-07-29 2001-03-27 Silicon Genesis Corporation Cluster tool apparatus using plasma immersion ion implantation
US6153524A (en) * 1997-07-29 2000-11-28 Silicon Genesis Corporation Cluster tool method using plasma immersion ion implantation
US6120660A (en) * 1998-02-11 2000-09-19 Silicon Genesis Corporation Removable liner design for plasma immersion ion implantation
US6274459B1 (en) 1998-02-17 2001-08-14 Silicon Genesis Corporation Method for non mass selected ion implant profile control
US6113735A (en) * 1998-03-02 2000-09-05 Silicon Genesis Corporation Distributed system and code for control and automation of plasma immersion ion implanter
US6213050B1 (en) 1998-12-01 2001-04-10 Silicon Genesis Corporation Enhanced plasma mode and computer system for plasma immersion ion implantation
EP1144717A4 (en) * 1998-12-01 2003-04-16 Silicon Genesis Corp Enhanced plasma mode, method, and system for plasma immersion ion implantation
EP1144717A1 (en) * 1998-12-01 2001-10-17 Silicon Genesis Corporation Enhanced plasma mode, method, and system for plasma immersion ion implantation
WO2006099438A1 (en) * 2005-03-15 2006-09-21 Varian Semiconductor Equipment Associates, Inc. Profile adjustment in plasma ion implantation
US7528389B2 (en) 2005-03-15 2009-05-05 Varian Semiconductor Equipment Associates, Inc. Profile adjustment in plasma ion implanter
US7687787B2 (en) 2005-03-15 2010-03-30 Varian Semiconductor Equipment Associates, Inc. Profile adjustment in plasma ion implanter
WO2015048122A1 (en) * 2013-09-27 2015-04-02 Varian Semiconductor Equipment Associates, Inc. SiC COATING IN AN ION IMPLANTER
US9384937B2 (en) 2013-09-27 2016-07-05 Varian Semiconductor Equipment Associates, Inc. SiC coating in an ion implanter
US9793086B2 (en) 2013-09-27 2017-10-17 Varian Semiconductor Equipment Associates, Inc. SiC coating in an ion implanter

Similar Documents

Publication Publication Date Title
WO1993018201A1 (en) Plasma implantation process and equipment
US5770098A (en) Etching process
US6213050B1 (en) Enhanced plasma mode and computer system for plasma immersion ion implantation
US6300227B1 (en) Enhanced plasma mode and system for plasma immersion ion implantation
US5672541A (en) Ultra-shallow junction semiconductor device fabrication
US6197151B1 (en) Plasma processing apparatus and plasma processing method
US6902683B1 (en) Plasma processing apparatus and plasma processing method
US5503676A (en) Apparatus and method for magnetron in-situ cleaning of plasma reaction chamber
EP0507885B1 (en) A low frequency inductive rf plasma reactor
KR100855002B1 (en) Plasma based ion implantation system
EP0300447B1 (en) Method and apparatus for treating material by using plasma
JPH0635323B2 (en) Surface treatment method
KR19990013651A (en) EAL system using ESR plasma generator and ESR plasma generator
US20010017109A1 (en) Enhanced plasma mode and system for plasma immersion ion implantation
US6335268B1 (en) Plasma immersion ion processor for fabricating semiconductor integrated circuits
US20010002584A1 (en) Enhanced plasma mode and system for plasma immersion ion implantation
US5883016A (en) Apparatus and method for hydrogenating polysilicon thin film transistors by plasma immersion ion implantation
KR100716258B1 (en) Apparatus and method for generating solid element neutral particle beam
US6504159B1 (en) SOI plasma source ion implantation
KR20080010061A (en) Plasma based ion implantation apparatus
EP0836219A2 (en) Active shield for generating a plasma for sputtering
JP2000068227A (en) Method for processing surface and device thereof
KR19990077238A (en) Plasma treatment method
JPH088235B2 (en) Plasma reactor
US5858162A (en) Plasma processing apparatus

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): JP KP

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): AT BE CH DE DK ES FR GB GR IE IT LU MC NL PT SE

122 Ep: pct application non-entry in european phase