USRE39823E1 - Vacuum processing operating method with wafers, substrates and/or semiconductors - Google Patents

Vacuum processing operating method with wafers, substrates and/or semiconductors Download PDF

Info

Publication number
USRE39823E1
USRE39823E1 US10/060,304 US6030402A USRE39823E US RE39823 E1 USRE39823 E1 US RE39823E1 US 6030402 A US6030402 A US 6030402A US RE39823 E USRE39823 E US RE39823E
Authority
US
United States
Prior art keywords
vacuum
transferring
substrate
chamber
processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
US10/060,304
Inventor
Shigekazu Kato
Kouji Nishihata
Tsunehiko Tsubone
Atsushi Itou
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Ltd
Original Assignee
Hitachi Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP2225321A external-priority patent/JP2644912B2/en
Application filed by Hitachi Ltd filed Critical Hitachi Ltd
Priority to US10/060,304 priority Critical patent/USRE39823E1/en
Application granted granted Critical
Publication of USRE39823E1 publication Critical patent/USRE39823E1/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/564Means for minimising impurities in the coating chamber such as dust, moisture, residual gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring

Definitions

  • This invention relates to a vacuum processing apparatus and operating method therefor. More specifically, the present invention relates to a vacuum processing apparatus having vacuum processing chambers the inside of which must be cleaned, and its operating method.
  • a predetermined number of substrates to be treated are stored as one unit (which is generally referred to as a “lot”) in a substrate cassette and are loaded in the apparatus.
  • the substrates after being processed are likewise stored in the same unit in the substrate cassette and are recovered. This is an ordinary method of operating these apparatuses to improve the productivity.
  • This apparatus includes a preliminary vacuum chamber for introducing wafers to be treated into a processing chamber from an atmospheric side to a vacuum side, which is disposed adjacent to the processing chamber through a gate valve, dummy wafers are loaded in the preliminary vacuum chamber and are transferred into the processing chamber by exclusive conveyor means before the processing chamber is subjected to dry cleaning, and the dummy wafer is returned to the vacuum preparatory chamber by the conveyor means after dry cleaning is completed.
  • the structure of the vacuum processing apparatus is not much considered.
  • the preliminary vacuum chamber for storing the dummy wafers must have a large capacity, the exclusive conveyor means is necessary for transferring the dummy wafers and thus, the apparatus is complicated in structure.
  • Dummy wafers used for plasma cleaning are again returned to the preliminary vacuum chamber and are made to stand by. In this instance, reaction products generated during plasma cleaning and residual as used for plasma cleaning adhere on the used dummy wafers. Thereafter, normal processing for wafers is resumed. Therefore the used dummy wafers and unprocessed wafers exist in mixture inside the preliminary vacuum chamber and this state is not desirable from the aspect of contamination of unprocessed wafers.
  • the present invention provides a vacuum processing apparatus which solves the problems described above, is simple in structure, prevents contamination of unprocessed substrates and accomplishes a high production yield.
  • a vacuum processing apparatus having vacuum processing chambers the insides of which are dry-cleaned after substrates to be treated are processed in vacuum is provided which first storage means for storing substrates to be treated, second storage means for storing dummy substrates, the first and second storage means being disposed in the air, conveyor means for transferring the substrates to be processed between the first storage means and the vacuum processing chambers and for transferring the dummy substrates between the second storage means and the vacuum processing chambers, and control means for controlling the conveyor means so as to transfer the dummy substrates between the second storage means and the vacuum processing chambers before and after dry cleaning of the vacuum processing chambers.
  • a method of operating a vacuum processing apparatus having vacuum processing chambers the insides of which are dry-cleaned after substrates to be processed are processed in vacuum comprises the steps of disposing first storage means for storing the substrates to be processed together with second storage means for storing dummy substrates in the air atmosphere, transferring the substrates to be processed between the first storage means and the vacuum processing chambers and vacuum-processing the substrates to be processed, and transferring the dummy substrates between the second storage means and the vacuum processing chambers before and after dry-cleaning of the vacuum processing chambers.
  • FIG. 1 is a plan view of a dry etching apparatus as an embodiment of a vacuum processing apparatus in accordance with the present invention.
  • FIG. 2 is a vertical sectional view taken along line 1 — 1 of FIG. 1 .
  • reaction products adhere to and are deposited in vacuum processing chambers.
  • the reaction products adhering to and deposited in the vacuum processing chambers are removed by disposing wafers inside the vacuum processing chambers and by conducting dry-cleaning.
  • the timings of dry cleaning of the vacuum processing chambers are determined and during or after the processing of a predetermined number of substrates to be processed, dummy substrates are conveyed by substrate conveyor means from dummy substrate storage means disposed in the air atmosphere together with processed substrate storage means, and are then disposed inside the vacuum processing chambers. After the dummy substrates are thus disposed, a plasma is generated inside each of the vacuum processing chambers to execute dry-cleaning inside the vacuum processing chamber.
  • the dummy substrates are returned from the vacuum processing chambers to the dummy substrate storage means by the substrate conveyor means.
  • a preliminary vacuum chamber and an exclusive transfer mechanism both necessary in prior art techniques become unnecessary, and the apparatus structure gets simplified.
  • the dummy substrates used for the dry-cleaning and the substrates to be processed do not co-exist inside the same chamber, so that contamination of substrates to be processed due to dust and remaining gas is prevented and a high production yield can be achieved.
  • FIGS. 1 and 2 an embodiment of the present invention will be explained with reference to FIGS. 1 and 2 .
  • FIGS. 1 and 2 show a vacuum processing apparatus of the present invention which is, in this case, a dry-etching apparatus for etching wafers, i.e., substrates to be processed by plasma.
  • Cassette tables 2 a to 2 c are disposed in an L-shape in this case in positions such that they can be loaded into and unloaded from the apparatus without changing their positions and postures.
  • the cassettes 1 a to 1 c are fixed always in predetermined positions on a substantially horizontal plane, while the cassette tables 2 a and 2 b are disposed adjacent to and in parallel with each other on one of the sides of the L-shape.
  • the cassette table 2 c is disposed on the other side of the L-shape.
  • the cassettes 1 a and 1 b are for storing unprocessed wafers and for recovering the processed wafers. They can store a plurality (usually 25 ) of wafers 20 as the substrates to be treated.
  • the cassette ic in this case is for storing the dummy wafers for effecting dry-cleaning using plasma (hereinafter referred to as “plasma-cleaning”) and recovering the dummy wafers after plasma-cleaning. It can store a plurality of (usually twenty-five pieces) dummy wafers 30 .
  • a load lock chamber 5 and unload lock chamber 6 are so disposed as to face the cassette table 2 a and 2 b, and a conveyor 13 is disposed between the cassette tables 2 a, 2 b and the load lock chamber 5 and the unload lock chamber 6 .
  • the load lock chamber 5 is equipped with an evacuating device 3 and a gas introduction device 4 , and can load unprocessed wafers in the vacuum apparatus through a gate valve 12 a.
  • the unload lock chamber 6 is similarly equipped with the evacuating device 3 and the gas introduction device 4 , and can take out processed wafers to the atmosphere through a gate valve 12 d.
  • the conveyor 13 is equipped with a robot having X, Y, Z and axes, which operates so as to deliver and receive the wafers 20 between the cassettes 1 a, 1 b and the load lock and unload lock chambers 5 and 6 and the dummy wafers 30 between the cassette 1 c and the load lock and unload lock chambers 5 and 6 .
  • the load lock chamber 5 and the unload lock chamber 6 are connected to a transfer chamber 16 through the gate valves 12 b and 12 c.
  • the transfer chamber 16 is rectangular, in this case, and etching chambers 11 a, 11 b and 11 c are disposed on the three side walls of the transfer chamber 16 through gate valves 15 a, 15 b and 15 c, respectively.
  • a conveyor 14 capable of delivering the wafers 20 or the dummy wafers 30 from the load lock chamber 5 to the etching chambers 11 a, 11 b, 11 c and of delivering them from the chambers 11 a, 11 b, 11 c to the unload lock chamber 6 is disposed inside the transfer chamber 16 .
  • the transfer chamber 16 is equipped with an evacuating device 17 capable of independent evacuation.
  • the etching chambers 11 a, 11 b, 11 c have the same structure and can make the same processing.
  • the explanation will be given on the etching chamber 11 b by way of example.
  • the etching chamber 11 b has a sample table 8 b for placing the wafers 20 thereon, and a discharge chamber is so provided as to define a discharge portion 7 b above the sample table 8 b.
  • the etching chamber 11 b includes a gas introduction device 10 b for introducing a processing gas in the discharge portion 7 b and an evacuating device 9 b for decreasing the internal pressure of the etching chamber 11 b to a predetermined pressure.
  • the etching chamber 11 b further includes generation means for generating a microwave and a magnetic field for converting processing gas in the discharge portion 7 b to plasma.
  • a sensor 18 for measuring the intensity of plasma light is disposed at an upper part of the etching chamber.
  • the measured value of the sensor 13 is inputted to a controller 19 .
  • the controller 19 compares the measured value from the sensor 18 with a predetermined one and determines the timing of cleaning inside the etching chamber.
  • the controller 19 controls the conveyors 13 and 14 to control the transfer of the dummy wafers 30 between the cassette 1 c and the etching chambers 11 a to 11 c.
  • the cassettes 1 a, 1 b storing unprocessed wafers are first placed onto the cassette tables 2 a, 2 b by a line transfer robot which operates on the basis of the data sent from a host control apparatus, or by an operator.
  • the cassette 1 c storing the dummy wafers is placed on the cassette table 2 c.
  • the vacuum processing apparatus executes the wafer processing or plasma cleaning on the basis of recognition by itself of the production data provided on the cassettes 1 a to 1 c, of the data sent from the host control apparatus, or of the command inputted by an operator.
  • the wafers 20 are sequentially loaded in the order from above into the etching chambers 11 a, 11 b, 11 c by the conveyors 13 and 14 , and are etched.
  • the etched wafers are stored in their original positions inside the cassette 1 a by the conveyors 14 and 13 .
  • the unprocessed wafers are taken out from the cassettes and are returned in their original positions where the wafers have been stored, and are stored there.
  • the apparatus can easily cope with automation of the production line, contamination of the wafers due to dust can be reduced and high production efficiency and high production yield can thus be accomplished.
  • the controller 19 judges the timing of this plasma cleaning. in this case, a portion through which the plasma light passes is provided in each of the etching chambers 11 a to 11 c.
  • the sensor 18 measures the intensity of the plasma light passing through this portion and when the measured value reaches a predetermined one, the start timing of plasma cleaning is judged.
  • the timing of plasma cleaning may be judged by counting the number of wafers processed in each etching chamber by the controller 19 and judging the timing when this value reaches a predetermined value.
  • the actual timing of plasma cleaning that is carried out may be during a processing of a predetermined number of wafers in the cassette 1 a or 1 b, after the processing of all the wafers 20 in a cassette is completed and before the processing of wafers in the next cassette.
  • Plasma cleaning is carried out in the following sequence In this case, the explanation will be given about a case where the etching chambers 11 a to 11 c are subjected to plasma cleaning by using three dummy wafers 30 among the dummy wafers 30 (twenty-five dummy wafers are stored in this case) stored in the cassette 1 c.
  • Dummy wafers 30 which are stored in the cassette 1 c and are not used yet or can be used because the number of times of use for plasma cleaning is below a predetermined one are drawn by the conveyor 13 .
  • dummy wafers 30 stored in any position in the cassette 1 c may be used but in this case, the position numbers of the dummy wafers in the cassette and their number of times of use are stored in the controller 19 , and accordingly dummy wafers having smaller numbers of times of use are drawn preferentially.
  • the dummy wafers 30 are loaded in the load lock chamber 5 disposed on the opposite side to the cassette 1 a by the conveyor 13 through the gate valve 12 a in the same way as the transfer at the time of etching of wafers 20 .
  • the load lock chamber 5 is evacuated to a predetermined pressure by the vacuum exhaust device 3 and then the gate valves 12 b and 15 a are opened.
  • the dummy wafers 30 are transferred by the conveyor 14 from the load lock chamber 5 to the etching chamber 11 a through the transfer chamber 16 and are placed on the sample table 8 a.
  • plasma cleaning is carried out in the etching chamber 11 a in which the dummy wafers 30 are disposed, under a predetermined condition.
  • the gate valves 12 a, 12 b are closed and the pressure of the load lock chamber 5 is returned to the atmospheric pressure by the gas introduction device 4 .
  • the gate valve 12 a is opened and the second dummy wafer 30 is loaded in the load lock chamber 5 by the (conveyor 13 in the same way as the first dummy wafer 30 , and evacuation is effected again by the evacuating device 3 to a predetermined pressure after closing the gate valve 12 a.
  • the gate valves 12 b and 15 b are opened and the second dummy wafer 30 is transferred from the load lock chamber 5 to the etching chamber 11 b through the transfer chamber 16 by the conveyor 14 .
  • Plasma cleaning is started after the gate valve 15 b is closed.
  • the third dummy wafer 30 is transferred into the etching chamber 11 c in the same way as the second dummy wafer 30 and plasma cleaning is carried out.
  • the gate valves 15 a are opened.
  • the used dummy wafer 30 is transferred from the etching chamber 11 a to the unload lock chamber 6 by the conveyor 14 .
  • the gate valve 12 c is closed.
  • the gate valve 12 d is opened.
  • the used dummy wafer 30 transferred to the unload lock chamber 6 is taken out in the air by the conveyor 13 through the gate valve 12 d and is returned to its original position in the cassette 1 c in which it is stored at the start.
  • the second and third dummy wafers 20 are returned to their original positions in the cassette 1 c.
  • the used dummy wafers 30 are returned to their original positions in the cassette Ic and the dummy wafers 30 are always stocked in the cassette 1 c.
  • the dummy wafers 30 are replaced as a whole together with the cassette 1 c.
  • the timing of this replacement of the cassette is managed by the controller 19 and the replacement is instructed to the host control apparatus for controlling the line transfer robot or to the operator.
  • the etching chambers 11 a to 11 c are sequentially plasma-cleaned by the use of one dummy wafer 30 .
  • unprocessed wafers 20 can be etched in etching chambers other than the one subjected to plasma cleaning, and plasma cleaning can thus be carried out without interrupting etching.
  • each of the processing chambers can be subjected appropriately to plasma cleaning by sending dummy wafers 30 during the processing of the wafers 20 which are stored in the cassette 1 a or 2 a and drawn and sent sequentially, by passing merely the dummy wafers 30 through the processing chambers for which plasma cleaning is not necessary, and by executing plasma cleaning only when the dummy wafers 30 reach the processing chambers which need plasma cleaning.
  • the cassette storing the dummy wafers and the cassettes storing the wafers to be processed are disposed together in the air, the dummy wafers are loaded from the cassette into the apparatus by the same conveyor as the conveyor for transferring the wafers, at the time of cleaning, and the used dummy wafers are returned to their original positions in the cassette.
  • a mechanism For conducting exclusively plasma cleaning need not be provided, and the construction of the apparatus can be simplified. It is not necessary to handle plasma cleaning as a particular processing sequence, but the plasma cleaning can be incorporated in an ordinary etching processing and can be carried out efficiently in a series of operations.
  • the dummy wafers used for plasma cleaning are returned to their original positions in the cassette placed in the air. Accordingly, the used dummy wafers and the wafers before and after processing do not exist mixedly in the vacuum chamber, so that contamination of wafers due to dust and remaining gas does not occur unlike conventional apparatuses.
  • the used dummy wafers are returned to their original positions in the cassette and the numbers of times of their use is managed. Accordingly, it is possible to prevent the confusion of the used dummy wafers with the unused dummy wafers and the confusion of the dummy wafers having small numbers of times of use with the dummy wafers having large numbers of times of use. For these reasons, the dummy wafers can be used effectively without any problem when plasma cleaning is carried out.
  • the apparatus can have a plurality of processing chambers and can transfer wafers and dummy wafers by the same conveyor. Since plasma cleaning can be carried out by managing the timing of each processing chamber by the controller, the cleaning cycle can be set arbitrarily, dry cleaning can be carried out without interrupting the flow of the processing, the processing can be efficiently made and the productivity can be improved.

Abstract

This invention relates to a vacuum processing apparatus having vacuum processing chambers the insides of which must be dry cleaned, and to a method of operating such an apparatus. When the vacuum processing chambers are dirty-cleaned, dummy substrates are transferred into the vacuum processing chamber by substrates conveyor means from dummy substrate storage means which is disposed in the air atmosphere together with storage means for storing substrates to be processed, and the inside of the vacuum processing chamber is dry-cleaned by generating a plasma. The dummy substrate is returned to the dummy substrate storage means after dry cleaning is completed. Accordingly, any specific mechanism for only the cleaning purpose is not necessary and the construction of the apparatus can be made simple. Furthermore, the dummy substrates used for dry cleaning and the substrates to be processed do not coexist, contamination of the substrates to be processed due to dust and remaining gas can be prevented and the production yield can be high.

Description

This application is a Divisional application of application U.S. Ser. No. 09/552,572, filed Apr. 19, 2000, now U.S. Pat. No. 6,301,801, which is a Divisional application of application U.S. Ser. No. 09/461,432, filed Dec. 16, 1999 now U.S. Pat. No. 6,330,755, which is a Continuation application of application U.S. Ser. No. 09/177,495, filed Oct. 23, 1998 now U.S. Pat. No. 6,012,235, which is a Continuation application of application U.S. Ser. No. 09/061,062, filed Apr. 16, 1998 now U.S. Pat. No. 5,950,330, which is a Continuation application of application U.S. Ser. No. 08/882,731, filed Jun. 26, 1997 now U.S. Pat. No. 5,784,799, which is a Divisional application of application U.S. Ser. No. 08/593,870, filed Jan. 30, 1996 now U.S. Pat. No. 5,661,913, which is a Continuing application of application U.S. Ser. No. 08/443,039, filed May 17, 1995 now U.S. Pat. No. 5,553,396, which is a Divisional application of application U.S. Ser. No. 08/302,443, filed Sep. 9, 1994 now U.S. Pat. No. 5,457,896, which is a Continuation application of application U.S. Ser. No. 08/096,256, filed Jul. 26, 1993 now U.S. Pat. No. 5,349,762, which is a Continuation application of application U.S. Ser. No. 07/751,951, filed Aug. 29, 1991 now U.S. Pat. No. 5,314,509.
BACKGROUND OF THE INVENTION
1. Field of the Invention
This invention relates to a vacuum processing apparatus and operating method therefor. More specifically, the present invention relates to a vacuum processing apparatus having vacuum processing chambers the inside of which must be cleaned, and its operating method.
2. Description of the Prior Art
in a vacuum processing apparatus such as a dry etching apparatus, a CVD apparatus or a sputtering apparatus, a predetermined number of substrates to be treated are stored as one unit (which is generally referred to as a “lot”) in a substrate cassette and are loaded in the apparatus. The substrates after being processed are likewise stored in the same unit in the substrate cassette and are recovered. This is an ordinary method of operating these apparatuses to improve the productivity.
In such a vacuum processing apparatus described above, particularly in an apparatus which utilizes a reaction by an active gas, as typified by a dry etching apparatus and a CVD apparatus, reaction products adhere to and are deposited on a vacuum processing chamber with the progress of processing. For this reason, problems such as degradation of vacuum performance, the increase of dust, the drop of the levels of optical mounting signals occur. To solve these problems, conventionally the insides of the vacuum Processing chambers are cleaned periodically. Cleaning operations include so-called “wet cleaning” which is wiping-off or the adhering matters by use of an organic solvent, etc., and so-called “dry cleaning” in which an active gas or plasma is used for decomposing adhering matters. Dry cleaning is superior from the aspect of the working factor and efficiency. These features of the dry cleaning have become essential with the progress in automation of production lines.
An example of vacuum processing apparatuses having such a dry cleaning function is disclosed in Japanese Utility Model Laid-Open No. 127125/1988. This apparatus includes a preliminary vacuum chamber for introducing wafers to be treated into a processing chamber from an atmospheric side to a vacuum side, which is disposed adjacent to the processing chamber through a gate valve, dummy wafers are loaded in the preliminary vacuum chamber and are transferred into the processing chamber by exclusive conveyor means before the processing chamber is subjected to dry cleaning, and the dummy wafer is returned to the vacuum preparatory chamber by the conveyor means after dry cleaning is completed.
SUMMARY OF THE INVENTION
In the prior art technology described above, the structure of the vacuum processing apparatus is not much considered. The preliminary vacuum chamber for storing the dummy wafers must have a large capacity, the exclusive conveyor means is necessary for transferring the dummy wafers and thus, the apparatus is complicated in structure.
Dummy wafers used for plasma cleaning are again returned to the preliminary vacuum chamber and are made to stand by. In this instance, reaction products generated during plasma cleaning and residual as used for plasma cleaning adhere on the used dummy wafers. Thereafter, normal processing for wafers is resumed. Therefore the used dummy wafers and unprocessed wafers exist in mixture inside the preliminary vacuum chamber and this state is not desirable from the aspect of contamination of unprocessed wafers.
The present invention provides a vacuum processing apparatus which solves the problems described above, is simple in structure, prevents contamination of unprocessed substrates and accomplishes a high production yield. A vacuum processing apparatus having vacuum processing chambers the insides of which are dry-cleaned after substrates to be treated are processed in vacuum is provided which first storage means for storing substrates to be treated, second storage means for storing dummy substrates, the first and second storage means being disposed in the air, conveyor means for transferring the substrates to be processed between the first storage means and the vacuum processing chambers and for transferring the dummy substrates between the second storage means and the vacuum processing chambers, and control means for controlling the conveyor means so as to transfer the dummy substrates between the second storage means and the vacuum processing chambers before and after dry cleaning of the vacuum processing chambers. A method of operating a vacuum processing apparatus having vacuum processing chambers the insides of which are dry-cleaned after substrates to be processed are processed in vacuum comprises the steps of disposing first storage means for storing the substrates to be processed together with second storage means for storing dummy substrates in the air atmosphere, transferring the substrates to be processed between the first storage means and the vacuum processing chambers and vacuum-processing the substrates to be processed, and transferring the dummy substrates between the second storage means and the vacuum processing chambers before and after dry-cleaning of the vacuum processing chambers.
BRIEF DESCRIPTION OF THE DRAWINGS
FIG. 1 is a plan view of a dry etching apparatus as an embodiment of a vacuum processing apparatus in accordance with the present invention; and
FIG. 2 is a vertical sectional view taken along line 11 of FIG. 1.
DESCRIPTION OF THE PREFERRED EMBODIMENTS
As substrates to be processed are processed in a vacuum processing apparatus, reaction products adhere to and are deposited in vacuum processing chambers. The reaction products adhering to and deposited in the vacuum processing chambers are removed by disposing wafers inside the vacuum processing chambers and by conducting dry-cleaning. To carry out dry cleaning, the timings of dry cleaning of the vacuum processing chambers are determined and during or after the processing of a predetermined number of substrates to be processed, dummy substrates are conveyed by substrate conveyor means from dummy substrate storage means disposed in the air atmosphere together with processed substrate storage means, and are then disposed inside the vacuum processing chambers. After the dummy substrates are thus disposed, a plasma is generated inside each of the vacuum processing chambers to execute dry-cleaning inside the vacuum processing chamber. After dry-cleaning inside the vacuum processing chambers is completed, the dummy substrates are returned from the vacuum processing chambers to the dummy substrate storage means by the substrate conveyor means. In this manner, a preliminary vacuum chamber and an exclusive transfer mechanism both necessary in prior art techniques become unnecessary, and the apparatus structure gets simplified. The dummy substrates used for the dry-cleaning and the substrates to be processed do not co-exist inside the same chamber, so that contamination of substrates to be processed due to dust and remaining gas is prevented and a high production yield can be achieved.
Hereinafter, an embodiment of the present invention will be explained with reference to FIGS. 1 and 2.
FIGS. 1 and 2 show a vacuum processing apparatus of the present invention which is, in this case, a dry-etching apparatus for etching wafers, i.e., substrates to be processed by plasma.
Cassette tables 2a to 2c are disposed in an L-shape in this case in positions such that they can be loaded into and unloaded from the apparatus without changing their positions and postures. In other words, the cassettes 1a to 1c are fixed always in predetermined positions on a substantially horizontal plane, while the cassette tables 2a and 2b are disposed adjacent to and in parallel with each other on one of the sides of the L-shape. The cassette table 2c is disposed on the other side of the L-shape. The cassettes 1a and 1b are for storing unprocessed wafers and for recovering the processed wafers. They can store a plurality (usually 25) of wafers 20 as the substrates to be treated. The cassette ic in this case is for storing the dummy wafers for effecting dry-cleaning using plasma (hereinafter referred to as “plasma-cleaning”) and recovering the dummy wafers after plasma-cleaning. It can store a plurality of (usually twenty-five pieces) dummy wafers 30.
A load lock chamber 5 and unload lock chamber 6 are so disposed as to face the cassette table 2a and 2b, and a conveyor 13 is disposed between the cassette tables 2a, 2b and the load lock chamber 5 and the unload lock chamber 6. The load lock chamber 5 is equipped with an evacuating device 3 and a gas introduction device 4, and can load unprocessed wafers in the vacuum apparatus through a gate valve 12a. The unload lock chamber 6 is similarly equipped with the evacuating device 3 and the gas introduction device 4, and can take out processed wafers to the atmosphere through a gate valve 12d. The conveyor 13 is equipped with a robot having X, Y, Z and axes, which operates so as to deliver and receive the wafers 20 between the cassettes 1a, 1b and the load lock and unload lock chambers 5 and 6 and the dummy wafers 30 between the cassette 1c and the load lock and unload lock chambers 5 and 6.
The load lock chamber 5 and the unload lock chamber 6 are connected to a transfer chamber 16 through the gate valves 12b and 12c. The transfer chamber 16 is rectangular, in this case, and etching chambers 11a, 11b and 11c are disposed on the three side walls of the transfer chamber 16 through gate valves 15a, 15b and 15c, respectively. A conveyor 14 capable of delivering the wafers 20 or the dummy wafers 30 from the load lock chamber 5 to the etching chambers 11a, 11b, 11c and of delivering them from the chambers 11a, 11b, 11c to the unload lock chamber 6 is disposed inside the transfer chamber 16. The transfer chamber 16 is equipped with an evacuating device 17 capable of independent evacuation.
The etching chambers 11a, 11b, 11c have the same structure and can make the same processing. The explanation will be given on the etching chamber 11b by way of example. The etching chamber 11b has a sample table 8b for placing the wafers 20 thereon, and a discharge chamber is so provided as to define a discharge portion 7b above the sample table 8b. The etching chamber 11b includes a gas introduction device 10b for introducing a processing gas in the discharge portion 7b and an evacuating device 9b for decreasing the internal pressure of the etching chamber 11b to a predetermined pressure. The etching chamber 11b further includes generation means for generating a microwave and a magnetic field for converting processing gas in the discharge portion 7b to plasma.
A sensor 18 for measuring the intensity of plasma light is disposed at an upper part of the etching chamber. The measured value of the sensor 13 is inputted to a controller 19. The controller 19 compares the measured value from the sensor 18 with a predetermined one and determines the timing of cleaning inside the etching chamber. The controller 19 controls the conveyors 13 and 14 to control the transfer of the dummy wafers 30 between the cassette 1c and the etching chambers 11a to 11c.
In a vacuum processing apparatus having the construction described above, the cassettes 1a, 1b storing unprocessed wafers are first placed onto the cassette tables 2a, 2b by a line transfer robot which operates on the basis of the data sent from a host control apparatus, or by an operator. On the other hand, the cassette 1c storing the dummy wafers is placed on the cassette table 2c. The vacuum processing apparatus executes the wafer processing or plasma cleaning on the basis of recognition by itself of the production data provided on the cassettes 1a to 1c, of the data sent from the host control apparatus, or of the command inputted by an operator.
For instance, the wafers 20 are sequentially loaded in the order from above into the etching chambers 11a, 11b, 11c by the conveyors 13 and 14, and are etched. The etched wafers are stored in their original positions inside the cassette 1a by the conveyors 14 and 13. In this case, from the start to the end of the operation, without changing the position and posture of the cassettes, the unprocessed wafers are taken out from the cassettes and are returned in their original positions where the wafers have been stored, and are stored there. In this manner, the apparatus can easily cope with automation of the production line, contamination of the wafers due to dust can be reduced and high production efficiency and high production yield can thus be accomplished.
As etching is repeated, the reaction products adhere to and are deposited on the inner wall of the etching chambers 11a to 11c. Therefore, the original state must be recovered by removing the adhering matters by plasma cleaning. The controller 19 judges the timing of this plasma cleaning. in this case, a portion through which the plasma light passes is provided in each of the etching chambers 11a to 11c. The sensor 18 measures the intensity of the plasma light passing through this portion and when the measured value reaches a predetermined one, the start timing of plasma cleaning is judged. Alternatively, the timing of plasma cleaning may be judged by counting the number of wafers processed in each etching chamber by the controller 19 and judging the timing when this value reaches a predetermined value. The actual timing of plasma cleaning that is carried out may be during a processing of a predetermined number of wafers in the cassette 1a or 1b, after the processing of all the wafers 20 in a cassette is completed and before the processing of wafers in the next cassette.
Plasma cleaning is carried out in the following sequence In this case, the explanation will be given about a case where the etching chambers 11a to 11c are subjected to plasma cleaning by using three dummy wafers 30 among the dummy wafers 30 (twenty-five dummy wafers are stored in this case) stored in the cassette 1c.
Dummy wafers 30 which are stored in the cassette 1c and are not used yet or can be used because the number of times of use for plasma cleaning is below a predetermined one are drawn by the conveyor 13. At this time, dummy wafers 30 stored in any position in the cassette 1c may be used but in this case, the position numbers of the dummy wafers in the cassette and their number of times of use are stored in the controller 19, and accordingly dummy wafers having smaller numbers of times of use are drawn preferentially. Then, the dummy wafers 30 are loaded in the load lock chamber 5 disposed on the opposite side to the cassette 1a by the conveyor 13 through the gate valve 12a in the same way as the transfer at the time of etching of wafers 20. After the gate valve 12a is closed, the load lock chamber 5 is evacuated to a predetermined pressure by the vacuum exhaust device 3 and then the gate valves 12b and 15a are opened. The dummy wafers 30 are transferred by the conveyor 14 from the load lock chamber 5 to the etching chamber 11a through the transfer chamber 16 and are placed on the sample table 8a. After the gate valve 15a is closed, plasma cleaning is carried out in the etching chamber 11a in which the dummy wafers 30 are disposed, under a predetermined condition.
In the interim, the gate valves 12a, 12b are closed and the pressure of the load lock chamber 5 is returned to the atmospheric pressure by the gas introduction device 4. Next, the gate valve 12a is opened and the second dummy wafer 30 is loaded in the load lock chamber 5 by the (conveyor 13 in the same way as the first dummy wafer 30, and evacuation is effected again by the evacuating device 3 to a predetermined pressure after closing the gate valve 12a. Thereafter, the gate valves 12b and 15b are opened and the second dummy wafer 30 is transferred from the load lock chamber 5 to the etching chamber 11b through the transfer chamber 16 by the conveyor 14. Plasma cleaning is started after the gate valve 15b is closed.
In the interim, the third dummy wafer 30 is transferred into the etching chamber 11c in the same way as the second dummy wafer 30 and plasma cleaning is carried out.
After plasma cleaning is completed in the etching chamber 11a in which the first dummy wafer 20 is placed, the gate valves 15a are opened. The used dummy wafer 30 is transferred from the etching chamber 11a to the unload lock chamber 6 by the conveyor 14. Then, the gate valve 12c is closed. After the pressure of the unload lock chamber 6 is returned to the atmospheric pressure by the gas introduction device 4, the gate valve 12d is opened. The used dummy wafer 30 transferred to the unload lock chamber 6 is taken out in the air by the conveyor 13 through the gate valve 12d and is returned to its original position in the cassette 1c in which it is stored at the start.
When plasma cleaning of the etching chambers 11b and 11c is completed, the second and third dummy wafers 20 are returned to their original positions in the cassette 1c.
In this way, the used dummy wafers 30 are returned to their original positions in the cassette Ic and the dummy wafers 30 are always stocked in the cassette 1c. When all the dummy wafers 30 in the cassette 1c are used for plasma cleaning or when the numbers of times of use of the wafers 30 reach the predetermined ones after the repetition of use, the dummy wafers 30 are replaced as a whole together with the cassette 1c. The timing of this replacement of the cassette is managed by the controller 19 and the replacement is instructed to the host control apparatus for controlling the line transfer robot or to the operator.
Although the explanation given above deals with the case where the etching chambers 11a to 11c are continuously plasma-cleaned by the use of three-dummy wafers 30 among the dummy wafers 30 in the cassette 1c, other processing methods may be employed, as well.
For example, the etching chambers 11a to 11c are sequentially plasma-cleaned by the use of one dummy wafer 30. In the case of such plasma cleaning, unprocessed wafers 20 can be etched in etching chambers other than the one subjected to plasma cleaning, and plasma cleaning can thus be carried out without interrupting etching.
If the processing chambers are different, for example, there are an etching chamber, a post-processing chamber and a film-formation chamber, and wafers are sequentially processed while passing through each of these processing chambers, each of the processing chambers can be subjected appropriately to plasma cleaning by sending dummy wafers 30 during the processing of the wafers 20 which are stored in the cassette 1a or 2a and drawn and sent sequentially, by passing merely the dummy wafers 30 through the processing chambers for which plasma cleaning is not necessary, and by executing plasma cleaning only when the dummy wafers 30 reach the processing chambers which need plasma cleaning.
According to the embodiment described above, the cassette storing the dummy wafers and the cassettes storing the wafers to be processed are disposed together in the air, the dummy wafers are loaded from the cassette into the apparatus by the same conveyor as the conveyor for transferring the wafers, at the time of cleaning, and the used dummy wafers are returned to their original positions in the cassette. in this way, a mechanism For conducting exclusively plasma cleaning need not be provided, and the construction of the apparatus can be simplified. It is not necessary to handle plasma cleaning as a particular processing sequence, but the plasma cleaning can be incorporated in an ordinary etching processing and can be carried out efficiently in a series of operations.
The dummy wafers used for plasma cleaning are returned to their original positions in the cassette placed in the air. Accordingly, the used dummy wafers and the wafers before and after processing do not exist mixedly in the vacuum chamber, so that contamination of wafers due to dust and remaining gas does not occur unlike conventional apparatuses.
The used dummy wafers are returned to their original positions in the cassette and the numbers of times of their use is managed. Accordingly, it is possible to prevent the confusion of the used dummy wafers with the unused dummy wafers and the confusion of the dummy wafers having small numbers of times of use with the dummy wafers having large numbers of times of use. For these reasons, the dummy wafers can be used effectively without any problem when plasma cleaning is carried out.
Furthermore, in accordance with the present invention, the apparatus can have a plurality of processing chambers and can transfer wafers and dummy wafers by the same conveyor. Since plasma cleaning can be carried out by managing the timing of each processing chamber by the controller, the cleaning cycle can be set arbitrarily, dry cleaning can be carried out without interrupting the flow of the processing, the processing can be efficiently made and the productivity can be improved.
As described above, according to the present invention, there are effects that the construction of the apparatus is simple, the substrates to be processed are free from contamination and the production yield is high.

Claims (9)

1. A method of transferring a substrate, using an apparatus comprising:
a cassette for receiving plural substrates, said cassette being located at a position of which an upper region is open to a cassette transferring path air;
an atmospheric transferring device for transferring, one by one, said substrates;
a vacuum transferring chamber having a vacuum transferring means;
plural vacuum processing chambers for processing, one by one, said substrates;
a device having a first lock chamber in which said substrates are carried in and carried out, one by one, between said atmospheric transferring device and said vacuum transferring chamber and a second lock chamber in which said substrates are carried in and carried out, one by one, between said atmospheric transferring device and said vacuum transferring chamber; and opening and closing devices for opening and closing one of the first and second lock chambers each time a substrate is carried into said one of the first and second lock chambers, one by one, and each time a substrate is carried out of said one of the first and second lock chambers, one by one,
wherein the method comprises the steps of:
taking out, one by one, said substrates from said cassette at said position, by said atmospheric transferring device;
carrying in a substrate taken out from the cassette, to one of said first and second lock chambers in air;
closing off said one of said first and second lock chambers, from said atmospheric transferring device, by using the opening and closing devices;
evacuating said one of said first and second lock chambers;
transferring said substrate to any one of said plural vacuum processing chambers from said one of said first and second lock chambers in a vacuum, through said vacuum transferring chamber;
processing said substrate in said one of said plural vacuum processing chambers;
transferring said substrate, which has beensubjected to processing, to one of said first and second lock chambers in the vacuum through said vacuum transferring chamber;
closing said one of said first and second lock chambers, to which the substrate is transferred after the processing, from said vacuum transferring chamber, by using the opening and closing devices, and, after that, opening the one of the first and second lock chambers, having the substrate therein, to air, by using the opening and closing devices; and
taking out said substrate in said one of said first and second lock chambers, to which the substrate is transferred after the processing, by said atmospheric transferring device and receiving said substrate in said cassette.
2. A method of transferring a substrate according to claim 1, wherein an opening and closing at an air side and a vacuum side of said first lock chamber, by using the opening and closing devices, and an opening and closing at an air side and a vacuum side of said second lock chamber, by using the opening and closing devices, are controlled independently, respectively.
3. A method of transferring a substrate according to claim 2, wherein said substrate which has been subjected to processing is returned to a cassette from which it originated.
4. A method of transferring a substrate according to claim 1, wherein said substrate which has been subjected to processing is returned to a cassette from which it originated.
5. A method of transferring a substrate according to claim 2, wherein after a processing of a substrate, the processed substrate is transferred through said vacuum transferring chamber and said substrate is processed in another vacuum processing chamber.
6. A method of transferring a substrate according to claim 5, wherein said one of said plural vacuum processing chambers, and said another vacuum processing chamber, perform different processing of the substrate.
7. A method of transferring a substrate according to claim 1, wherein said one of said plural vacuum processing chambers, and said another vacuum processing chamber, perform different processing of the substrate.
8. A method of transferred a substrate according to claim 1, wherein said one of said plural vacuum processing chambers, and said another vacuum processing chamber, perform different processing of the substrate.
9. Method of using a conveyor system for processing substrates in plural vacuum processing chamber installation portions, the conveyor system including:
an atmospheric loader;
a vacuum loader; and
two lock chambers, which are separately disposed, each having an atmospheric loader side and a vacuum loader side, and having a gate valve for said atmospheric loader side and another gate valve for said vacuum loader side,
wherein said vacuum loader has
(1) a transfer chamber connected to the two lock chambers via the another valve gate valve,
(2) conveyor structure, and
(3) plural vacuum processing chamber installation portions,
the method comprising the steps of:
transferring substrates to be processed, one by one, separately from said atmospheric loader to a lock chamber of the two lock chambers, in a state of keeping only one substrate in each of said two lock chambers;
providing a vacuum in each of said two lock chambers;
after providing a vacuum in each of said two lock chambers, transferring the substrates, one by one, from the lock chambers to at least one of said plural vacuum processing chamber installation portions, via said transfer chamber;
processing the substrates in said at least one of said plural vacuum processing chamber installation portions;
after said processing, transferring the processed substrates, one by one, from said at least one of said plural vacuum processing chamber installation portions to the lock chambers, via said transfer chamber; and
keeping the processed substrates in the lock chambers, and transferring the processed substrate from the lock chambers to said atmospheric loader.
US10/060,304 1990-08-29 2002-02-01 Vacuum processing operating method with wafers, substrates and/or semiconductors Expired - Fee Related USRE39823E1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/060,304 USRE39823E1 (en) 1990-08-29 2002-02-01 Vacuum processing operating method with wafers, substrates and/or semiconductors

Applications Claiming Priority (13)

Application Number Priority Date Filing Date Title
JP2225321A JP2644912B2 (en) 1990-08-29 1990-08-29 Vacuum processing apparatus and operating method thereof
US07/751,951 US5314509A (en) 1990-08-29 1991-08-29 Vacuum processing apparatus and operating method therefor
US08/096,256 US5349762A (en) 1990-08-29 1993-07-26 Vacuum processing apparatus and operating method therefor
US08/302,443 US5457896A (en) 1990-08-29 1994-09-09 Vacuum processing apparatus and operating method therefor
US08/443,039 US5553396A (en) 1990-08-29 1995-05-17 Vacuum processing apparatus and operating method therefor
US08/593,870 US5661913A (en) 1990-08-29 1996-01-30 Vacuum processing apparatus and operating method therefor
US08/882,731 US5784799A (en) 1990-08-29 1997-06-26 Vacuum processing apparatus for substate wafers
US09/061,062 US5950330A (en) 1990-08-29 1998-04-16 Vacuum processing apparatus and operating method therefor
US09/177,495 US6012235A (en) 1990-08-29 1998-10-23 Vacuum processing apparatus and operating method therefor
US09/461,432 US6330755B1 (en) 1990-08-29 1999-12-16 Vacuum processing and operating method
US09/552,572 US6301801B1 (en) 1990-08-29 2000-04-19 Vacuum processing apparatus and operating method therefor
US09/614,764 US6330756B1 (en) 1990-08-29 2000-07-12 Vacuum processing apparatus and operating method therefor
US10/060,304 USRE39823E1 (en) 1990-08-29 2002-02-01 Vacuum processing operating method with wafers, substrates and/or semiconductors

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09/614,764 Reissue US6330756B1 (en) 1990-08-29 2000-07-12 Vacuum processing apparatus and operating method therefor

Publications (1)

Publication Number Publication Date
USRE39823E1 true USRE39823E1 (en) 2007-09-11

Family

ID=27580421

Family Applications (4)

Application Number Title Priority Date Filing Date
US10/062,088 Expired - Fee Related USRE39824E1 (en) 1990-08-29 2002-02-01 Vacuum processing apparatus and operating method with wafers, substrates and/or semiconductors
US10/060,304 Expired - Fee Related USRE39823E1 (en) 1990-08-29 2002-02-01 Vacuum processing operating method with wafers, substrates and/or semiconductors
US10/062,618 Expired - Fee Related USRE39776E1 (en) 1990-08-29 2002-02-05 Vacuum processing apparatus and operating method with wafers, substrates and/or semiconductors
US10/066,747 Expired - Fee Related USRE39775E1 (en) 1990-08-29 2002-09-24 Vacuum processing operating method with wafers, substrates and/or semiconductors

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/062,088 Expired - Fee Related USRE39824E1 (en) 1990-08-29 2002-02-01 Vacuum processing apparatus and operating method with wafers, substrates and/or semiconductors

Family Applications After (2)

Application Number Title Priority Date Filing Date
US10/062,618 Expired - Fee Related USRE39776E1 (en) 1990-08-29 2002-02-05 Vacuum processing apparatus and operating method with wafers, substrates and/or semiconductors
US10/066,747 Expired - Fee Related USRE39775E1 (en) 1990-08-29 2002-09-24 Vacuum processing operating method with wafers, substrates and/or semiconductors

Country Status (1)

Country Link
US (4) USRE39824E1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20190326147A1 (en) * 2014-11-11 2019-10-24 Applied Materials, Inc. Multi-cassette carrying case

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6105436B2 (en) * 2013-08-09 2017-03-29 東京エレクトロン株式会社 Substrate processing system
KR102238649B1 (en) * 2014-09-16 2021-04-09 삼성전자주식회사 Bonding apparatus of semiconductor chip

Citations (87)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3652444A (en) * 1969-10-24 1972-03-28 Ibm Continuous vacuum process apparatus
US3981791A (en) * 1975-03-10 1976-09-21 Signetics Corporation Vacuum sputtering apparatus
US4138306A (en) * 1976-08-31 1979-02-06 Tokyo Shibaura Electric Co., Ltd. Apparatus for the treatment of semiconductors
US4226897A (en) * 1977-12-05 1980-10-07 Plasma Physics Corporation Method of forming semiconducting materials and barriers
US4311427A (en) * 1979-12-21 1982-01-19 Varian Associates, Inc. Wafer transfer system
US4313815A (en) * 1978-04-07 1982-02-02 Varian Associates, Inc. Sputter-coating system, and vaccuum valve, transport, and sputter source array arrangements therefor
US4313783A (en) * 1980-05-19 1982-02-02 Branson International Plasma Corporation Computer controlled system for processing semiconductor wafers
JPS5729577A (en) 1980-07-30 1982-02-17 Anelva Corp Automatic continuous sputtering apparatus
US4318767A (en) * 1979-11-27 1982-03-09 Tokyo Ohka Kogyo Kabushiki Kaisha Apparatus for the treatment of semiconductor wafers by plasma reaction
JPS5893321A (en) 1981-11-30 1983-06-03 Semiconductor Energy Lab Co Ltd Manufacturing apparatus for semiconductor device
JPS58108641A (en) 1981-12-21 1983-06-28 Hitachi Ltd Device for automatically exchanging wafer
US4449885A (en) * 1982-05-24 1984-05-22 Varian Associates, Inc. Wafer transfer system
JPS5994435A (en) 1982-11-20 1984-05-31 Tokuda Seisakusho Ltd Vacuum treating device
US4457661A (en) * 1981-12-07 1984-07-03 Applied Materials, Inc. Wafer loading apparatus
JPS6052574A (en) 1983-09-02 1985-03-25 Hitachi Ltd Continuous sputtering device
US4534314A (en) * 1984-05-10 1985-08-13 Varian Associates, Inc. Load lock pumping mechanism
JPS60246635A (en) 1984-05-22 1985-12-06 Anelva Corp Automatic substrate processing apparatus
US4563240A (en) * 1983-08-10 1986-01-07 Hitachi, Ltd. Method and apparatus for plasma process
US4576698A (en) * 1983-06-30 1986-03-18 International Business Machines Corporation Plasma etch cleaning in low pressure chemical vapor deposition systems
JPS61173445A (en) 1985-01-28 1986-08-05 Tokyo Erekutoron Kk Wafer transport device of ion implanting device
JPS61250185A (en) 1985-04-25 1986-11-07 Anelva Corp Cleaning method for vacuum treatment device
US4634331A (en) * 1982-05-24 1987-01-06 Varian Associates, Inc. Wafer transfer system
US4643629A (en) 1984-10-30 1987-02-17 Anelva Corporation Automatic loader
JPS6244571A (en) 1985-08-20 1987-02-26 Toshiba Mach Co Ltd Ion implantation device
JPS6250463A (en) 1985-08-30 1987-03-05 Hitachi Ltd Continuous sputtering device
JPS6289881A (en) * 1985-10-16 1987-04-24 Hitachi Ltd Sputtering device
JPS62132321A (en) 1985-12-04 1987-06-15 Anelva Corp Dry etching apparatus
JPS62207866A (en) 1986-03-07 1987-09-12 Hitachi Ltd Continuous sputtering device
JPS62216315A (en) 1986-03-18 1987-09-22 Toshiba Mach Co Ltd Semiconductor processor
US4705951A (en) * 1986-04-17 1987-11-10 Varian Associates, Inc. Wafer processing system
EP0246453A2 (en) 1986-04-18 1987-11-25 General Signal Corporation Novel multiple-processing and contamination-free plasma etching system
WO1987007309A1 (en) 1986-05-19 1987-12-03 Novellus Systems, Inc. Deposition apparatus with automatic cleaning means and method of use
US4715764A (en) * 1986-04-28 1987-12-29 Varian Associates, Inc. Gate valve for wafer processing system
JPS636582A (en) * 1986-06-26 1988-01-12 Mita Ind Co Ltd Developing device
JPS63133521A (en) 1986-11-25 1988-06-06 Kokusai Electric Co Ltd Heat treatment equipment for semiconductor substrate
JPS63153270A (en) 1986-12-15 1988-06-25 Ulvac Corp Mechanism for exchanging substrate in vacuum vessel
JPS6412037U (en) * 1987-07-10 1989-01-23
JPS6431970A (en) * 1987-07-28 1989-02-02 Tokuda Seisakusho Vacuum treatment equipment
JPS6431971A (en) * 1987-07-28 1989-02-02 Tokuda Seisakusho Vacuum treatment device
US4824309A (en) * 1983-11-28 1989-04-25 Hitachi, Ltd. Vacuum processing unit and apparatus
JPH01120811A (en) 1987-11-04 1989-05-12 Fuji Electric Co Ltd Semiconductor wafer treatment equipment
JPH01135015A (en) 1987-11-20 1989-05-26 Fuji Electric Co Ltd Semiconductor wafer treating device
US4836905A (en) 1987-07-16 1989-06-06 Texas Instruments Incorporated Processing apparatus
US4836733A (en) * 1986-04-28 1989-06-06 Varian Associates, Inc. Wafer transfer system
JPH01170013A (en) 1987-12-25 1989-07-05 Teru Kyushu Kk Wafer transfer method
US4851101A (en) * 1987-09-18 1989-07-25 Varian Associates, Inc. Sputter module for modular wafer processing machine
JPH01251734A (en) 1988-03-31 1989-10-06 Teru Barian Kk Semiconductor manufacturing apparatus
JPH01258438A (en) 1988-04-08 1989-10-16 Fujitsu Ltd Article information control system
JPH01298180A (en) 1988-05-25 1989-12-01 Hitachi Ltd Plasma treatment equipment
JPH01310553A (en) 1988-06-09 1989-12-14 Fuji Electric Co Ltd Semiconductor wafer treating device
JPH01316957A (en) 1988-06-15 1989-12-21 Nec Corp Sheet-feeder type processing device
US4895107A (en) * 1987-07-06 1990-01-23 Kabushiki Kaisha Toshiba Photo chemical reaction apparatus
US4902934A (en) * 1987-03-30 1990-02-20 Sumitomo Metal Industries, Ltd. Plasma apparatus
JPH0252449A (en) 1988-08-16 1990-02-22 Teru Barian Kk Loading and unloading of substrate
US4903937A (en) * 1987-09-24 1990-02-27 Varian Associates, Inc. Isolation valve for vacuum and non-vacuum application
JPH0261064A (en) * 1988-08-12 1990-03-01 Leybold Ag Apparatus for coating substrate by merry-go-round system
JPH0265252A (en) 1988-08-31 1990-03-05 Nec Kyushu Ltd Semiconductor manufacturing device
US4909695A (en) * 1986-04-04 1990-03-20 Materials Research Corporation Method and apparatus for handling and processing wafer-like materials
US4911597A (en) * 1985-01-22 1990-03-27 Applied Materials, Inc. Semiconductor processing system with robotic autoloader and load lock
JPH0294647A (en) 1988-09-30 1990-04-05 Kokusai Electric Co Ltd Wafer treatment apparatus
US4915564A (en) * 1986-04-04 1990-04-10 Materials Research Corporation Method and apparatus for handling and processing wafer-like materials
US4917556A (en) * 1986-04-28 1990-04-17 Varian Associates, Inc. Modular wafer transport and processing system
JPH02106037A (en) 1988-10-14 1990-04-18 Tokyo Electron Ltd Treatment method
US4923584A (en) 1988-10-31 1990-05-08 Eaton Corporation Sealing apparatus for a vacuum processing system
US4924890A (en) * 1986-05-16 1990-05-15 Eastman Kodak Company Method and apparatus for cleaning semiconductor wafers
US4936329A (en) * 1989-02-08 1990-06-26 Leybold Aktiengesellschaft Device for cleaning, testing and sorting of workpieces
JPH02178946A (en) 1988-12-29 1990-07-11 Tokyo Electron Ltd Production device for semiconductor
EP0381338A2 (en) 1989-01-28 1990-08-08 Kokusai Electric Co., Ltd. Method and apparatus for the transfer of wafers in a vertical cvd diffusion apparatus
US4951601A (en) * 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
JPH02224242A (en) 1988-11-21 1990-09-06 Oki Electric Ind Co Ltd Semiconductor substrate processor
JPH0319252A (en) 1989-05-19 1991-01-28 Applied Materials Inc Multistage vacuum separation type treater, multistage vacuum type semiconductor-wafer treater and device and method of transferring workpiece
US5007981A (en) * 1989-02-27 1991-04-16 Hitachi, Ltd. Method of removing residual corrosive compounds by plasma etching followed by washing
US5014217A (en) * 1989-02-09 1991-05-07 S C Technology, Inc. Apparatus and method for automatically identifying chemical species within a plasma reactor environment
JPH0430549A (en) * 1990-05-28 1992-02-03 Dainippon Screen Mfg Co Ltd Substrate conveyance device
US5186718A (en) 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US5292393A (en) * 1986-12-19 1994-03-08 Applied Materials, Inc. Multichamber integrated process system
US5351415A (en) * 1992-05-18 1994-10-04 Convey, Inc. Method and apparatus for maintaining clean articles
US5436848A (en) * 1990-09-03 1995-07-25 Dainippon Screen Mfg. Co., Ltd. Method of and device for transporting semiconductor substrate in semiconductor processing system
US5452166A (en) * 1993-10-01 1995-09-19 Applied Magnetics Corporation Thin film magnetic recording head for minimizing undershoots and a method for manufacturing the same
US5462397A (en) * 1993-03-16 1995-10-31 Tokyo Electron Limited Processing apparatus
US5504033A (en) * 1992-08-26 1996-04-02 Harris Corporation Method for forming recessed oxide isolation containing deep and shallow trenches
US5504347A (en) * 1994-10-17 1996-04-02 Texas Instruments Incorporated Lateral resonant tunneling device having gate electrode aligned with tunneling barriers
US5509771A (en) * 1992-07-29 1996-04-23 Tokyo Electron Limited Vacuum processing apparatus
US5556714A (en) * 1989-08-28 1996-09-17 Hitachi, Ltd. Method of treating samples
US5651858A (en) * 1995-03-06 1997-07-29 Motorola Inc. Method for forming a tapered opening in silicon
US5685684A (en) * 1990-11-26 1997-11-11 Hitachi, Ltd. Vacuum processing system
US6007675A (en) * 1996-07-09 1999-12-28 Gamma Precision Technology, Inc. Wafer transfer system and method of using the same

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5895636A (en) 1981-11-30 1983-06-07 イビデン株式会社 Heat-resistant elastic sheet and manufacture
FR2583895A1 (en) 1985-06-25 1986-12-26 Graff Bruno SYSTEM FOR INDICATING THE TIME IT IS THROUGH THE COMBINATION OF GRAPHIC SYMBOLS
KR950012969B1 (en) 1986-04-28 1995-10-24 배리언 어소시에이츠 인코포레이티드 Modular wafer transport and processing system
JPS6357734A (en) 1986-08-28 1988-03-12 Mitsubishi Heavy Ind Ltd Fiber reinforced metal and its production
JPS646582A (en) * 1987-06-30 1989-01-11 Tokyo Gas Co Ltd Shutoff valve unit with nozzle
JPS646582U (en) 1987-07-02 1989-01-13
US5225036A (en) * 1988-03-28 1993-07-06 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device
JPH0482841A (en) 1990-07-23 1992-03-16 Arakawa Chem Ind Co Ltd Hydrogenation of aromatic hydrocarbon compound having low molecular weight
KR100221983B1 (en) * 1993-04-13 1999-09-15 히가시 데쓰로 A treating apparatus for semiconductor process
US5934856A (en) * 1994-05-23 1999-08-10 Tokyo Electron Limited Multi-chamber treatment system

Patent Citations (89)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3652444A (en) * 1969-10-24 1972-03-28 Ibm Continuous vacuum process apparatus
US3981791A (en) * 1975-03-10 1976-09-21 Signetics Corporation Vacuum sputtering apparatus
US4138306A (en) * 1976-08-31 1979-02-06 Tokyo Shibaura Electric Co., Ltd. Apparatus for the treatment of semiconductors
US4226897A (en) * 1977-12-05 1980-10-07 Plasma Physics Corporation Method of forming semiconducting materials and barriers
US4313815A (en) * 1978-04-07 1982-02-02 Varian Associates, Inc. Sputter-coating system, and vaccuum valve, transport, and sputter source array arrangements therefor
US4318767A (en) * 1979-11-27 1982-03-09 Tokyo Ohka Kogyo Kabushiki Kaisha Apparatus for the treatment of semiconductor wafers by plasma reaction
US4311427A (en) * 1979-12-21 1982-01-19 Varian Associates, Inc. Wafer transfer system
US4313783A (en) * 1980-05-19 1982-02-02 Branson International Plasma Corporation Computer controlled system for processing semiconductor wafers
JPS5729577A (en) 1980-07-30 1982-02-17 Anelva Corp Automatic continuous sputtering apparatus
JPS5893321A (en) 1981-11-30 1983-06-03 Semiconductor Energy Lab Co Ltd Manufacturing apparatus for semiconductor device
US4457661A (en) * 1981-12-07 1984-07-03 Applied Materials, Inc. Wafer loading apparatus
JPS58108641A (en) 1981-12-21 1983-06-28 Hitachi Ltd Device for automatically exchanging wafer
US4634331A (en) * 1982-05-24 1987-01-06 Varian Associates, Inc. Wafer transfer system
US4449885A (en) * 1982-05-24 1984-05-22 Varian Associates, Inc. Wafer transfer system
JPS5994435A (en) 1982-11-20 1984-05-31 Tokuda Seisakusho Ltd Vacuum treating device
US4576698A (en) * 1983-06-30 1986-03-18 International Business Machines Corporation Plasma etch cleaning in low pressure chemical vapor deposition systems
US4563240A (en) * 1983-08-10 1986-01-07 Hitachi, Ltd. Method and apparatus for plasma process
JPS6052574A (en) 1983-09-02 1985-03-25 Hitachi Ltd Continuous sputtering device
US4824309A (en) * 1983-11-28 1989-04-25 Hitachi, Ltd. Vacuum processing unit and apparatus
US4534314A (en) * 1984-05-10 1985-08-13 Varian Associates, Inc. Load lock pumping mechanism
JPS60246635A (en) 1984-05-22 1985-12-06 Anelva Corp Automatic substrate processing apparatus
US4643629A (en) 1984-10-30 1987-02-17 Anelva Corporation Automatic loader
US4911597A (en) * 1985-01-22 1990-03-27 Applied Materials, Inc. Semiconductor processing system with robotic autoloader and load lock
JPS61173445A (en) 1985-01-28 1986-08-05 Tokyo Erekutoron Kk Wafer transport device of ion implanting device
JPS61250185A (en) 1985-04-25 1986-11-07 Anelva Corp Cleaning method for vacuum treatment device
JPS6244571A (en) 1985-08-20 1987-02-26 Toshiba Mach Co Ltd Ion implantation device
JPS6250463A (en) 1985-08-30 1987-03-05 Hitachi Ltd Continuous sputtering device
JPS6289881A (en) * 1985-10-16 1987-04-24 Hitachi Ltd Sputtering device
JPS62132321A (en) 1985-12-04 1987-06-15 Anelva Corp Dry etching apparatus
JPS62207866A (en) 1986-03-07 1987-09-12 Hitachi Ltd Continuous sputtering device
JPS62216315A (en) 1986-03-18 1987-09-22 Toshiba Mach Co Ltd Semiconductor processor
US4909695A (en) * 1986-04-04 1990-03-20 Materials Research Corporation Method and apparatus for handling and processing wafer-like materials
US4915564A (en) * 1986-04-04 1990-04-10 Materials Research Corporation Method and apparatus for handling and processing wafer-like materials
US4705951A (en) * 1986-04-17 1987-11-10 Varian Associates, Inc. Wafer processing system
EP0246453A2 (en) 1986-04-18 1987-11-25 General Signal Corporation Novel multiple-processing and contamination-free plasma etching system
US4836733A (en) * 1986-04-28 1989-06-06 Varian Associates, Inc. Wafer transfer system
US4715764A (en) * 1986-04-28 1987-12-29 Varian Associates, Inc. Gate valve for wafer processing system
US4917556A (en) * 1986-04-28 1990-04-17 Varian Associates, Inc. Modular wafer transport and processing system
US4924890A (en) * 1986-05-16 1990-05-15 Eastman Kodak Company Method and apparatus for cleaning semiconductor wafers
WO1987007309A1 (en) 1986-05-19 1987-12-03 Novellus Systems, Inc. Deposition apparatus with automatic cleaning means and method of use
JPS636582A (en) * 1986-06-26 1988-01-12 Mita Ind Co Ltd Developing device
JPS63133521A (en) 1986-11-25 1988-06-06 Kokusai Electric Co Ltd Heat treatment equipment for semiconductor substrate
JPS63153270A (en) 1986-12-15 1988-06-25 Ulvac Corp Mechanism for exchanging substrate in vacuum vessel
US4951601A (en) * 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US5292393A (en) * 1986-12-19 1994-03-08 Applied Materials, Inc. Multichamber integrated process system
US4902934A (en) * 1987-03-30 1990-02-20 Sumitomo Metal Industries, Ltd. Plasma apparatus
US4895107A (en) * 1987-07-06 1990-01-23 Kabushiki Kaisha Toshiba Photo chemical reaction apparatus
JPS6412037U (en) * 1987-07-10 1989-01-23
US4836905A (en) 1987-07-16 1989-06-06 Texas Instruments Incorporated Processing apparatus
JPS6431971A (en) * 1987-07-28 1989-02-02 Tokuda Seisakusho Vacuum treatment device
JPS6431970A (en) * 1987-07-28 1989-02-02 Tokuda Seisakusho Vacuum treatment equipment
US4969790A (en) * 1987-08-12 1990-11-13 Leybold Aktiengesellschaft Apparatus on the carousel principle for the coating of substrates
US4851101A (en) * 1987-09-18 1989-07-25 Varian Associates, Inc. Sputter module for modular wafer processing machine
US4903937A (en) * 1987-09-24 1990-02-27 Varian Associates, Inc. Isolation valve for vacuum and non-vacuum application
JPH01120811A (en) 1987-11-04 1989-05-12 Fuji Electric Co Ltd Semiconductor wafer treatment equipment
JPH01135015A (en) 1987-11-20 1989-05-26 Fuji Electric Co Ltd Semiconductor wafer treating device
JPH01170013A (en) 1987-12-25 1989-07-05 Teru Kyushu Kk Wafer transfer method
JPH01251734A (en) 1988-03-31 1989-10-06 Teru Barian Kk Semiconductor manufacturing apparatus
JPH01258438A (en) 1988-04-08 1989-10-16 Fujitsu Ltd Article information control system
JPH01298180A (en) 1988-05-25 1989-12-01 Hitachi Ltd Plasma treatment equipment
JPH01310553A (en) 1988-06-09 1989-12-14 Fuji Electric Co Ltd Semiconductor wafer treating device
JPH01316957A (en) 1988-06-15 1989-12-21 Nec Corp Sheet-feeder type processing device
JPH0261064A (en) * 1988-08-12 1990-03-01 Leybold Ag Apparatus for coating substrate by merry-go-round system
JPH0252449A (en) 1988-08-16 1990-02-22 Teru Barian Kk Loading and unloading of substrate
JPH0265252A (en) 1988-08-31 1990-03-05 Nec Kyushu Ltd Semiconductor manufacturing device
JPH0294647A (en) 1988-09-30 1990-04-05 Kokusai Electric Co Ltd Wafer treatment apparatus
JPH02106037A (en) 1988-10-14 1990-04-18 Tokyo Electron Ltd Treatment method
US4923584A (en) 1988-10-31 1990-05-08 Eaton Corporation Sealing apparatus for a vacuum processing system
JPH02224242A (en) 1988-11-21 1990-09-06 Oki Electric Ind Co Ltd Semiconductor substrate processor
JPH02178946A (en) 1988-12-29 1990-07-11 Tokyo Electron Ltd Production device for semiconductor
EP0381338A2 (en) 1989-01-28 1990-08-08 Kokusai Electric Co., Ltd. Method and apparatus for the transfer of wafers in a vertical cvd diffusion apparatus
US4936329A (en) * 1989-02-08 1990-06-26 Leybold Aktiengesellschaft Device for cleaning, testing and sorting of workpieces
US5014217A (en) * 1989-02-09 1991-05-07 S C Technology, Inc. Apparatus and method for automatically identifying chemical species within a plasma reactor environment
US5007981A (en) * 1989-02-27 1991-04-16 Hitachi, Ltd. Method of removing residual corrosive compounds by plasma etching followed by washing
JPH0319252A (en) 1989-05-19 1991-01-28 Applied Materials Inc Multistage vacuum separation type treater, multistage vacuum type semiconductor-wafer treater and device and method of transferring workpiece
US5186718A (en) 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US5556714A (en) * 1989-08-28 1996-09-17 Hitachi, Ltd. Method of treating samples
JPH0430549A (en) * 1990-05-28 1992-02-03 Dainippon Screen Mfg Co Ltd Substrate conveyance device
US5436848A (en) * 1990-09-03 1995-07-25 Dainippon Screen Mfg. Co., Ltd. Method of and device for transporting semiconductor substrate in semiconductor processing system
US5685684A (en) * 1990-11-26 1997-11-11 Hitachi, Ltd. Vacuum processing system
US5351415A (en) * 1992-05-18 1994-10-04 Convey, Inc. Method and apparatus for maintaining clean articles
US5509771A (en) * 1992-07-29 1996-04-23 Tokyo Electron Limited Vacuum processing apparatus
US5504033A (en) * 1992-08-26 1996-04-02 Harris Corporation Method for forming recessed oxide isolation containing deep and shallow trenches
US5462397A (en) * 1993-03-16 1995-10-31 Tokyo Electron Limited Processing apparatus
US5452166A (en) * 1993-10-01 1995-09-19 Applied Magnetics Corporation Thin film magnetic recording head for minimizing undershoots and a method for manufacturing the same
US5675461A (en) * 1993-10-01 1997-10-07 Applied Magnetics Corporation Thin film magnetic recording head for minimizing undershoots
US5504347A (en) * 1994-10-17 1996-04-02 Texas Instruments Incorporated Lateral resonant tunneling device having gate electrode aligned with tunneling barriers
US5651858A (en) * 1995-03-06 1997-07-29 Motorola Inc. Method for forming a tapered opening in silicon
US6007675A (en) * 1996-07-09 1999-12-28 Gamma Precision Technology, Inc. Wafer transfer system and method of using the same

Non-Patent Citations (66)

* Cited by examiner, † Cited by third party
Title
"Semiconductor World", Sep. 1990, Published by Press Journal, Inc., pp. 136-137 (FTR).
Decision for Patent Opposition, Opposition No. 10-70995 (Dec. 1999) (TR).
Decision of the Tokyo High Court, Case No. 58, on oral proceedings, dated Sep. 17, 2002.
Decision on Opposition No. 2001.72514 relating to JP 3145359, Patentee Hitachi, Ltd., pp. 1-27, Nov. 20, 2002.
Decision on Opposition No. 2001.72518 relating to JP 3145375, Patentee Hitachi, Ltd., pp. 1-28, Nov. 20, 2002.
Decision on Opposition No. 2001.72519 relating to JP 3145376, Patentee Hitachi, Ltd., pp. 1-23, Nov. 20, 2002.
Decision on Opposition No. 2001.72574 relating to JP 3147230, Patentee Hitachi, Ltd., pp. 1-22, Nov. 20, 2002.
Decision on Opposition to the Grant of a Patent 2000-70844 Jan. 12, 2001 (TR).
Electronic Parts and Materials, 1989, vol. 28, No. 3, pp. 22-29.
EP91307625, Communication of a notice of opposition Nov. 19, 1998.
EP91307625, Interlocutory decision in Opposition proceeding, May 16, 2000.
EP91307625, Minutes of the oral proceedings, Mar. 9, 2000.
EP91307625, Office Action Oct. 11, 1993.
EP91307625, Summons to attend oral proceedings Dec. 9, 1999.
EP97111628, Office action Dec. 1999.
EP97111628, Office action Jun. 2001.
EP97111628, Office action Nov. 2000.
EP98106162, Office action Jun. 2001.
EP98106162, Office action Nov. 2000.
European Search Report, Apr. 1998, re 97111628.0.
European Search Report, Jan. 1992, re 91307625.3.
European Search Report, Mar. 1999, re 981061625.
JP application No. 11-001263, Notification of Reasons for Refusal Feb. 2, 2000 (TR).
JP application No. 2000-054450, Notification of Reasons for Refusal Sep. 6, 2000 (TR).
JP application No. 2000-054451, Notification of Reasons for Refusal Sep. 6, 2000 (TR).
JP application No. 2000-054452, Notification of Reasons for Refusal Sep. 6, 2000 (TR).
JP application No. 2000-05449, Notification of Reasons for Refusal Sep. 6, 2000 (TR).
JP Application No. 2000-338177, Decision of Refusal Apr. 16, 2002 (TR).
JP application No. 2-225321, Notification of Reasons for Refusal Oct. 15, 1996 (TR).
JP application No. 2646905, Notice of Reasons for Revocation Jul. 21, 1999 (TR).
JP application No. 2646905, Notification Reasons for Revocation Dec. 15, 1998 (TR).
JP application No. 3-234408, Notification of Reasons for Refusal, Jan. 28, 1997 (TR).
JP application No. 8-335329, Interview Record (TR).
JP application No. 8-335329, Notification of Reasons for Refusal Apr. 7, 1998 (TR).
JP application No. 8-335329, Notification of Reasons for Refusal Sep. 30, 1997 (TR).
JP application No. 9-329873, Notification of Reasons for Refusal Jul. 14, 1998 (TR).
JP application No. 9-329873, Notification of Reasons for Refusal Nov. 11, 1998 (TR).
JP patent No. 2816139, Notice of Reasons for Revocation Nov. 21, 1999 (TR).
JP patent No. 2942527, Notice of Reasons for Revocation Jun. 27, 2000 (TR).
JP patent No. 3145359, Notice of Reasons for Revocation Dec. 18, 2001 (TR).
JP patent No. 3145375, Notice of Reasons for Revocation Dec. 18, 2001 (TR).
JP patent No. 3147230, Notice of Reasons for Revocation Dec. 18, 2001 (TR).
KR application No. 14984/91, Preliminary Notice of Ground(s) for Rejection (TR).
KR application No. 184682, Trial Decision Aug. 1, 2000 (TR).
KR application No. 184682-00-00 Notice of Decision on Opposition to the Granted Patent Oct. 4, 2000 (TR).
KR application No. 212819, Dec. 22, 2000, Notice of Decision on Opposition to the Granted Patent (TR).
KR application No. 212874, Dec. 22, 2000, Notice of Decision on Opposition to the Granted Patent.
KR application No. 46756/98, Preliminary Notice of Ground(s) for Rejection Jan. 20, 1999 (TR).
KR application No. 46757/98, Preliminary Notice of Ground(s) for Rejection Jan. 20, 1999 (TR).
Latest trend of process automation (Realize, Inc.) (1986), pp. 202 and 203.
Office Action dated Sep. 3, 2002, in corresponding Japanese Patent Application 2000-040579.
Office Action dated Sep. 3, 2002, in corresponding Japanese Patent Application 2000-040580.
Official Decision on Opposition to Grant of Patent 1999-71584 Jan. 12, 2001 (TR).
R.P.H. Chang, "Multipurpose plasma reactor for materials research and processing", J. Vac. Sci. Technol., vol. 14, No. 1, Jan./Feb. 1977, pp. 278-280. *
Semicon News, Apr. 1987 (pp. 38-43) (PTR).
Semicon News, Semicon/East '84, Dec. 1984, vol. 13, p. 199.
Semiconductor Equipment Association of Japan, "Semiconductor News", vol. 4 pp. 38-43, Apr. 10, 1987 (w/translation). *
Semiconductor Equipment Association of Japan, "Terminological Dictionary of Semiconductor Equipment", front, table, p. 183, back, Nov. 20, 1987. *
Semiconductor World, pp. 106-111, Sep. 1990.
Semiconductor World, pp. 110-113, Oct. 1989.
Semiconductor World, pp. 122-125, Sep. 1990.
Semiconductor World, pp. 52-53, Aug. 1991.
Solid State Technology, Dec. 1990, pp. 37-47.
Solid State Technology, Oct. 1990, pp. 35-41.
Terminological Dictionary of Semiconductor Equipment, Edited by Semiconductor Equipment Association of Japan, Published by Nikkan Kogyo Shinbun sha Inc. (1987) p. 183 (PTR).
VLSI Processing Equipment handbook, Ph. D. Kazuo Maeda (Jun. 1990), pp. 158 (PTR).

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20190326147A1 (en) * 2014-11-11 2019-10-24 Applied Materials, Inc. Multi-cassette carrying case

Also Published As

Publication number Publication date
USRE39776E1 (en) 2007-08-21
USRE39824E1 (en) 2007-09-11
USRE39775E1 (en) 2007-08-21

Similar Documents

Publication Publication Date Title
US6330756B1 (en) Vacuum processing apparatus and operating method therefor
USRE39823E1 (en) Vacuum processing operating method with wafers, substrates and/or semiconductors
US7089680B1 (en) Vacuum processing apparatus and operating method therefor

Legal Events

Date Code Title Description
FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

FPAY Fee payment

Year of fee payment: 8

FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Free format text: PAYER NUMBER DE-ASSIGNED (ORIGINAL EVENT CODE: RMPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

REMI Maintenance fee reminder mailed
LAPS Lapse for failure to pay maintenance fees