USRE39518E1 - Run to run control process for controlling critical dimensions - Google Patents

Run to run control process for controlling critical dimensions Download PDF

Info

Publication number
USRE39518E1
USRE39518E1 US09/908,390 US90839001A USRE39518E US RE39518 E1 USRE39518 E1 US RE39518E1 US 90839001 A US90839001 A US 90839001A US RE39518 E USRE39518 E US RE39518E
Authority
US
United States
Prior art keywords
photoresist
critical dimension
gate electrode
patterned
electrode material
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
US09/908,390
Inventor
Anthony John Toprac
Douglas John Downey
Subhash Gupta
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lone Star Silicon Innovations LLC
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=25343377&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=USRE39518(E1) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Priority to US09/908,390 priority Critical patent/USRE39518E1/en
Application granted granted Critical
Publication of USRE39518E1 publication Critical patent/USRE39518E1/en
Assigned to LONE STAR SILICON INNOVATIONS LLC reassignment LONE STAR SILICON INNOVATIONS LLC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: ADVANCED MICRO DEVICES INC.
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps

Definitions

  • the present invention relates to semiconductor fabrication methods. More precisely, the present invention relates to a control system used in semiconductor fabrication for controlling feature critical dimensions.
  • the first aspect is a critical dimension, the absolute size of a feature, including linewidth, spacing or contact dimensions.
  • the second aspect is the variation in feature size across the wafer surface as measured by steps of a wafer stepper. Linewidth and spacing measurements are regularly performed to determine the actual sizes of critical dimensions at each masking level of a process.
  • linewidth control Another aspect of linewidth control is that correct feature sizes are to be maintained across an entire wafer and also maintained from wafer to wafer. As a feature size is reduced, the tolerable error on feature size control is also reduced. When an exposure is performed by a wafer stepper, the feature size is controlled across every exposure field and field-to-field variations are to be held within specified limits.
  • Linewidth control is affected by many factors including fabrication tools and equipment, process recipes, and raw materials.
  • Critical dimensions are analyzed by measuring fabricated test structures with nominal feature sizes at many positions of a wafer. The measurement results are then plotted as a function of location to determine critical dimension variation.
  • Photoresist processing typically includes steps of substrate cleaning, dehydration baking and priming, spin coating, soft-baking or pre-baking, exposure, post-exposure treatment, a photoresist develop step, inspection following development, plasma de-scumming, post-baking, etching, deep ultraviolet hardening of photoresist, and stripping of the photoresist. Many of these steps are very important for determining linewidth control and control of critical dimensions. For example, dehydration baking and priming assures adhesion of the photoresist during processing. Poor adhesion can cause a loss of linewidth control including the entire loss of pattern elements in extreme cases.
  • the coating process including resist formulation, spinner type, wafer size, spin parameters, and ambient temperature during deposition determine the thickness variation across a wafer which, in turn, influences the critical dimensions across the wafer. Contamination with airborne particles and air bubbles can occur during the coating step.
  • the soft-baking step can lead to wafer-to-wafer variations in critical dimensions since, for example, solvent vapors can coat infrared soft-baking lamps changing the energy output.
  • Exposure of the photoresist is a critical step in the resist processing procedure for several reasons.
  • Exposure is a step in which wafers are processed individually, leading to possible wafer-to-wafer variations in exposure.
  • Second, the results of the exposure are highly dependent on photoresist thickness so that differences in coating thickness arising in the photoresist coating step are amplified during exposure.
  • Critical dimensions are conventionally tested by randomly sampling one wafer or a few wafers of a lot or multiple lots of processed wafers on post-develop inspection. If a sampled wafer is found to have critical dimensions that are outside specifications, another sample from the lots of processed wafers may be tested. If the subsequent test results in critical dimensions outside specified values, many wafers may be remeasured. If the critical dimensions are consistently outside the specification limits, the lot or entire group of lots are stripped of photoresist and reprocessed through the photolithography process.
  • the critical dimensions of polysilicon gates affect many operating parameters of integrated circuits, but fundamentally the greatest considerations of critical dimensions is speed performance and power consumption of a circuit. The smaller this critical dimension, the faster the operation of the transistor and the integrated circuit as a whole. Too small a polysilicon gate critical dimension, however, results in unacceptably high power consumption and parasitic currents in the transistor. An optimal operating point for this critical dimension is therefore defined by these countervailing effects. Thus, the narrower the distribution of critical dimension values centered about the optimal critical dimension in a lot of wafers, the more high speed, functional circuits are produced. Unfortunately, the critical dimensions resulting from conventional manufacturing methods are rarely optimum, resulting in reduced yield of high performance circuits. Furthermore, the conventional process is very wasteful when process conditions are substandard.
  • What is need is a technique for reducing polysilicon gate linewidth variability and controlling the process at, or very near, the optimal linewidth value. What is further needed is a technique for reducing lot average critical dimensions in a polysilicon gate etch process without negatively impacting other parameters such as uniformity and line shape.
  • a control method employs a control system using photoresist etch time as a controlling variable in either a feedforward or a feedback control configuration to control critical dimension variation during semiconductor fabrication.
  • polysilicon gate linewidth variability is reduced using a control method using either feedforward or feedback.
  • feedback control is implemented for controlling critical dimensions using photoresist etch time as a manipulated variable.
  • critical dimensions are controlled using RF power, gas flow rates, chamber pressure, and/or other recipe variables as manipulated variable(s).
  • a run-to-run control technique is used to drive the critical dimensions of integrated circuits to a set specification.
  • a run-to-run control technique a wafer test or measurement is made and a process control recipe is adjusted based on the result of the test or measurement on a run-by-run basis.
  • the run-to-run control technique is applied to drive the critical dimensions of a polysilicon gate structure to a target specification.
  • the run-to-run control technique is applied to drive the critical dimensions in an integrated circuit to a defined specification using photoresist etch time as a manipulated variable.
  • One advantage is that the control of critical dimension uniformity is substantially improved by the described process. It is also highly advantageous that the described method improves manufacturability as well as control.
  • FIG. 1 is a flow chart which illustrates a control method for controlling critical dimensions in a semiconductor fabrication process by adjusting the fabrication parameters or “recipe” for a photoresist etch step in accordance with an embodiment of the present invention.
  • FIG. 2 is a graph showing the relationship of final inspection critical dimensions to photoresist etch time for developing a process model of the control method depicted in FIG. 1 .
  • FIG. 3 is a cross-sectional view of the photoresist line used to create a polysilicon gate structure which is useful for illustrating the quantitative analysis for implementing a process model in accordance with an embodiment of the present invention.
  • a flow chart illustrates a control method for controlling critical dimensions in a semiconductor fabrication process 100 by adjusting the fabrication parameters or “recipe” for a photoresist etch step 106 previous to a polysilicon gate etch step in the fabrication process 100 .
  • the critical dimensions are controlled using photoresist etch time as a control variable to drive the critical dimensions to a target value.
  • the fabrication process 100 involves selection of one or more test wafers, called “pilot” wafers from an entire lot of wafers.
  • the pilot wafers are tested to characterize the lot of wafers, processed through the photoresist etch step 106 using a nominal, average, or moving average processing recipe, and measured in a Final Inspection Critical Dimensions step 108 .
  • the results from the pilot lot tests are applied to update a process model 114 which is used to adjust the etch recipe for the remaining wafers in the lot to drive their critical dimensions to the target values.
  • SEM scanning electron microscopy
  • the fabrication process 100 of the illustrative embodiment first under-exposes a wafer 102 to initially produce critical dimensions that are larger than target critical dimension values so that the photoresist etch time is subsequently varied during the photoresist etch step 106 to control driving of the critical dimensions to the target value.
  • the step of under-exposing the wafer 102 involves exposing the wafer to less energy, typically 5 to 15 milliJoules (mJ) less energy, than is used in the nominal process.
  • a Develop Inspection Critical Dimensions (DICD) step 104 the critical dimensions are measured for some of the wafers following the develop step of the photoresist processing procedure. Measurements performed during the Develop Inspection Critical Dimensions (DICD) step 104 are typically inaccurate by nature relative to the Final Inspect Critical Dimension (FICD) measurement. DICD measurements are relatively noisy and often do not reflect important process variations in the photolithography process.
  • the Develop Inspection Critical Dimensions step 104 involves measurement of critical dimensions for three “pilot” wafers in a lot of 24 wafers. In other embodiments of the fabrication process 100 more or fewer wafers may be tested to characterize a full lot of wafers. A single wafer may be tested. The greater the number of tested “pilot” wafers, the better the characterization of the lot remainder.
  • the wafers measured in the Develop Inspection Critical Dimensions step 104 are etched in the photoresist etch step 106 with the etch time set to a nominal photoresist etch time that is based on the initial, average, or moving average operating conditions of the fabrication process 100 .
  • the photoresist etch procedure advantageously improves across-wafer uniformity according to FICD measurements, including improvement of the critical dimension ratio for dense and isolated gate structures.
  • the initial or average operating conditions are set according to the original state of the process model 114 .
  • the nominal etch time is set as an average of the exponentially-weighted moving average of the current photoresist etch times.
  • FICD Final Inspection Critical Dimensions
  • Various measurement techniques may be used for the Develop Inspection Critical Dimensions step 104 and the Final Inspection Critical Dimensions step 108 including scanning electron microscopy (SEM), mechanical measurement techniques, image shearing, and reflectance measurements.
  • Scanning electron microscopy is highly accurate for measuring line widths.
  • a scanning electron microscope uses an electron beam as an illumination source which is scanned over a wafer surface. Impinging electrons from the illumination cause ejection of electrons from the wafer surface. The ejected electrons are collected and translated into a picture of the surface of the wafer on a screen or photograph.
  • measurement data is added to a database including a DICD database and an FICD database.
  • Measurements acquired during the Develop Inspection Critical Dimensions step 104 are filtered in a filter DICD step 110 and the filtered measurements are applied to the process model 114 to supply a feed forward control of critical dimensions.
  • measurements acquired in the Final Inspection Critical Dimensions step 108 are filtered in a filter FICD step 112 and applied to the process model 114 to supply feedback control of critical dimensions.
  • only feedback control of critical dimensions is employed using feedback of the FICD measurements.
  • feedforward control of critical dimensions is implemented using feedforward of DICD measurements in conjunction with feedback control from FICD measurements.
  • the filter DICD step 110 and the filter FICD step 112 are used for averaging or smoothing of the data for removal of measurement noise such as random noise and measurement variability.
  • both the filter DICD step 110 and the filter FICD step 112 are performed using an exponentially-weighted moving average filter.
  • the critical dimension measurements for the pilot wafers are used to determine a proper value for the manipulated variable, the photoresist etch time, and applied to the remaining wafers in the lot.
  • the process model 114 determines the extent that the final inspection critical dimensions differ from the FICD target value and changes the photoresist etch time for the remainder of the lot of wafers.
  • the value of the average etch time may be updated using the updated or changed new value of etch time.
  • the process model 114 is updated to establish a quantitative relationship between the manipulated variable, photoresist etch time in the illustrative embodiment, and the etched polysilicon gate critical dimensions.
  • the process model 114 is updated by adjusting one or more model parameters such that the latest value of photoresist etch time, when input to the model, gives a model prediction for FICD value which is the same as the measured FICD value resulting from the given photoresist etch time.
  • the measurements acquired during the Develop Inspection Critical Dimensions step 104 are typically not sufficiently accurate and reliable for sole usage in controlling the fabrication process 100 although the measurements are useful for predictive modeling at the early stages of the process model 114 .
  • the operation of the process model 114 is shown graphically in FIG. 2 as a relation 200 of final inspection critical dimensions with respect to photoresist etch times.
  • the process model 114 receives measurement data resulting from the pilot test wafers. In the illustrative embodiment, three wafers are included in the set of pilot test wafers.
  • the model relation is updated and centered based on the measurement results so that the updated model reflects the current wafer conditions and the current state of the etcher.
  • the updated model is then used to adjust the etch recipe for the remaining wafers in the lot to improve control of critical dimensions.
  • the improvement is attained through better centering of the FICD mean 204 for each lot at the target critical dimension. The improvement is further attained by decreasing or eliminating variability in the FICD results that are caused by variations in raw materials entered into the fabrication process 100 .
  • the process model 114 is updated using the measurement of final inspection critical dimensions and the photoresist etch time used for the pilot test wafers.
  • the updated model is used to predict an effective photoresist etch time to improve critical dimensions for the remaining 24 wafers of the 24 wafer lot.
  • the Final Inspection Critical Dimensions step 108 measures the critical dimensions of the remaining wafers in the lot and the process model 114 is updated according to the measurements of the remaining wafers. If a plurality of wafer lot runs are processed, the process model 114 may be continually updated for the series of runs depending on the results of the tests. For example, the fabrication process 100 may be controlled so that the model is either updated or unchanged between runs. Furthermore, the manipulated variable may be set by external adjustment.
  • the process model 114 uses a quadratic relationship between the critical dimensions and the photoresist etch time.
  • the quadratic relationship is used for modeling various physical phenomena, reverting to a linear relationship for lateral etches and remaining in a quadratic form for isotropic vertical etches.
  • the c parameter in the quadratic relationship is used to center the relationship between the final inspection critical dimensions and the photoresist etch times.
  • the process model 114 sets the model through a current average operating point which is indicative of the characteristics of the wafers, the etch chamber, and the process in general.
  • the model presumably reflects the actual current state of the process, wafers and chamber so that any difference between the final inspection critical dimensions and the target FICD values reflects the amount of time the photoresist etch time is to be changed to drive measured FICDs to the target value.
  • the c parameter is derived from the DICD measurement which is supplied using feedforward control of the process model 114 .
  • the c parameter is used in this manner as an adjustable constant.
  • the value of c used to update the model is the value of c determined as described above and then averaged or filtered using the exponentially weighted moving average or other filtering method to “smooth” variations in the c parameter.
  • the process model 114 is developed based on the sidewall angle of photoresist lines, which is depicted in a cross-sectional view in FIG. 3 .
  • DICD is the develop inspection critical dimensions
  • FICD is the final inspection critical dimensions
  • CB is the chamber bias
  • ER is the etch rate
  • ET is the etch time
  • ⁇ 0 is the initial sidewall angle of the photoresist.
  • the initial sidewall angle of the photoresist ⁇ 0 may be a measured parameter.
  • the relationship shown in equation (5) reduces to the quadratic form or equation (3) to attain a very good approximation for small angles ⁇ such that tan ⁇ is approximately equal to ⁇ .
  • Preliminary studies have shown that usage of the polynomial function as shown in equation (3) and (4) produces a more stable model than usage of a function according to equation (5).
  • the fabrication process 100 uses feedback control of the process recipe using run-by-run control to control critical dimensions.
  • photoresist etch time is varied depending on the measurement of wafers which were previously processed.
  • critical dimensions are controlled using radio frequency power as a control variable.
  • embodiments using photoresist etch time as the control variable advantageously provide more stable control of critical dimensions without unwanted process side-effects.
  • a plurality of pilot wafers are tested with each pilot wafer representing a “split” of wafers.
  • a lot of 24 wafers is divided into three splits with each split containing eight wafers.
  • One pilot wafer is assigned for each of the three splits.
  • the splits are processed separately using a different exposure energy to produce three levels of DICD measurements.
  • the range of DICD measurements is determined based on the range of acceptable values of the manipulated variables, for example the photoresist etch times, and constraints of the process model 114 .
  • the method may be practiced in a manual or automatic form.
  • a software system may be used to automate the entire critical dimension control loop.
  • a Bottom Anti-Reflective Coating (BARC) etch step is implemented prior to etching of polysilicon or other substrates.
  • the BARC process is used to improve a photolithography process by reducing the stray light photoresist exposure from reflections off a substrate, such as polysilicon.
  • a BARC process involves the formation of an anti-reflective coating beneath the photoresist layer but overlying the polysilicon layer. The BARC layer is etched before the polysilicon is exposed for etching.
  • the BARC etch time may be used as a control variable for controlling critical dimensions, rather than usage of photoresist etch time as the control variable.

Abstract

It has been discovered that all causes of critical dimension variation, both known and unknown, are compensated by adjusting the time of photoresist etch. Accordingly, a control method employs a control system using photoresist etch time as a manipulated variable in either a feedforward or a feedback control configuration to control critical dimension variation during semiconductor fabrication. By controlling critical dimensions through the adjustment of photoresist etch time, many advantages are achieved including a reduced lot-to-lot variation, an increased yield, and increased speed of the fabricated circuits. In one embodiment these advantages are achieved for polysilicon gate critical dimension control in microprocessor circuits. Polysilicon gate linewidth variability is reduced using a control method using either feedforward and feedback or feedback alone. In some embodiments, feedback control is implemented for controlling critical dimensions using photoresist each time as a manipulated variable. In an alternative embodiment, critical dimensions are controlled using RF power as a manipulated variable. A run-to-run control technique is used to drive the critical dimensions of integrated circuits to a set specification. In a run-to-run control technique a wafer test or measurement is made and a process control recipe is adjusted based on the result of the test or measurement on a run-by-run basis. The run-to-run control technique is applied to drive the critical dimensions of a polysilicon gate structure to a target specification. The run-to-run control technique is applied to drive the critical dimensions in an integrated circuit to a defined specification using photoresist etch time as a manipulated variable.

Description

BACKGROUND OF THE INVENTION
1. Field of the Invention
The present invention relates to semiconductor fabrication methods. More precisely, the present invention relates to a control system used in semiconductor fabrication for controlling feature critical dimensions.
2. Description of the Related Art
Two aspects of feature sizes are controlled in a lithographic and etch process. The first aspect is a critical dimension, the absolute size of a feature, including linewidth, spacing or contact dimensions. The second aspect is the variation in feature size across the wafer surface as measured by steps of a wafer stepper. Linewidth and spacing measurements are regularly performed to determine the actual sizes of critical dimensions at each masking level of a process.
Another aspect of linewidth control is that correct feature sizes are to be maintained across an entire wafer and also maintained from wafer to wafer. As a feature size is reduced, the tolerable error on feature size control is also reduced. When an exposure is performed by a wafer stepper, the feature size is controlled across every exposure field and field-to-field variations are to be held within specified limits.
Linewidth control is affected by many factors including fabrication tools and equipment, process recipes, and raw materials. Critical dimensions are analyzed by measuring fabricated test structures with nominal feature sizes at many positions of a wafer. The measurement results are then plotted as a function of location to determine critical dimension variation.
Linewidth control and control of critical dimensions is largely determined by specific characteristics of the steps of photoresist processing. Photoresist processing typically includes steps of substrate cleaning, dehydration baking and priming, spin coating, soft-baking or pre-baking, exposure, post-exposure treatment, a photoresist develop step, inspection following development, plasma de-scumming, post-baking, etching, deep ultraviolet hardening of photoresist, and stripping of the photoresist. Many of these steps are very important for determining linewidth control and control of critical dimensions. For example, dehydration baking and priming assures adhesion of the photoresist during processing. Poor adhesion can cause a loss of linewidth control including the entire loss of pattern elements in extreme cases.
The coating process including resist formulation, spinner type, wafer size, spin parameters, and ambient temperature during deposition determine the thickness variation across a wafer which, in turn, influences the critical dimensions across the wafer. Contamination with airborne particles and air bubbles can occur during the coating step. The soft-baking step can lead to wafer-to-wafer variations in critical dimensions since, for example, solvent vapors can coat infrared soft-baking lamps changing the energy output.
Exposure of the photoresist is a critical step in the resist processing procedure for several reasons. First, exposure is a step in which wafers are processed individually, leading to possible wafer-to-wafer variations in exposure. Second, the results of the exposure are highly dependent on photoresist thickness so that differences in coating thickness arising in the photoresist coating step are amplified during exposure.
Critical dimensions are conventionally tested by randomly sampling one wafer or a few wafers of a lot or multiple lots of processed wafers on post-develop inspection. If a sampled wafer is found to have critical dimensions that are outside specifications, another sample from the lots of processed wafers may be tested. If the subsequent test results in critical dimensions outside specified values, many wafers may be remeasured. If the critical dimensions are consistently outside the specification limits, the lot or entire group of lots are stripped of photoresist and reprocessed through the photolithography process.
The critical dimensions of polysilicon gates affect many operating parameters of integrated circuits, but fundamentally the greatest considerations of critical dimensions is speed performance and power consumption of a circuit. The smaller this critical dimension, the faster the operation of the transistor and the integrated circuit as a whole. Too small a polysilicon gate critical dimension, however, results in unacceptably high power consumption and parasitic currents in the transistor. An optimal operating point for this critical dimension is therefore defined by these countervailing effects. Thus, the narrower the distribution of critical dimension values centered about the optimal critical dimension in a lot of wafers, the more high speed, functional circuits are produced. Unfortunately, the critical dimensions resulting from conventional manufacturing methods are rarely optimum, resulting in reduced yield of high performance circuits. Furthermore, the conventional process is very wasteful when process conditions are substandard.
What is need is a technique for reducing polysilicon gate linewidth variability and controlling the process at, or very near, the optimal linewidth value. What is further needed is a technique for reducing lot average critical dimensions in a polysilicon gate etch process without negatively impacting other parameters such as uniformity and line shape.
SUMMARY OF THE INVENTION
It has been discovered that all causes of critical dimension variation, both known and unknown, are compensated by adjusting the time duration of a photoresist etch. Accordingly, a control method employs a control system using photoresist etch time as a controlling variable in either a feedforward or a feedback control configuration to control critical dimension variation during semiconductor fabrication. By controlling critical dimensions through the adjustment of photoresist etch time, many advantages are achieved including a reduced lot-to-lot variation, an increased yield, and increased speed of the fabricated circuits. In one embodiment these advantages are achieved for polysilicon gate critical dimension control in microprocessor circuits.
In accordance with an embodiment of the present invention, polysilicon gate linewidth variability is reduced using a control method using either feedforward or feedback. In some embodiments, feedback control is implemented for controlling critical dimensions using photoresist etch time as a manipulated variable. In an alternative embodiment, critical dimensions are controlled using RF power, gas flow rates, chamber pressure, and/or other recipe variables as manipulated variable(s).
In accordance with an embodiment of the present invention, a run-to-run control technique is used to drive the critical dimensions of integrated circuits to a set specification. In a run-to-run control technique a wafer test or measurement is made and a process control recipe is adjusted based on the result of the test or measurement on a run-by-run basis.
In accordance with a further embodiment of the present invention, the run-to-run control technique is applied to drive the critical dimensions of a polysilicon gate structure to a target specification.
In accordance with a yet further embodiment of the present invention, the run-to-run control technique is applied to drive the critical dimensions in an integrated circuit to a defined specification using photoresist etch time as a manipulated variable.
Many advantages are achieved by the described process control method. One advantage is that the control of critical dimension uniformity is substantially improved by the described process. It is also highly advantageous that the described method improves manufacturability as well as control.
BRIEF DESCRIPTION OF THE DRAWINGS
The features of the described embodiments believed to be novel are specifically set forth in the appended claims. However, embodiments of the invention relating to both structure and method of operation, may best be understood by referring to the following description and accompanying drawings.
FIG. 1 is a flow chart which illustrates a control method for controlling critical dimensions in a semiconductor fabrication process by adjusting the fabrication parameters or “recipe” for a photoresist etch step in accordance with an embodiment of the present invention.
FIG. 2 is a graph showing the relationship of final inspection critical dimensions to photoresist etch time for developing a process model of the control method depicted in FIG. 1.
FIG. 3 is a cross-sectional view of the photoresist line used to create a polysilicon gate structure which is useful for illustrating the quantitative analysis for implementing a process model in accordance with an embodiment of the present invention.
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
Referring to FIG. 1, a flow chart illustrates a control method for controlling critical dimensions in a semiconductor fabrication process 100 by adjusting the fabrication parameters or “recipe” for a photoresist etch step 106 previous to a polysilicon gate etch step in the fabrication process 100. In particular, the critical dimensions are controlled using photoresist etch time as a control variable to drive the critical dimensions to a target value.
In overview, the fabrication process 100 involves selection of one or more test wafers, called “pilot” wafers from an entire lot of wafers. The pilot wafers are tested to characterize the lot of wafers, processed through the photoresist etch step 106 using a nominal, average, or moving average processing recipe, and measured in a Final Inspection Critical Dimensions step 108. The results from the pilot lot tests are applied to update a process model 114 which is used to adjust the etch recipe for the remaining wafers in the lot to drive their critical dimensions to the target values. In the illustrative embodiment, scanning electron microscopy (SEM) measurements are made to determine changes in the etch recipe for the remainder of the lot, adjusting the average critical dimension toward a current target critical dimension.
The fabrication process 100 of the illustrative embodiment first under-exposes a wafer 102 to initially produce critical dimensions that are larger than target critical dimension values so that the photoresist etch time is subsequently varied during the photoresist etch step 106 to control driving of the critical dimensions to the target value. In one embodiment, the step of under-exposing the wafer 102 involves exposing the wafer to less energy, typically 5 to 15 milliJoules (mJ) less energy, than is used in the nominal process.
In a Develop Inspection Critical Dimensions (DICD) step 104, the critical dimensions are measured for some of the wafers following the develop step of the photoresist processing procedure. Measurements performed during the Develop Inspection Critical Dimensions (DICD) step 104 are typically inaccurate by nature relative to the Final Inspect Critical Dimension (FICD) measurement. DICD measurements are relatively noisy and often do not reflect important process variations in the photolithography process. In the illustrated method, the Develop Inspection Critical Dimensions step 104 involves measurement of critical dimensions for three “pilot” wafers in a lot of 24 wafers. In other embodiments of the fabrication process 100 more or fewer wafers may be tested to characterize a full lot of wafers. A single wafer may be tested. The greater the number of tested “pilot” wafers, the better the characterization of the lot remainder.
The wafers measured in the Develop Inspection Critical Dimensions step 104 are etched in the photoresist etch step 106 with the etch time set to a nominal photoresist etch time that is based on the initial, average, or moving average operating conditions of the fabrication process 100. The photoresist etch procedure advantageously improves across-wafer uniformity according to FICD measurements, including improvement of the critical dimension ratio for dense and isolated gate structures.
The initial or average operating conditions are set according to the original state of the process model 114. In one embodiment, the nominal etch time is set as an average of the exponentially-weighted moving average of the current photoresist etch times.
Following the photoresist etch step 106, the polysilicon gates are etched, the pilot wafers are stripped and cleaned, and the critical dimensions of the etched wafers are measured in a Final Inspection Critical Dimensions (FICD) step 108. Measurements resulting from the Final Inspection Critical Dimensions (FICD) step 108 more accurately reflect the critical dimensions than DICD measurements generally because the photoresist, which resolves relatively poorly in a scanning electron microscope, is stripped from the wafer for the FICD measurement.
Various measurement techniques may be used for the Develop Inspection Critical Dimensions step 104 and the Final Inspection Critical Dimensions step 108 including scanning electron microscopy (SEM), mechanical measurement techniques, image shearing, and reflectance measurements. Scanning electron microscopy is highly accurate for measuring line widths. A scanning electron microscope uses an electron beam as an illumination source which is scanned over a wafer surface. Impinging electrons from the illumination cause ejection of electrons from the wafer surface. The ejected electrons are collected and translated into a picture of the surface of the wafer on a screen or photograph.
Once the measurements are acquired in the Develop Inspection Critical Dimensions step 104 and the Final Inspection Critical Dimensions step 108, measurement data is added to a database including a DICD database and an FICD database.
Measurements acquired during the Develop Inspection Critical Dimensions step 104 are filtered in a filter DICD step 110 and the filtered measurements are applied to the process model 114 to supply a feed forward control of critical dimensions. Similarly, measurements acquired in the Final Inspection Critical Dimensions step 108 are filtered in a filter FICD step 112 and applied to the process model 114 to supply feedback control of critical dimensions. In some embodiments or some applications, only feedback control of critical dimensions is employed using feedback of the FICD measurements. In other embodiments or other applications, feedforward control of critical dimensions is implemented using feedforward of DICD measurements in conjunction with feedback control from FICD measurements. The filter DICD step 110 and the filter FICD step 112 are used for averaging or smoothing of the data for removal of measurement noise such as random noise and measurement variability. In one embodiment, both the filter DICD step 110 and the filter FICD step 112 are performed using an exponentially-weighted moving average filter.
In the process model 114, the critical dimension measurements for the pilot wafers are used to determine a proper value for the manipulated variable, the photoresist etch time, and applied to the remaining wafers in the lot. The process model 114 determines the extent that the final inspection critical dimensions differ from the FICD target value and changes the photoresist etch time for the remainder of the lot of wafers. In addition, the value of the average etch time may be updated using the updated or changed new value of etch time. The process model 114 is updated to establish a quantitative relationship between the manipulated variable, photoresist etch time in the illustrative embodiment, and the etched polysilicon gate critical dimensions. The process model 114 is updated by adjusting one or more model parameters such that the latest value of photoresist etch time, when input to the model, gives a model prediction for FICD value which is the same as the measured FICD value resulting from the given photoresist etch time. The measurements acquired during the Develop Inspection Critical Dimensions step 104 are typically not sufficiently accurate and reliable for sole usage in controlling the fabrication process 100 although the measurements are useful for predictive modeling at the early stages of the process model 114.
The operation of the process model 114 is shown graphically in FIG. 2 as a relation 200 of final inspection critical dimensions with respect to photoresist etch times. The process model 114 receives measurement data resulting from the pilot test wafers. In the illustrative embodiment, three wafers are included in the set of pilot test wafers. The model relation is updated and centered based on the measurement results so that the updated model reflects the current wafer conditions and the current state of the etcher. The updated model is then used to adjust the etch recipe for the remaining wafers in the lot to improve control of critical dimensions. The improvement is attained through better centering of the FICD mean 204 for each lot at the target critical dimension. The improvement is further attained by decreasing or eliminating variability in the FICD results that are caused by variations in raw materials entered into the fabrication process 100.
In the illustrated embodiment, the process model 114 is updated using the measurement of final inspection critical dimensions and the photoresist etch time used for the pilot test wafers. The updated model is used to predict an effective photoresist etch time to improve critical dimensions for the remaining 24 wafers of the 24 wafer lot. Following etching of all wafers in a lot, the Final Inspection Critical Dimensions step 108 measures the critical dimensions of the remaining wafers in the lot and the process model 114 is updated according to the measurements of the remaining wafers. If a plurality of wafer lot runs are processed, the process model 114 may be continually updated for the series of runs depending on the results of the tests. For example, the fabrication process 100 may be controlled so that the model is either updated or unchanged between runs. Furthermore, the manipulated variable may be set by external adjustment.
In one embodiment, the process model 114 uses a quadratic relationship between the critical dimensions and the photoresist etch time. A polynomial function for the critical dimensions is shown in equation (3), as follows:
FICD=at2+bt+c,  (3)
which may be solved by iterative methods or by using the quadratic formula, shown in equation (4) as follows: t = - b + b 2 - 4 a c 2 a . ( 4 )
The quadratic relationship is used for modeling various physical phenomena, reverting to a linear relationship for lateral etches and remaining in a quadratic form for isotropic vertical etches. In this embodiment, the c parameter in the quadratic relationship is used to center the relationship between the final inspection critical dimensions and the photoresist etch times. By setting the c parameter, the process model 114 sets the model through a current average operating point which is indicative of the characteristics of the wafers, the etch chamber, and the process in general. Once the current average operating point of the process is set, the model presumably reflects the actual current state of the process, wafers and chamber so that any difference between the final inspection critical dimensions and the target FICD values reflects the amount of time the photoresist etch time is to be changed to drive measured FICDs to the target value.
In some embodiments, the c parameter is derived from the DICD measurement which is supplied using feedforward control of the process model 114. The c parameter is used in this manner as an adjustable constant. In some embodiments, the value of c used to update the model is the value of c determined as described above and then averaged or filtered using the exponentially weighted moving average or other filtering method to “smooth” variations in the c parameter.
In an alternative embodiment, the process model 114 is developed based on the sidewall angle of photoresist lines, which is depicted in a cross-sectional view in FIG. 3. In the alternative process model 114 the difference between the Develop Inspection Critical Dimensions step 104 and the Final Inspection Critical Dimensions step 108 is developed, shown in equation (5) as follows:
DICD−FICD=CB+(2*ER*ET*tan(k*ET+Θ0)).  (5)
where DICD is the develop inspection critical dimensions, FICD is the final inspection critical dimensions, CB is the chamber bias, ER is the etch rate, ET is the etch time, and Θ0 is the initial sidewall angle of the photoresist. The initial sidewall angle of the photoresist Θ0 may be a measured parameter. The relationship shown in equation (5) reduces to the quadratic form or equation (3) to attain a very good approximation for small angles Θ such that tan Θ is approximately equal to Θ. Preliminary studies have shown that usage of the polynomial function as shown in equation (3) and (4) produces a more stable model than usage of a function according to equation (5).
The fabrication process 100 uses feedback control of the process recipe using run-by-run control to control critical dimensions. In particular, photoresist etch time is varied depending on the measurement of wafers which were previously processed. In an alternative embodiment, critical dimensions are controlled using radio frequency power as a control variable. Generally, embodiments using photoresist etch time as the control variable advantageously provide more stable control of critical dimensions without unwanted process side-effects.
In an alternative embodiment, a plurality of pilot wafers are tested with each pilot wafer representing a “split” of wafers. In one example, a lot of 24 wafers is divided into three splits with each split containing eight wafers. One pilot wafer is assigned for each of the three splits. In the step of under-exposing the wafer 102, the splits are processed separately using a different exposure energy to produce three levels of DICD measurements. The range of DICD measurements is determined based on the range of acceptable values of the manipulated variables, for example the photoresist etch times, and constraints of the process model 114.
While the invention has been described with reference to various embodiments, it will be understood that these embodiments are illustrative and that the scope of the invention is not limited to them. Many variations, modifications, additions and improvements of the embodiments described are possible. In various embodiments of the critical dimension control procedure, the method may be practiced in a manual or automatic form. For example, a software system may be used to automate the entire critical dimension control loop.
In an alternative implementation of a critical dimension control procedure, a Bottom Anti-Reflective Coating (BARC) etch step is implemented prior to etching of polysilicon or other substrates. The BARC process is used to improve a photolithography process by reducing the stray light photoresist exposure from reflections off a substrate, such as polysilicon. A BARC process involves the formation of an anti-reflective coating beneath the photoresist layer but overlying the polysilicon layer. The BARC layer is etched before the polysilicon is exposed for etching.
In an embodiment of a critical dimension control procedure for an implementation of the photoresist processing procedure using a BARC etch step, the BARC etch time may be used as a control variable for controlling critical dimensions, rather than usage of photoresist etch time as the control variable.

Claims (104)

1. A method of fabricating an integrated circuit comprising:
pattern, exposure, and develop a photoresist layer on a wafer in a photolithography process that forms a plurality of structures on the integrated circuit including a gate;
measuring a DICD critical dimension of the gate following developing of the photoresist layer in a Develop Inspection Critical Dimensions (DICD) operation;
etching the wafer including etching of the gate;
measuring a FICD critical dimension of the gate following etching of the wafer in a Final Inspection Critical Dimensions (FICD) operation;
feeding forward the DICD critical dimension to a process model;
feeding back the FICD critical dimension to the process model; and
controlling a photoresist deposit and etch process recipe parameter in the process model according to the DICD critical dimension and the FICD critical dimension of the gate to improve critical dimension uniformity.
2. A method according to claim 1 wherein:
the gate is a polysilicon gate.
3. A method according to claim 1 further comprising:
initially underexposing the wafer to initially produce DICD and FICD critical dimensions that are larger than target critical dimension values.
4. A method according to claim 3 further comprising:
subsequent to initially underexposing the wafer, varying photoresist etch time to control driving of the FICD critical dimensions to the target critical dimension values.
5. A method according to claim 4 further comprising:
etching the wafer using an etch time set to a nominal photoresist etch time that is based on the initial, average, or moving average operating conditions of the fabrication method.
6. A method according to claim 1 wherein:
the DICD critical dimension measurement and the FICD critical dimension measurement are measured using a measurement technique selected from among scanning electron microscopy (SEM), mechanical measurement techniques, image shearing, and reflectance measurements.
7. A method according to claim 1 further comprising:
storing the DICD critical dimension measurement and the FICD critical dimension measurement in a database.
8. A method according to claim 1 further comprising:
filtering the DICD critical dimension measurement to supply a filtered feed-forward control of critical dimensions to the process model.
9. A method according to claim 1 further comprising:
filtering the FICD critical dimension measurement to supply a filtered feed-back control of critical dimensions to the process model.
10. A method according to claim 1 further comprising:
controlling the photoresist deposit and etch process recipe parameter in the process model according to a quadratic relationship between the DICD and the FICD critical dimensions and photoresist etch time.
11. A method according to claim 1 further comprising:
controlling the photoresist deposit and etch process recipe parameter in the process model according to a quadratic relationship between the DICD and the FICD critical dimensions and photoresist etch time as follows:

FICD=at2+bt+c,
which is solved using the quadratic formula, as follows: t = - b + b 2 - 4 a c 2 a ,
in which parameter c sets a current average operating point.
12. A method according to claim 1 further comprising
controlling the photoresist deposit and etch process recipe parameter in the process model according to a relationship between the difference of the DICD and the FICD critical dimensions and photoresist etch time as follows:

DICD−FICD=CB+(2*ER*E*tan(k*ET+Θ0)),
in which CB is a chamber bias parameter, ER is etch rate, ET is etch time, and Θ0 is initial sidewall angle of the photoresist.
13. A method according to claim 1 further comprising:
performing a run-to-run control technique to drive the DICD and the FICD critical dimensions of a polysilicon gate structure to a target specification.
14. A method according to claim 1 further comprising:
exposing the photoresist at a selected radio frequency (RF) power; and
selecting the selected RF power as the selected photoresist deposit and etch process recipe parameter for controlling the DICD and FICD critical dimensions.
15. A method according to claim 1 wherein:
the photoresist deposit and etch process recipe parameter for controlling the DICD and the FICD critical dimensions is etch time.
16. A method according to claim 1 further comprising:
forming a polysilicon layer overlying a substrate;
depositing a photoresist layer on the polysilicon layer;
etching the deposited photoresist layer for a controlled photoresist etch time; and
etching the polysilicon layer subsequent to the step of etching the deposited photoresist layer.
17. A method according to claim 1 further comprising:
forming an anti-reflective coating beneath the photoresist layer using a Bottom Anti-Reflective Coating (BARC) etch step to reduce stray light photoresist exposure from reflections off a substrate.
18. A method of fabricating an integrated circuit comprising:
pattern, expose, and develop a photoresist layer on a plurality of wafers in a photolithography process that forms a plurality of structures on the integrated circuit including a gate;
measuring a DICD critical dimension of the gate in a pilot subset of the plurality of wafers following developing of the photoresist layer in a Develop Inspection Critical Dimensions (DICD) operation;
etching wafers of the plurality of wafers remaining after removal of the pilot subset, the etching including etching of the gate;
measuring a FICD critical dimension of the gate following etching of the plurality of wafers remaining after removal of the pilot subset in a Final Inspection Critical Dimensions (FICD) operation;
feeding forward the DICD critical dimension to a process model;
feeding back the FICD critical dimension to the process model; and
controlling a photoresist deposit and etch process recipe parameter in the process model according to the DICD critical dimension and the FICD critical dimension of the gate to improve critical dimension uniformity.
19. A method according to claim 18 further comprising:
dividing the plurality of wafers into two or more split subsets of wafers; and
processing the split subsets separately using different exposure levels to produce a respective two or more levels of DICD critical dimension measurements.
20. A method according to claim 18 wherein:
the photoresist deposit and etch process recipe parameter for controlling the DICD and the FICD critical dimensions is etch time.
21. A method of fabricating an integrated circuit device, comprising:
providing a wafer having a gate electrode material layer formed thereabove;
forming a patterned layer of photoresist above the gate electrode material layer;
performing a photoresist etching process on the patterned layer of photoresist;
etching the gate electrode material layer to define at least one gate electrode in said gate electrode material layer;
measuring a critical dimension of said at least one gate electrode; and
controlling a duration of a photoresist etching process to be performed on a patterned layer of photoresist formed above at least one subsequently provided wafer based upon said measured critical dimension and a target critical dimension for said at least one gate electrode.
22. The method of claim 21, wherein providing a wafer having a gate electrode material layer formed thereabove comprises providing a wafer having a gate electrode material layer comprised of polysilicon formed thereabove.
23. The method of claim 21, wherein forming a patterned layer of photoresist above the gate electrode material layer comprises forming a patterned layer of photoresist above the gate electrode material layer by performing at least an exposure process, a post-exposure bake process and a photoresist develop process.
24. The method of claim 21, wherein measuring a critical dimension of said at least one gate electrode comprises measuring a critical dimension of said at least one gate electrode by using at least one of scanning electron microscopy, image shearing, and reflective measurements.
25. The method of claim 21, further comprising storing said measured critical dimension in a database.
26. The method of claim 21, further comprising feeding back the measured critical dimension of the at least one gate electrode to a process model.
27. The method of claim 26, further comprising filtering the measured critical dimension prior to feeding back the measured critical dimension to the process model.
28. The method of claim 21, wherein controlling a duration of a photoresist etch process is based upon a comparison between said measured critical dimension and said target critical dimension for said at least one gate electrode.
29. The method of claim 21, wherein controlling a duration of a photoresist etching process to be performed on a patterned layer of photoresist formed above at least one subsequently provided wafer based upon a comparison between said measured critical dimension and a target critical dimension for said at least one gate electrode comprises controlling a duration of a photoresist etching process to be performed on a patterned layer of photoresist formed above at least one subsequently provided wafer based upon a quadratic relationship between the measured critical dimension and the duration of the photoresist etch process.
30. The method of claim 21, further comprising measuring a critical dimension of a feature formed in said patterned layer of photoresist prior to performing said photoresist etching process.
31. The method of claim 30, wherein controlling a duration of a photoresist etching process comprises controlling a duration of said photoresist etching process based upon said measured critical dimension of said gate electrode, said measured critical dimension of said feature in said patterned layer of photoresist, and said target value for said gate electrode.
32. A method of fabricating an integrated circuit device, comprising:
providing a wafer having a gate electrode material layer comprised of polysilicon formed thereabove;
forming a patterned layer of photoresist above the gate electrode material layer;
performing a photoresist etching process on the patterned layer of photoresist;
etching the gate electrode material layer using the etched patterned layer of photoresist as a mask to define a plurality of gate electrodes comprised of polysilicon;
measuring a critical dimension of a plurality of said gate electrodes; and
controlling a duration of a photoresist etching process to be performed on a patterned layer of photoresist formed above at least one subsequently provided wafer based upon a comparison between said measured critical dimensions and a target critical dimension for said plurality of gate electrodes.
33. The method of claim 32, wherein forming a patterned layer of photoresist above the gate electrode material layer comprises forming a patterned layer of photoresist above the gate electrode material layer by performing at least an exposure process, a post-exposure bake process and a photoresist develop process.
34. The method of claim 32, wherein measuring a critical dimension of a plurality of gate electrodes comprises measuring a critical dimension of a plurality of gate electrodes by using at least one of scanning electron microscopy, image shearing, and reflective measurements.
35. The method of claim 32, further comprising storing said measured critical dimensions in a database.
36. The method of claim 32, further comprising feeding back the measured critical dimensions of the plurality of gate electrodes to a process model.
37. The method of claim 36, further comprising filtering the measured critical dimensions prior to feeding back the measured critical dimensions to the process model.
38. The method of claim 32, wherein controlling a duration of a photoresist etching process to be performed on a patterned layer of photoresist formed above at least one subsequently provided wafer based upon a comparison between said measured critical dimensions and a target critical dimension of said plurality of gate electrodes comprises controlling a duration of a photoresist etching process to be performed on a patterned layer of photoresist formed above at least one subsequently provided wafer based upon a quadratic relationship between the measured critical dimensions and the duration of the photoresist etch process.
39. The method of claim 32, further comprising measuring a critical dimension of a feature formed in said patterned layer of photoresist prior to performing said photoresist etching process.
40. The method of claim 39, wherein controlling a duration of a photoresist etching process comprises controlling a duration of said photoresist etching process based upon said measured critical dimension of said gate electrode, said measured critical dimension of said feature in said patterned layer of photoresist, and said target value for said gate electrode.
41. A method of fabricating an integrated circuit device, comprising:
providing a wafer having a gate electrode material layer comprised of polysilicon formed thereabove;
forming a patterned layer of photoresist above the gate electrode material layer;
performing a photoresist etching process on the patterned layer of photoresist;
etching the gate electrode material layer using the etched patterned layer of photoresist as a mask to define a plurality of gate electrodes comprised of polysilicon;
measuring a critical dimension of a plurality of said gate electrodes;
feeding back the measured critical dimensions of the plurality of gate electrodes to a process model; and
controlling a duration of a photoresist etching process to be performed on a patterned layer of photoresist formed above at least one subsequently provided wafer based upon a comparison between said measured critical dimensions and a target critical dimension for said plurality of gate electrodes.
42. The method of claim 41, wherein forming a patterned layer of photoresist above the gate electrode material layer comprises forming a patterned layer of photoresist above the gate electrode material layer by performing at least an exposure process, a post-exposure bake process and a photoresist develop process.
43. The method of claim 41, wherein measuring a critical dimension of a plurality of gate electrodes comprises measuring a critical dimension of a plurality of gate electrodes by using at least one of scanning electron microscopy, image shearing, and reflective measurements.
44. The method of claim 41, further comprising filtering the measured critical dimensions prior to feeding back the measured critical dimensions to the process model.
45. The method of claim 41, wherein controlling a duration of a photoresist etching process to be performed on a patterned layer of photoresist formed above at least one subsequently provided wafer based upon a comparison between said measured critical dimensions and a target critical dimension for said plurality of gate electrodes comprises controlling a duration of a photoresist etching process to be performed on a patterned layer of photoresist formed above at least one subsequently provided wafer based upon a quadratic relationship between the measured critical dimensions and the duration of the photoresist etch process.
46. The method of claim 41, further comprising storing said measured critical dimensions in a database.
47. The method of claim 41, further comprising measuring a critical dimension of a feature formed in said patterned layer of photoresist prior to performing said photoresist etching process.
48. The method of claim 47, wherein controlling a duration of a photoresist etching process comprises controlling a duration of said photoresist etching process based upon said measured critical dimension of said gate electrode, said measured critical dimension of said feature in said patterned layer of photoresist, and said target value for said gate electrode.
49. A method of fabricating an integrated circuit device, comprising:
providing a wafer having a gate electrode material layer formed thereabove;
forming a patterned layer of photoresist above the gate electrode material layer;
performing a photoresist etching process on the patterned layer of photoresist;
etching the gate electrode material layer to define at least one patterned feature in said gate electrode material layer;
measuring a critical dimension of said at least one patterned feature; and
controlling at least one parameter of a photoresist etching process to be performed on a patterned layer of photoresist formed above at least one subsequently provided wafer based upon said measured critical dimension and a target critical dimension for said at least one patterned feature.
50. The method of claim 49, wherein providing a wafer having a gate electrode material layer formed thereabove comprises providing a wafer having a gate electrode material layer comprised of polysilicon formed thereabove.
51. The method of claim 49, wherein forming a patterned layer of photoresist above the gate electrode material layer comprises forming a patterned layer of photoresist above the gate electrode material layer by performing at least an exposure process, a post-exposure bake process and a photoresist develop process.
52. The method of claim 49, wherein measuring a critical dimension of said at least one patterned feature comprises measuring a critical dimension of said at least one patterned feature by using at least one of scanning electron microscopy, image shearing, and reflective measurements.
53. The method of claim 49, further comprising storing said measured critical dimension in a database.
54. The method of claim 49, further comprising feeding back the measured critical dimension of the at least one patterned feature to a process model.
55. The method of claim 54, further comprising filtering the measured critical dimension prior to feeding back the measured critical dimension to the process model.
56. The method of claim 49, wherein controlling at least parameter of a photoresist etch process is based upon a comparison between said measured critical dimension and said target critical dimension for said at least one patterned feature.
57. The method of claim 49, wherein controlling at least one parameter of a photoresist etching process to be performed on a patterned layer of photoresist formed above at least one subsequently provided wafer based upon a comparison between said measured critical dimension and a target critical dimension for said at least one patterned feature comprises controlling at least one parameter of a photoresist etching process to be performed on a patterned layer of photoresist formed above at least one subsequently provided wafer based upon a quadratic relationship between the measured critical dimension and a duration of the photoresist etch process.
58. The method of claim 49, further comprising measuring a critical dimension of a feature formed in said patterned layer of photoresist prior to performing said photoresist etching process.
59. The method of claim 58, wherein controlling at least one parameter of a photoresist etching process comprises controlling at least one parameter of said photoresist etching process based upon said measured critical dimension of said at least one patterned feature, said measured critical dimension of said feature in said patterned layer of photoresist, and said target value for said at least one patterned feature.
60. The method of claim 49, wherein etching the gate electrode material layer to define at least one patterned feature in said gate electrode material layer comprises etching the gate electrode material layer to define at least one gate electrode in said gate electrode material layer.
61. The method of claim 60, wherein measuring a critical dimension of said at least one patterned feature comprises measuring a critical dimension of said at least one gate electrode.
62. The method of claim 49, wherein controlling at least one parameter of a photoresist etching process to be performed on a patterned layer of photoresist formed above at least one subsequently provided wafer based upon said measured critical dimension and a target critical dimension for said at least one patterned feature comprises controlling a duration of a photoresist etching process to be performed on a patterned layer of photoresist formed above at least one subsequently provided wafer based upon said measured critical dimension and a target critical dimension for said at least one patterned feature.
63. A method of fabricating an integrated circuit device, comprising:
providing a wafer having a gate electrode material layer formed thereabove;
forming a patterned layer of photoresist above the gate electrode material layer;
performing a photoresist etching process on the patterned layer of photoresist;
etching the gate electrode material layer using the etched patterned layer of photoresist as a mask to define a plurality of patterned features;
measuring a critical dimension of a plurality of said patterned features; and
controlling at least one parameter of a photoresist etching process to be performed on a patterned layer of photoresist formed above at least one subsequently provided wafer based upon a comparison between said measured critical dimensions and a target critical dimension for said plurality of patterned features.
64. The method of claim 63, wherein forming a patterned layer of photoresist above the gate electrode material layer comprises forming a patterned layer of photoresist above the gate electrode material layer by performing at least an exposure process, a post-exposure bake process and a photoresist develop process.
65. The method of claim 63, wherein measuring a critical dimension of a plurality of patterned features comprises measuring a critical dimension of a plurality of patterned features by using at least one of scanning electron microscopy, image shearing, and reflective measurements.
66. The method of claim 63, further comprising storing said measured critical dimensions in a database.
67. The method of claim 63, further comprising feeding back the measured critical dimensions of the plurality of patterned features to a process model.
68. The method of claim 67, further comprising filtering the measured critical dimensions prior to feeding back the measured critical dimensions to the process model.
69. The method of claim 63, wherein controlling at least one parameter of a photoresist etching process to be performed on a patterned layer of photoresist formed above at least one subsequently provided wafer based upon a comparison between said measured critical dimensions and a target critical dimension of said plurality of patterned features comprises controlling at least one parameter of a photoresist etching process to be performed on a patterned layer of photoresist formed above at least one subsequently provided wafer based upon a quadratic relationship between the measured critical dimensions and a duration of the photoresist etch process.
70. The method of claim 63, further comprising measuring a critical dimension of a plurality of features formed in said patterned layer of photoresist prior to performing said photoresist etching process.
71. The method of claim 70, wherein controlling at least one parameter of a photoresist etching process comprises controlling at least one parameter of said photoresist etching process based upon said measured critical dimension of said plurality of patterned features, said measured critical dimension of said plurality of features in said patterned layer of photoresist, and said target value for said plurality of patterned features.
72. The method of claim 63, wherein etching the gate electrode material layer using the etched patterned layer of photoresist as a mask to define a plurality of patterned features comprises etching the gate electrode material layer using the etched patterned layer of photoresist as a mask to define a plurality of gate electrodes.
73. The method of claim 70, wherein measuring a critical dimension of a plurality of said patterned features comprises measuring a critical dimension of a plurality of said gate electrodes.
74. The method of claim 63, wherein controlling at least one parameter of a photoresist etching process to be performed on a patterned layer of photoresist formed above at least one subsequently provided wafer based upon a comparison between said measured critical dimensions and a target critical dimension for said plurality of patterned features comprises controlling a duration of a photoresist etching process to be performed on a patterned layer of photoresist formed above at least one subsequently provided wafer based upon a comparison between said measured critical dimensions and a target critical dimension for said plurality of patterned features.
75. A method of fabricating an integrated circuit device, comprising:
providing a wafer having a gate electrode material layer formed thereabove;
forming a patterned layer of photoresist above the gate electrode material layer;
performing a photoresist etching process on the patterned layer of photoresist;
etching the gate electrode material layer using the etched patterned layer of photoresist as a mask to define a plurality of patterned features;
measuring a critical dimension of a plurality of said patterned features;
feeding back the measured critical dimensions of the plurality of patterned features to a process model; and
controlling at least one parameter of a photoresist etching process to be performed on a patterned layer of photoresist formed above at least one subsequently provided wafer based upon a comparison between said measured critical dimensions and a target critical dimension for said plurality of patterned features.
76. The method of claim 75, wherein forming a patterned layer of photoresist above the gate electrode material layer comprises forming a patterned layer of photoresist above the gate electrode material layer by performing at least an exposure process, a post-exposure bake process and a photoresist develop process.
77. The method of claim 75, wherein measuring a critical dimension of a plurality of patterned features comprises measuring a critical dimension of a plurality of patterned features by using at least one of scanning electron microscopy, image shearing, and reflective measurements.
78. The method of claim 75, further comprising filtering the measured critical dimensions prior to feeding back the measured critical dimensions to the process model.
79. The method of claim 75, wherein controlling at least one parameter of a photoresist etching process to be performed on a patterned layer of photoresist formed above at least one subsequently provided wafer based upon a comparison between said measured critical dimensions and a target critical dimension for said plurality of patterned features comprises controlling at least one parameter of a photoresist etching process to be performed on a patterned layer of photoresist formed above at least one subsequently provided wafer based upon a quadratic relationship between the measured critical dimensions and a duration of the photoresist etch process.
80. The method of claim 75, further comprising storing said measured critical dimensions in a database.
81. The method of claim 75, further comprising measuring a critical dimension of at least one feature formed in said patterned layer of photoresist prior to performing said photoresist etching process.
82. The method of claim 81, wherein controlling at least one parameter of a photoresist etching process comprises controlling at least one parameter of said photoresist etching process based upon said measured critical dimension of a plurality of said patterned features, said measured critical dimension of said at least one feature in said patterned layer of photoresist, and said target value for said patterned features.
83. The method of claim 75, wherein etching the gate electrode material layer using the etched patterned layer of photoresist as a mask to define a plurality of patterned features comprises etching the gate electrode material layer using the etched patterned layer of photoresist as a mask to define a plurality of gate electrodes.
84. The method of claim 83, wherein measuring a critical dimension of a plurality of said patterned features comprises measuring a critical dimension of a plurality of said gate electrodes.
85. The method of claim 83, wherein feeding back the measured critical dimensions of the plurality of patterned features to a process model comprises feeding back the measured critical dimensions of the plurality of gate electrodes to a process model.
86. The method of claim 75, wherein controlling at least one parameter of a photoresist etching process to be performed on a patterned layer of photoresist formed above at least one subsequently provided wafer based upon a comparison between said measured critical dimensions and a target critical dimension for said plurality of patterned features comprises controlling a duration of a photoresist etching process to be performed on a patterned layer of photoresist formed above at least one subsequently provided wafer based upon a comparison between said measured critical dimensions and a target critical dimension for said plurality of patterned features.
87. A method of fabricating an integrated circuit device, comprising:
providing a wafer having a gate electrode material layer formed thereabove;
forming a patterned layer of photoresist above the gate electrode material layer, said patterned layer of photoresist having a plurality of features formed therein;
measuring a critical dimension of at least one of said features in said patterned layer of photoresist prior to performing a photoresist etching process on said patterned layer of photoresist; and
controlling a duration of said photoresist etching process based upon at least said measured critical dimension of said at least one feature in said patterned layer of photoresist.
88. The method of claim 87, further comprising etching said gate electrode material layer after said photoresist etching process has been performed using said patterned layer of photoresist as a mask to thereby define at least one feature in said gate electrode material layer.
89. The method of claim 88, further comprising measuring a critical dimension of at least one of said features in said gate electrode material layer.
90. The method of claim 88, wherein said at least one feature in said gate electrode material layer is a gate electrode.
91. A method of fabricating an integrated circuit device, comprising:
providing a wafer having a gate electrode material layer formed thereabove;
forming a patterned layer of photoresist above the gate electrode material layer, said layer of photoresist having a plurality of features formed therein;
measuring a critical dimension of at least one of said features in said patterned layer of photoresist prior to performing a photoresist etching process on said patterned layer of photoresist;
determining a duration of said photoresist etching process based upon at least said measured critical dimension of said at least one feature in said patterned layer of photoresist; and
performing said photoresist etch process for said determined duration on a patterned layer of photoresist formed above at least one subsequently processed wafer.
92. The method of claim 91, further comprising etching said gate electrode material layer after said photoresist etching process has been performed to thereby define at least one feature in said gate electrode material layer.
93. The method of claim 92, further comprising measuring a critical dimension of at least one of said features in said gate electrode material layer.
94. The method of claim 92, wherein said at least one feature in said gate electrode material layer is a gate electrode.
95. A method of fabricating an integrated circuit device, comprising:
providing a wafer having a gate electrode material layer formed thereabove;
forming a patterned layer of photoresist above the gate electrode material layer, said patterned layer of photoresist having a plurality of features formed therein;
measuring a critical dimension of at least one of said features in said patterned layer of photoresist prior to performing a photoresist etching process on said patterned layer of photoresist; and
controlling at least one parameter of said photoresist etching process based upon at least said measured critical dimension of said at least one feature in said patterned layer of photoresist.
96. The method of claim 95, further comprising etching said gate electrode material layer after said photoresist etching process has been performed using said patterned layer of photoresist as a mask to thereby define at least one feature in said gate electrode material layer.
97. The method of claim 96, further comprising measuring a critical dimension of at least one of said features in said gate electrode material layer.
98. The method of claim 96, wherein said at least one feature in said gate electrode material layer is a gate electrode.
99. The method of claim 95, wherein said at least one parameter comprises at least one of RF power, a gas flow rate and a chamber pressure.
100. A method of fabricating an integrated circuit device, comprising:
providing a wafer having a gate electrode material layer formed thereabove;
forming a patterned layer of photoresist above the gate electrode material layer, said layer of photoresist having a plurality of features formed therein;
measuring a critical dimension of at least one of said features in said patterned layer of photoresist prior to performing a photoresist etching process on said patterned layer of photoresist;
determining at least one parameter of said photoresist etching process based upon at least said measured critical dimension of said at least one feature in said patterned layer of photoresist; and
performing said photoresist etch process for said determined duration on a patterned layer of photoresist formed above at least one subsequently process wafer.
101. The method of claim 100, further comprising etching said gate electrode material layer after said photoresist etching process has been performed to thereby define at least one feature in said gate electrode material layer.
102. The method of claim 101, further comprising measuring a critical dimension of at least one of said features in said gate electrode material layer.
103. The method of claim 101, wherein said at least one feature in said gate electrode material layer is a gate electrode.
104. The method of claim 100, wherein said at least one parameter comprises at least one of RF power, a gas flow rate and a chamber pressure.
US09/908,390 1997-05-28 2001-07-18 Run to run control process for controlling critical dimensions Expired - Lifetime USRE39518E1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US09/908,390 USRE39518E1 (en) 1997-05-28 2001-07-18 Run to run control process for controlling critical dimensions

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/864,489 US5926690A (en) 1997-05-28 1997-05-28 Run-to-run control process for controlling critical dimensions
US09/908,390 USRE39518E1 (en) 1997-05-28 2001-07-18 Run to run control process for controlling critical dimensions

Related Parent Applications (2)

Application Number Title Priority Date Filing Date
US08/864,489 Reissue US5926690A (en) 1997-05-28 1997-05-28 Run-to-run control process for controlling critical dimensions
US09/368,706 Continuation-In-Part US6306507B1 (en) 1999-05-18 1999-08-05 Thermally stable polymers, method of preparation, and articles made therefrom

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US10/310,295 Continuation-In-Part US6689474B2 (en) 1999-05-18 2002-12-05 Thermally stable polymers, method of preparation, and articles made therefrom

Publications (1)

Publication Number Publication Date
USRE39518E1 true USRE39518E1 (en) 2007-03-13

Family

ID=25343377

Family Applications (2)

Application Number Title Priority Date Filing Date
US08/864,489 Ceased US5926690A (en) 1997-05-28 1997-05-28 Run-to-run control process for controlling critical dimensions
US09/908,390 Expired - Lifetime USRE39518E1 (en) 1997-05-28 2001-07-18 Run to run control process for controlling critical dimensions

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US08/864,489 Ceased US5926690A (en) 1997-05-28 1997-05-28 Run-to-run control process for controlling critical dimensions

Country Status (1)

Country Link
US (2) US5926690A (en)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070094632A1 (en) * 1997-02-26 2007-04-26 Beffa Raymond J Method in an integrated circuit (IC) manufacturing process for identifying and redirecting ICs mis-processed during their manufacture
US20080248412A1 (en) * 2007-04-09 2008-10-09 John Douglas Stuber Supervisory etch cd control
US20090038997A1 (en) * 1997-01-17 2009-02-12 Micron Technology, Inc. Method for sorting integrated circuit devices
US7738988B2 (en) 1997-03-24 2010-06-15 Micron Technology, Inc. Process and method for continuous, non lot-based integrated circuit manufacturing
US20100241250A1 (en) * 2009-03-18 2010-09-23 TECH Semiconductor Singapore Ptd Ltd Feedback and feedforward control of a semiconductor process without output values from upstream processes
US20120070089A1 (en) * 2009-05-29 2012-03-22 Yukari Yamada Method of manufacturing a template matching template, as well as a device for manufacturing a template
CN102737960A (en) * 2011-04-14 2012-10-17 台湾积体电路制造股份有限公司 Method and system for feed-forward advanced process control
US10440777B2 (en) 2015-05-22 2019-10-08 Applied Materials, Inc. Azimuthally tunable multi-zone electrostatic chuck

Families Citing this family (161)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6161054A (en) * 1997-09-22 2000-12-12 On-Line Technologies, Inc. Cell control method and apparatus
US6979648B2 (en) * 2003-06-11 2005-12-27 Texas Instruments Incorporated Method for BARC over-etch time adjust with real-time process feedback
US6130173A (en) * 1998-03-19 2000-10-10 Lsi Logic Corporation Reticle based skew lots
US6165805A (en) * 1998-10-29 2000-12-26 Advanced Micro Devices, Inc. Scan tool recipe server
US6608920B1 (en) * 1998-10-29 2003-08-19 Applied Materials, Inc. Target acquisition technique for CD measurement machine
US6225134B1 (en) * 1998-10-30 2001-05-01 Lucent Technologies, Inc. Method of controlling linewidth in photolithography suitable for use in fabricating integrated circuits
JP3488127B2 (en) * 1999-03-31 2004-01-19 エヌイーシーマシナリー株式会社 Method for recognizing minute workpiece and pickup device using the same
EP1200885A1 (en) * 1999-06-22 2002-05-02 Brooks Automation, Inc. Run-to-run controller for use in microelectronic fabrication
JP2001143982A (en) 1999-06-29 2001-05-25 Applied Materials Inc Integrated dimension control for semiconductor device manufacturing
US6326618B1 (en) 1999-07-02 2001-12-04 Agere Systems Guardian Corp. Method of analyzing semiconductor surface with patterned feature using line width metrology
US6535774B1 (en) 1999-08-12 2003-03-18 Advanced Micro Devices, Inc. Incorporation of critical dimension measurements as disturbances to lithography overlay run to run controller
US6368879B1 (en) * 1999-09-22 2002-04-09 Advanced Micro Devices, Inc. Process control with control signal derived from metrology of a repetitive critical dimension feature of a test structure on the work piece
US6560504B1 (en) * 1999-09-29 2003-05-06 Advanced Micro Devices, Inc. Use of contamination-free manufacturing data in fault detection and classification as well as in run-to-run control
US6532428B1 (en) * 1999-10-07 2003-03-11 Advanced Micro Devices, Inc. Method and apparatus for automatic calibration of critical dimension metrology tool
US6873087B1 (en) * 1999-10-29 2005-03-29 Board Of Regents, The University Of Texas System High precision orientation alignment and gap control stages for imprint lithography processes
US6413867B1 (en) * 1999-12-23 2002-07-02 Applied Materials, Inc. Film thickness control using spectral interferometry
CN1319130C (en) * 1999-12-24 2007-05-30 株式会社荏原制作所<Del/> Apparatus for plating semiconductor substrate, method for plating semiconductor substrate
US7200459B1 (en) * 2000-01-04 2007-04-03 Advanced Micro Devices, Inc. Method for determining optimal photolithography overlay targets based on process performance and yield in microelectronic fabrication
US6405144B1 (en) * 2000-01-18 2002-06-11 Advanced Micro Devices, Inc. Method and apparatus for programmed latency for improving wafer-to-wafer uniformity
US6586755B1 (en) * 2000-01-19 2003-07-01 Advanced Micro Devices, Inc. Feed-forward control of TCI doping for improving mass-production-wise statistical distribution of critical performance parameters in semiconductor devices
US6373053B1 (en) * 2000-01-31 2002-04-16 Advanced Micro Devices, Inc. Analysis of CD-SEM signal to detect scummed/closed contact holes and lines
US6350390B1 (en) 2000-02-22 2002-02-26 Taiwan Semiconductor Manufacturing Company, Ltd Plasma etch method for forming patterned layer with enhanced critical dimension (CD) control
AU2001249724A1 (en) * 2000-04-03 2001-10-15 Speed-Fam-Ipec Corporation System and method for predicting software models using material-centric process instrumentation
JP2001338855A (en) * 2000-05-30 2001-12-07 Matsushita Electric Ind Co Ltd Decision method for preceding wafer, decision method for measuring wafer and adjusting method for number of wafers
US6461878B1 (en) 2000-07-12 2002-10-08 Advanced Micro Devices, Inc. Feedback control of strip time to reduce post strip critical dimension variation in a transistor gate electrode
US6921615B2 (en) 2000-07-16 2005-07-26 Board Of Regents, The University Of Texas System High-resolution overlay alignment methods for imprint lithography
WO2002006902A2 (en) 2000-07-17 2002-01-24 Board Of Regents, The University Of Texas System Method and system of automatic fluid dispensing for imprint lithography processes
US6625512B1 (en) * 2000-07-25 2003-09-23 Advanced Micro Devices, Inc. Method and apparatus for performing final critical dimension control
US6625513B1 (en) * 2000-08-15 2003-09-23 Applied Materials, Inc. Run-to-run control over semiconductor processing tool based upon mirror image target
CN1186700C (en) * 2000-09-15 2005-01-26 先进微装置公司 Adaptive sampling method for improved control in semiconductor manufacturing
US6673637B2 (en) 2000-09-20 2004-01-06 Kla-Tencor Technologies Methods and systems for determining a presence of macro defects and overlay of a specimen
US6633831B2 (en) 2000-09-20 2003-10-14 Kla Tencor Technologies Methods and systems for determining a critical dimension and a thin film characteristic of a specimen
US6812045B1 (en) 2000-09-20 2004-11-02 Kla-Tencor, Inc. Methods and systems for determining a characteristic of a specimen prior to, during, or subsequent to ion implantation
US6891627B1 (en) 2000-09-20 2005-05-10 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension and overlay of a specimen
US6782337B2 (en) 2000-09-20 2004-08-24 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension an a presence of defects on a specimen
US6694284B1 (en) 2000-09-20 2004-02-17 Kla-Tencor Technologies Corp. Methods and systems for determining at least four properties of a specimen
EP1352295B1 (en) * 2000-10-12 2015-12-23 Board of Regents, The University of Texas System Template for room temperature, low pressure micro- and nano-imprint lithography
US6625497B2 (en) 2000-11-20 2003-09-23 Applied Materials Inc. Semiconductor processing module with integrated feedback/feed forward metrology
US7188142B2 (en) 2000-11-30 2007-03-06 Applied Materials, Inc. Dynamic subject information generation in message services of distributed object systems in a semiconductor assembly line facility
US6500755B2 (en) 2000-12-06 2002-12-31 Advanced Micro Devices, Inc. Resist trim process to define small openings in dielectric layers
US6632692B1 (en) 2001-01-11 2003-10-14 Advanced Micro Devices, Inc. Automated method of controlling critical dimensions of features by controlling stepper exposure dose, and system for accomplishing same
US6569692B1 (en) * 2001-02-02 2003-05-27 Advanced Micro Devices, Inc. Automated method of controlling photoresist develop time to control critical dimensions, and system for accomplishing same
US6961636B1 (en) * 2001-04-19 2005-11-01 Advanced Micro Devices Inc. Method and apparatus for dynamically monitoring controller tuning parameters
US20020192966A1 (en) * 2001-06-19 2002-12-19 Shanmugasundram Arulkumar P. In situ sensor based control of semiconductor processing procedure
US7047099B2 (en) * 2001-06-19 2006-05-16 Applied Materials Inc. Integrating tool, module, and fab level control
US6910947B2 (en) * 2001-06-19 2005-06-28 Applied Materials, Inc. Control of chemical mechanical polishing pad conditioner directional velocity to improve pad life
US7160739B2 (en) 2001-06-19 2007-01-09 Applied Materials, Inc. Feedback control of a chemical mechanical polishing device providing manipulation of removal rate profiles
US7101799B2 (en) * 2001-06-19 2006-09-05 Applied Materials, Inc. Feedforward and feedback control for conditioning of chemical mechanical polishing pad
US7698012B2 (en) 2001-06-19 2010-04-13 Applied Materials, Inc. Dynamic metrology schemes and sampling schemes for advanced process control in semiconductor processing
US6440759B1 (en) * 2001-06-29 2002-08-27 Infineon Technologies Ag Method of measuring combined critical dimension and overlay in single step
US6707562B1 (en) 2001-07-02 2004-03-16 Advanced Micro Devices, Inc. Method of using scatterometry measurements to control photoresist etch process
DE10134756A1 (en) * 2001-07-17 2003-04-03 Advanced Micro Devices Inc A system and method for controlled structuring based on structural elements with critical dimensions
US6950716B2 (en) 2001-08-13 2005-09-27 Applied Materials, Inc. Dynamic control of wafer processing paths in semiconductor manufacturing processes
US20030037090A1 (en) * 2001-08-14 2003-02-20 Koh Horne L. Tool services layer for providing tool service functions in conjunction with tool functions
US6984198B2 (en) * 2001-08-14 2006-01-10 Applied Materials, Inc. Experiment management system, method and medium
AU2002367635A1 (en) * 2001-10-23 2003-11-11 Brooks-Pri Automation, Inc. Semiconductor run-to-run control system with state and model parameter estimation
US6725098B2 (en) 2001-10-23 2004-04-20 Brooks Automation, Inc. Semiconductor run-to-run control system with missing and out-of-order measurement handling
US6708075B2 (en) * 2001-11-16 2004-03-16 Advanced Micro Devices Method and apparatus for utilizing integrated metrology data as feed-forward data
DE10205565A1 (en) * 2002-02-11 2003-08-28 Promos Technologies Inc Process-internal monitoring of wafer manufacturing process quality using measurable system signals involves entering product wafer data into correlation model generated using model wafer data
CN100403505C (en) * 2002-03-01 2008-07-16 应用材料有限公司 Methodology for repeatable post etch CD in a production tool
US6858361B2 (en) * 2002-03-01 2005-02-22 David S. L. Mui Methodology for repeatable post etch CD in a production tool
US6960416B2 (en) * 2002-03-01 2005-11-01 Applied Materials, Inc. Method and apparatus for controlling etch processes during fabrication of semiconductor devices
JP4018438B2 (en) * 2002-04-30 2007-12-05 キヤノン株式会社 Management system for managing semiconductor exposure equipment
US7037639B2 (en) 2002-05-01 2006-05-02 Molecular Imprints, Inc. Methods of manufacturing a lithography template
US20040206621A1 (en) * 2002-06-11 2004-10-21 Hongwen Li Integrated equipment set for forming a low K dielectric interconnect on a substrate
US20040007325A1 (en) * 2002-06-11 2004-01-15 Applied Materials, Inc. Integrated equipment set for forming a low K dielectric interconnect on a substrate
US6924088B2 (en) * 2002-06-20 2005-08-02 Applied Materials, Inc. Method and system for realtime CD microloading control
US20030235787A1 (en) * 2002-06-24 2003-12-25 Watts Michael P.C. Low viscosity high resolution patterning material
US7035696B1 (en) * 2002-07-03 2006-04-25 Ahsoon Technologies, Inc. Method and apparatus for poly gate CD control
US6834212B1 (en) * 2002-07-03 2004-12-21 Blue Control Technologies, Inc. Method and apparatus for APC solver engine and heuristic
US6926929B2 (en) 2002-07-09 2005-08-09 Molecular Imprints, Inc. System and method for dispensing liquids
US6908861B2 (en) * 2002-07-11 2005-06-21 Molecular Imprints, Inc. Method for imprint lithography using an electric field
US6932934B2 (en) 2002-07-11 2005-08-23 Molecular Imprints, Inc. Formation of discontinuous films during an imprint lithography process
US7077992B2 (en) 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US6900881B2 (en) 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US7019819B2 (en) 2002-11-13 2006-03-28 Molecular Imprints, Inc. Chucking system for modulating shapes of substrates
US7668702B2 (en) * 2002-07-19 2010-02-23 Applied Materials, Inc. Method, system and medium for controlling manufacturing process using adaptive models based on empirical data
US7070405B2 (en) 2002-08-01 2006-07-04 Molecular Imprints, Inc. Alignment systems for imprint lithography
US7027156B2 (en) 2002-08-01 2006-04-11 Molecular Imprints, Inc. Scatterometry alignment for imprint lithography
US6810296B2 (en) * 2002-09-25 2004-10-26 Advanced Micro Devices, Inc. Correlating an inline parameter to a device operation parameter
US8349241B2 (en) 2002-10-04 2013-01-08 Molecular Imprints, Inc. Method to arrange features on a substrate to replicate features having minimal dimensional variability
US7265382B2 (en) * 2002-11-12 2007-09-04 Applied Materials, Inc. Method and apparatus employing integrated metrology for improved dielectric etch efficiency
DE10252605A1 (en) * 2002-11-12 2004-06-24 Infineon Technologies Ag Method, device, computer-readable memory and computer program element for computer-aided monitoring and regulation of a manufacturing process
US6980282B2 (en) * 2002-12-11 2005-12-27 Molecular Imprints, Inc. Method for modulating shapes of substrates
US7272459B2 (en) 2002-11-15 2007-09-18 Applied Materials, Inc. Method, system and medium for controlling manufacture process having multivariate input parameters
US6939476B1 (en) * 2002-11-20 2005-09-06 National Semiconductor Corporation Method for real time metal ETCH critical dimension control
US6871558B2 (en) * 2002-12-12 2005-03-29 Molecular Imprints, Inc. Method for determining characteristics of substrate employing fluid geometries
JP4365109B2 (en) * 2003-01-29 2009-11-18 株式会社日立ハイテクノロジーズ Plasma processing equipment
US7179396B2 (en) 2003-03-25 2007-02-20 Molecular Imprints, Inc. Positive tone bi-layer imprint lithography method
US8257546B2 (en) * 2003-04-11 2012-09-04 Applied Materials, Inc. Method and system for monitoring an etch process
US20040200574A1 (en) * 2003-04-11 2004-10-14 Applied Materials, Inc. Method for controlling a process for fabricating integrated devices
US7403834B2 (en) * 2003-05-08 2008-07-22 Regents Of The University Of California Methods of and apparatuses for controlling process profiles
US6808942B1 (en) 2003-05-23 2004-10-26 Texas Instruments Incorporated Method for controlling a critical dimension (CD) in an etch process
US7135259B2 (en) 2003-05-28 2006-11-14 Taiwan Semiconductor Manufacturing Co., Ltd. Scatterometric method of monitoring hot plate temperature and facilitating critical dimension control
US7062411B2 (en) * 2003-06-11 2006-06-13 Scientific Systems Research Limited Method for process control of semiconductor manufacturing equipment
JP4455936B2 (en) * 2003-07-09 2010-04-21 富士通マイクロエレクトロニクス株式会社 Semiconductor device manufacturing method and etching system
US7482178B2 (en) * 2003-08-06 2009-01-27 Applied Materials, Inc. Chamber stability monitoring using an integrated metrology tool
DE10339992B4 (en) * 2003-08-29 2008-07-03 Advanced Micro Devices, Inc., Sunnyvale Method for producing a structural element of critical dimension or a gate electrode of a field effect transistor and etching control
US8207532B2 (en) * 2003-09-12 2012-06-26 Taiwan Semiconductor Manufacturing Company Constant and reducible hole bottom CD in variable post-CMP thickness and after-development-inspection CD
US20050064714A1 (en) * 2003-09-19 2005-03-24 Applied Materials, Inc. Method for controlling critical dimensions during an etch process
US6911399B2 (en) * 2003-09-19 2005-06-28 Applied Materials, Inc. Method of controlling critical dimension microloading of photoresist trimming process by selective sidewall polymer deposition
US8211214B2 (en) 2003-10-02 2012-07-03 Molecular Imprints, Inc. Single phase fluid imprint lithography method
US7090716B2 (en) * 2003-10-02 2006-08-15 Molecular Imprints, Inc. Single phase fluid imprint lithography method
US7094613B2 (en) * 2003-10-21 2006-08-22 Applied Materials, Inc. Method for controlling accuracy and repeatability of an etch process
US20050218113A1 (en) * 2004-03-30 2005-10-06 Tokyo Electron Limited Method and system for adjusting a chemical oxide removal process using partial pressure
US20050227494A1 (en) * 2004-03-30 2005-10-13 Tokyo Electron Limited Processing system and method for treating a substrate
US6999848B2 (en) * 2003-12-19 2006-02-14 Intel Corporation Process control apparatus, systems, and methods
US7250309B2 (en) * 2004-01-09 2007-07-31 Applied Materials, Inc. Integrated phase angle and optical critical dimension measurement metrology for feed forward and feedback process control
US20050197721A1 (en) * 2004-02-20 2005-09-08 Yung-Cheng Chen Control of exposure energy on a substrate
US8076386B2 (en) 2004-02-23 2011-12-13 Molecular Imprints, Inc. Materials for imprint lithography
US7906180B2 (en) 2004-02-27 2011-03-15 Molecular Imprints, Inc. Composition for an etching mask comprising a silicon-containing material
DE112005000660T5 (en) * 2004-03-22 2007-02-08 Kla-Tencor Technologies Corp., Milpitas Methods and systems for measuring a property of a substrate or preparing a substrate for analysis
US6893975B1 (en) 2004-03-31 2005-05-17 Tokyo Electron Limited System and method for etching a mask
US7292906B2 (en) * 2004-07-14 2007-11-06 Tokyo Electron Limited Formula-based run-to-run control
US7250373B2 (en) * 2004-08-27 2007-07-31 Applied Materials, Inc. Method and apparatus for etching material layers with high uniformity of a lateral etch rate across a substrate
US7301645B2 (en) * 2004-08-31 2007-11-27 Taiwan Semiconductor Manufacturing Co., Ltd. In-situ critical dimension measurement
US7715941B1 (en) * 2004-11-03 2010-05-11 Advanced Micro Devices Method and apparatus for scheduling a plurality of processing tools
US20060154388A1 (en) * 2005-01-08 2006-07-13 Richard Lewington Integrated metrology chamber for transparent substrates
US7601272B2 (en) * 2005-01-08 2009-10-13 Applied Materials, Inc. Method and apparatus for integrating metrology with etch processing
DE102005063460B4 (en) * 2005-02-28 2008-07-24 Advanced Micro Devices, Inc., Sunnyvale Method for process control
US7242998B2 (en) * 2005-03-07 2007-07-10 Taiwan Semiconductor Manufacturing Co., Ltd. Etching operation management systems and methods
US7148073B1 (en) 2005-03-15 2006-12-12 Kla-Tencor Technologies Corp. Methods and systems for preparing a copper containing substrate for analysis
US20060240651A1 (en) * 2005-04-26 2006-10-26 Varian Semiconductor Equipment Associates, Inc. Methods and apparatus for adjusting ion implant parameters for improved process control
US7394067B1 (en) 2005-07-20 2008-07-01 Kla-Tencor Technologies Corp. Systems and methods for reducing alteration of a specimen during analysis for charged particle based and other measurement systems
CN100422888C (en) * 2005-08-16 2008-10-01 力晶半导体股份有限公司 System and method for controlling batches in layers of reaction chamber
US7962113B2 (en) * 2005-10-31 2011-06-14 Silicon Laboratories Inc. Receiver with multi-tone wideband I/Q mismatch calibration and method therefor
US7803308B2 (en) 2005-12-01 2010-09-28 Molecular Imprints, Inc. Technique for separating a mold from solidified imprinting material
US7906058B2 (en) * 2005-12-01 2011-03-15 Molecular Imprints, Inc. Bifurcated contact printing technique
US7670530B2 (en) 2006-01-20 2010-03-02 Molecular Imprints, Inc. Patterning substrates employing multiple chucks
EP1957249B1 (en) 2005-12-08 2014-11-12 Canon Nanotechnologies, Inc. Method and system for double-sided patterning of substrates
US7631286B2 (en) * 2005-12-30 2009-12-08 Wafertech Llc Automated metrology recipe generation
US20070239305A1 (en) * 2006-03-28 2007-10-11 Haoren Zhuang Process control systems and methods
US8142850B2 (en) 2006-04-03 2012-03-27 Molecular Imprints, Inc. Patterning a plurality of fields on a substrate to compensate for differing evaporation times
US8850980B2 (en) 2006-04-03 2014-10-07 Canon Nanotechnologies, Inc. Tessellated patterns in imprint lithography
US7802978B2 (en) 2006-04-03 2010-09-28 Molecular Imprints, Inc. Imprinting of partial fields at the edge of the wafer
TW200801794A (en) * 2006-04-03 2008-01-01 Molecular Imprints Inc Method of concurrently patterning a substrate having a plurality of fields and a plurality of alignment marks
US7547398B2 (en) 2006-04-18 2009-06-16 Molecular Imprints, Inc. Self-aligned process for fabricating imprint templates containing variously etched features
US8012395B2 (en) 2006-04-18 2011-09-06 Molecular Imprints, Inc. Template having alignment marks formed of contrast material
US7526354B2 (en) * 2006-07-10 2009-04-28 Tokyo Electron Limited Managing and using metrology data for process and equipment control
US7525673B2 (en) * 2006-07-10 2009-04-28 Tokyo Electron Limited Optimizing selected variables of an optical metrology system
US7544521B1 (en) * 2006-09-11 2009-06-09 Lam Research Corporation Negative bias critical dimension trim
US7774082B2 (en) * 2006-10-05 2010-08-10 Tokyo Electron Limited Substrate processing method and storage medium having program stored therein
DE102006051495B4 (en) * 2006-10-31 2017-11-02 Globalfoundries Inc. Method and system for the random distribution of slices in a complex process line
US7639351B2 (en) * 2007-03-20 2009-12-29 Tokyo Electron Limited Automated process control using optical metrology with a photonic nanojet
US7567353B2 (en) * 2007-03-28 2009-07-28 Tokyo Electron Limited Automated process control using optical metrology and photoresist parameters
US7372583B1 (en) * 2007-04-12 2008-05-13 Tokyo Electron Limited Controlling a fabrication tool using support vector machine
CN101430566B (en) * 2007-11-08 2010-12-22 中芯国际集成电路制造(上海)有限公司 Method for controlling etching deviation
CN101452215B (en) * 2007-11-30 2010-10-20 中芯国际集成电路制造(上海)有限公司 Control method for key dimension
US8945444B2 (en) * 2007-12-04 2015-02-03 Canon Nanotechnologies, Inc. High throughput imprint based on contact line motion tracking control
CN101592870B (en) * 2008-05-30 2012-07-18 中芯国际集成电路制造(北京)有限公司 Monitoring method of lithography equipment focus
US7761178B2 (en) * 2008-06-18 2010-07-20 Tokyo Electron Limited Automated process control using an optical metrology system optimized with design goals
US8221635B2 (en) * 2009-03-03 2012-07-17 Raytheon Company Process for multiple platings and fine etch accuracy on the same printed wiring board
US9031684B2 (en) * 2011-11-01 2015-05-12 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-factor advanced process control method and system for integrated circuit fabrication
US8892237B2 (en) * 2013-03-15 2014-11-18 GlobalFoundries, Inc. Systems and methods for fabricating semiconductor device structures using different metrology tools
US9606519B2 (en) * 2013-10-14 2017-03-28 Applied Materials, Inc. Matching process controllers for improved matching of process
WO2018081144A1 (en) 2016-10-24 2018-05-03 Kla-Tencor Corporation Process module(s) integrated into a metrology and/or inspection tool
CN113759671A (en) * 2017-02-24 2021-12-07 Asml荷兰有限公司 Etch bias characterization and methods of use thereof
CN107170698B (en) * 2017-05-23 2020-01-24 上海华力微电子有限公司 Automatic adjusting method for etching amount of photoresist plug in through hole
US20190164852A1 (en) * 2017-11-28 2019-05-30 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for in-line processing control
US11100272B2 (en) 2018-08-17 2021-08-24 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer-to-design image analysis (WDIA) system
EP4238122A1 (en) * 2020-10-29 2023-09-06 Board of Regents, The University of Texas System Equipment and process technologies for catalyst influenced chemical etching

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5629772A (en) * 1994-12-20 1997-05-13 International Business Machines Corporation Monitoring of minimum features on a substrate
US5655110A (en) * 1995-02-13 1997-08-05 Advanced Micro Devices, Inc. Method for setting and adjusting process parameters to maintain acceptable critical dimensions across each die of mass-produced semiconductor wafers
EP0810633A2 (en) 1996-05-28 1997-12-03 Tokyo Electron Limited Coating film forming method and apparatus
US5773174A (en) * 1994-11-14 1998-06-30 Matsushita Electric Industrial Co., Ltd. Method of forming a resist pattern utilizing correlation between latent image height, resist pattern linewidth and surface modification layer width
EP0863438A1 (en) 1997-03-05 1998-09-09 Tokyo Electron Limited Method of and apparatus for processing photoresist, method of evaluating photoresist film, and processing apparatus using the evaluation method
JPH11340134A (en) 1998-05-22 1999-12-10 Dainippon Screen Mfg Co Ltd Substrate processing device
US6072191A (en) 1997-12-16 2000-06-06 Advanced Micro Devices, Inc. Interlevel dielectric thickness monitor for complex semiconductor chips
US6221787B1 (en) 1998-04-20 2001-04-24 Tokyo Electron Limited Apparatus and method of forming resist film
US6593245B1 (en) * 1994-11-18 2003-07-15 Advanced Micro Devices Silicon nitride etch process with critical dimension gain

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5773174A (en) * 1994-11-14 1998-06-30 Matsushita Electric Industrial Co., Ltd. Method of forming a resist pattern utilizing correlation between latent image height, resist pattern linewidth and surface modification layer width
US6593245B1 (en) * 1994-11-18 2003-07-15 Advanced Micro Devices Silicon nitride etch process with critical dimension gain
US5629772A (en) * 1994-12-20 1997-05-13 International Business Machines Corporation Monitoring of minimum features on a substrate
US5655110A (en) * 1995-02-13 1997-08-05 Advanced Micro Devices, Inc. Method for setting and adjusting process parameters to maintain acceptable critical dimensions across each die of mass-produced semiconductor wafers
EP0810633A2 (en) 1996-05-28 1997-12-03 Tokyo Electron Limited Coating film forming method and apparatus
US5939130A (en) 1996-05-28 1999-08-17 Tokyo Electron Limited Coating film forming method and coating film forming apparatus
EP0863438A1 (en) 1997-03-05 1998-09-09 Tokyo Electron Limited Method of and apparatus for processing photoresist, method of evaluating photoresist film, and processing apparatus using the evaluation method
US6004047A (en) 1997-03-05 1999-12-21 Tokyo Electron Limited Method of and apparatus for processing photoresist, method of evaluating photoresist film, and processing apparatus using the evaluation method
US6072191A (en) 1997-12-16 2000-06-06 Advanced Micro Devices, Inc. Interlevel dielectric thickness monitor for complex semiconductor chips
US6221787B1 (en) 1998-04-20 2001-04-24 Tokyo Electron Limited Apparatus and method of forming resist film
JPH11340134A (en) 1998-05-22 1999-12-10 Dainippon Screen Mfg Co Ltd Substrate processing device

Non-Patent Citations (6)

* Cited by examiner, † Cited by third party
Title
187th ECS Meeting in Reno, NV-E. Zafiriou et al., "Non-lineal Model Based Run-To-Run Control For Rapid Thermal Processing With Unmeasured Variable Estimation", May 1995. *
1995 IEEE/SEMI Advanced Semiconductor Manufacturing Conference-Boning et al., "Practical Issues in Run by Run Process Control", 1995, pp. 201-208. *
Hankinson et al., "Integrated Real-Time and Run-to-Run Control of Etch Depth in Reactive Ion Etching", Mar. 13, 1996, pp. 1-17. *
IEEE Transactions on Semiconductor Manufacturing-Sachs et al., "Process Control System for VLSI Fabrication", Apr. 5, 1990, pp. 1-31. *
IEEE/CHMT International Electronics Manufacturing Technology Symposium, Austin, Texas-Boning et al., "Run by Run Control of Chemical-Mechanical Polishing", Oct. 2-4, 1995. *
VMIC, Santa Clara, CA-Smith et al., "Compensating for CMP Pad Wear Using Run by Run Feedback Control", Jun. 18-20, 1996. *

Cited By (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7682847B2 (en) * 1997-01-17 2010-03-23 Micron Technology, Inc. Method for sorting integrated circuit devices
US7875821B2 (en) 1997-01-17 2011-01-25 Micron Technology, Inc. Method for sorting integrated circuit devices
US20090038997A1 (en) * 1997-01-17 2009-02-12 Micron Technology, Inc. Method for sorting integrated circuit devices
US20090060703A1 (en) * 1997-01-17 2009-03-05 Micron Technology, Inc. Method for sorting integrated circuit devices
US7885782B2 (en) 1997-02-26 2011-02-08 Micron Technology, Inc. Method in an integrated circuit (IC) manufacturing process for identifying and redirecting ICs mis-processed during their manufacture
US20070094632A1 (en) * 1997-02-26 2007-04-26 Beffa Raymond J Method in an integrated circuit (IC) manufacturing process for identifying and redirecting ICs mis-processed during their manufacture
US8315730B2 (en) 1997-03-24 2012-11-20 Micron Technology, Inc. Methods for non lot-based integrated circuit manufacturing
US7738988B2 (en) 1997-03-24 2010-06-15 Micron Technology, Inc. Process and method for continuous, non lot-based integrated circuit manufacturing
US8600540B2 (en) 1997-03-24 2013-12-03 Micron Technology, Inc. Methods for non-lot-based manufacturing of articles
US20080248412A1 (en) * 2007-04-09 2008-10-09 John Douglas Stuber Supervisory etch cd control
US20100241250A1 (en) * 2009-03-18 2010-09-23 TECH Semiconductor Singapore Ptd Ltd Feedback and feedforward control of a semiconductor process without output values from upstream processes
US20120070089A1 (en) * 2009-05-29 2012-03-22 Yukari Yamada Method of manufacturing a template matching template, as well as a device for manufacturing a template
US8929665B2 (en) * 2009-05-29 2015-01-06 Hitachi High-Technologies Corporation Method of manufacturing a template matching template, as well as a device for manufacturing a template
CN102737960A (en) * 2011-04-14 2012-10-17 台湾积体电路制造股份有限公司 Method and system for feed-forward advanced process control
US8429569B2 (en) * 2011-04-14 2013-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for feed-forward advanced process control
US8683395B2 (en) * 2011-04-14 2014-03-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for feed-forward advanced process control
CN102737960B (en) * 2011-04-14 2015-04-22 台湾积体电路制造股份有限公司 Method and system for feed-forward advanced process control
US11622419B2 (en) 2015-01-18 2023-04-04 Applied Materials, Inc. Azimuthally tunable multi-zone electrostatic chuck
US10440777B2 (en) 2015-05-22 2019-10-08 Applied Materials, Inc. Azimuthally tunable multi-zone electrostatic chuck

Also Published As

Publication number Publication date
US5926690A (en) 1999-07-20

Similar Documents

Publication Publication Date Title
USRE39518E1 (en) Run to run control process for controlling critical dimensions
US6148239A (en) Process control system using feed forward control threads based on material groups
US7498106B2 (en) Method and apparatus for controlling etch processes during fabrication of semiconductor devices
US6858361B2 (en) Methodology for repeatable post etch CD in a production tool
KR100463256B1 (en) Disturbance-free, recipi-controlled plasma processing system and method
KR100804284B1 (en) Method and apparatus for using scatterometry to perform feedback and feed-forward control, and computer readable program storage medium encoded with instructions for performing the method
US6924088B2 (en) Method and system for realtime CD microloading control
US20060222975A1 (en) Integrated optical metrology and lithographic process track for dynamic critical dimension control
CN108054115B (en) Polymer cleaning method for etching cavity
US7632690B2 (en) Real-time gate etch critical dimension control by oxygen monitoring
CN104730858A (en) Uniformity in Wafer Patterning using Feedback Control
US7291285B2 (en) Method and system for line-dimension control of an etch process
US7751025B2 (en) Scatterometric method of monitoring hot plate temperature and facilitating critical dimension control
US6838010B2 (en) System and method for wafer-based controlled patterning of features with critical dimensions
JP4456313B2 (en) Method and apparatus for controlling a photoresist baking process
US20100120177A1 (en) Feature Dimension Control in a Manufacturing Process
US6982043B1 (en) Scatterometry with grating to observe resist removal rate during etch
JPH11194506A (en) Pattern forming method
US20040165164A1 (en) Method and system for improving exposure uniformity in a step and repeat process
GB2430799A (en) Real-time gate etch critical dimension control by oxygen monitoring

Legal Events

Date Code Title Description
CC Certificate of correction
FPAY Fee payment

Year of fee payment: 12

AS Assignment

Owner name: LONE STAR SILICON INNOVATIONS LLC, TEXAS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:ADVANCED MICRO DEVICES INC.;REEL/FRAME:039597/0957

Effective date: 20160804