USRE38760E1 - Controlled etching of oxides via gas phase reactions - Google Patents

Controlled etching of oxides via gas phase reactions Download PDF

Info

Publication number
USRE38760E1
USRE38760E1 US08/903,077 US90307797A USRE38760E US RE38760 E1 USRE38760 E1 US RE38760E1 US 90307797 A US90307797 A US 90307797A US RE38760 E USRE38760 E US RE38760E
Authority
US
United States
Prior art keywords
etching
pressure
water
halide
gas phase
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
US08/903,077
Inventor
Robert W. Grant
Jerzy Ruzyllo
Kevin Torek
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Penn State Research Foundation
Original Assignee
Penn State Research Foundation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Penn State Research Foundation filed Critical Penn State Research Foundation
Priority to US08/903,077 priority Critical patent/USRE38760E1/en
Application granted granted Critical
Publication of USRE38760E1 publication Critical patent/USRE38760E1/en
Anticipated expiration legal-status Critical
Assigned to JPMORGAN CHASE BANK, N.A., AS ADMINISTRATIVE AGENT reassignment JPMORGAN CHASE BANK, N.A., AS ADMINISTRATIVE AGENT SECURITY INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: SPTS TECHNOLOGIES LIMITED
Assigned to SPTS TECHNOLOGIES LIMITED reassignment SPTS TECHNOLOGIES LIMITED RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: JPMORGAN CHASE BANK, N.A.
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • ing And Chemical Polishing (AREA)

Abstract

Oxides are etched with a halide-containing species and a low molecular weight organic molecule having a high vapor pressure at standard conditions, where etching is performed at preset wafer temperature in an enclosed chamber at a pressure such that all species present in the chamber, including water, are in the gas phase and condensation of species present on the etched surface is controlled. Thus all species involved remain in the gas phase even if trace water vapor appears in the process chamber. Preferably, etching is performed in a cluster dry tool apparatus.

Description

BACKGROUND OF THE INVENTION
The present invention relates to a method of etching oxides on a substrate to minimize or prevent deposition of contamination on the substrate. In particular, the present invention relates to the etching of silicon oxides.
In integrated circuit manufacturing, the etching of oxides is typically carried out using a halide-containing water solution, for instance a HF/water solution, or reactive ion etching (RIE). The former method is not compatible with integrated processing of integrated circuits while the latter damages the surface of the substrate and contaminates the near-surface region of the substrate. As a result, neither method permits integration of oxide etching with other steps performed in a cluster processor.
The use of gaseous HF and water vapor, for example, is an alternative to RIE for gas-phase oxide etching. In this process, water vapor is needed to uniformly initiate etching. Gas phase etching can produce the same level of hydrogen termination as the traditional HF/water rinse process. The process is well developed and is supported by commercial reactors. It works on the premise that the HF-water vapor mixture delivered to the oxide condenses there, and hence, the etching process is eventually taking place in the liquid phase. One of the problems encountered with this etching mode is that some of the reaction products may precipitate on the surface where they are difficult to remove without an additional deionize water rinse. Also, difficulties with precise control of the condensed liquid film composition and its uniform coverage of the oxide may, under certain conditions, result in inadequate etch uniformity and run-to-run reproducibility. Moreover, since existing HF vapor etch chemistry generally involves the addition of water vapor, the process is difficult to integrate with reduced pressure reactors and stainless steel components.
U.S. Pat. No. 5,022,961 to Izumi et al., incorporated herein by reference, implements an approach in which etching is performed using HF and alcohol where water added to the system is minimized. Etching is performed at room temperature and atmospheric pressure and liquid as well as vapor state etching are contemplated. Ionic contaminant, however, remains on the surface after etching, contained within the alcohol layer. After-treatment is again required to remove the contamination.
Prior art etching techniques suggest that use of particular solvent molecules for etching in certain circumstances. Izumi et al., for instance, discusses etching with HF or other halide-containing species only in combination with alcohol. Wet etching has prevalently been disclosed with HF, water and acetic acid alone or a mixture of organic acids. U.S. Pat. No. 4,746,397 to Maeda et al. does disclose etching with the use of a fluorine-containing species and an alcohol ketone or carboxylic acid. However, liquid or vapor phase etching is contemplated under reduced pressure where the addition of water is specified.
It is disclosed in U.S. Pat. No. 4,857,142 to Syverson and U.S. Pat. No. 4,749,440 to Blackwood that etching may be accomplished with a minimum of added water where the oxide itself contains sufficient water. It is thus considered in the art that at least some water is required for etching.
Drawbacks of prior practice are addressed by the present invention in a method of etching oxides on a surface of a substrate comprising etching at a preset wafer temperature with a gas phase mixture of a halide-containing species and a low molecular weight organic molecule having a high vapor pressure at standard conditions, in an enclosed chamber at a pressure such that all species present are substantially maintained in the gas phase and condensation of species present on the etched surface is controlled. Because trace water vapor may appear in the process chamber, water vapor is taken into account as a species present in the gas phase. Because water is less volatile than the constituent reactants, the temperature and pressure parameters are chosen from the area below the curve for water in the phase diagram. By maintaining temperature and pressure parameters within this range, all species present in the chamber, including water, are substantially maintained in the gas phase. Low molecular weight organic molecules having high vapor pressure at standard conditions encompass low molecular weight alcohols, organic acids, ketones or alkanes such as methanol, isopropanol, acetone, acetic acid and methane. Preferably, etching is performed in a cluster dry tool apparatus. The process achieves controlled, gas-phase etching of oxides with etch rates up to two orders of magnitude lower than typical rates obtained for vapor HF/water etching, without the addition of water vapor to the input gases.
The present invention also addresses drawbacks of prior practice by a method of etching oxides comprising etching with a halide-containing species and water where the pressure and temperature parameters are, as discussed above, selectively chosen from parameters below the curve representing water in the phase diagram.
It is an objective of the present invention to provide a method of etching oxides in which the oxide etch rate is controlled.
It is an objective of the present invention to provide a method of etching oxides in which condensation of species present on the etched surfaces is controlled.
It is a further objective of the present invention to provide a method which controls etch at a rate of up to two orders of magnitude lower than typical rates obtained for vapor HF/water etching.
SUMMARY OF THE INVENTION
The present invention provides a method of etching oxides on a surface of a substrate comprising etching at a preset wafer temperature with a gas phase mixture of a halide-containing species and a low molecular weight organic molecule having a high vapor pressure at standard conditions, in an enclosed chamber at a pressure such that all species present are substantially maintained in the gas phase and condensation of species present on the etched surfaces is controlled. Because trace water vapor may appear in the process chamber, water vapor is taken into account as a species present in the gas phase. Because water is less volatile than the constituent reactants, the temperature and pressure parameters are chosen from the area below the curve for water in the phase diagram. By maintaining temperature and pressure parameters within this range, all species present in the chamber, including water, are substantially maintained in the gas phase. Low molecular weight organic molecules having high vapor pressure at standard conditions encompass low molecular weight alcohols, organic acids, ketones or alkanes such as methanol, isopropanol, acetone, acetic acid and methane. Preferably, etching is performed in a cluster dry tool apparatus. The process achieves controlled, gas-phase etching of oxides with etch rates of up to two orders of magnitude lower than typical rates obtained for vapor HF/water etching, without the addition of water vapor to the input gases.
Alternatively, the present invention may be used with a halide-containing species and water, without the addition of a low molecule weight, high vapor pressure organic molecule where the pressure and temperature parameters are again selectively chosen from parameters below the curve representing water in the phase diagram as discussed above.
BRIEF DESCRIPTION OF THE DRAWINGS
FIG. 1 is a phase diagram representing vapor pressure of HF, methanol and water as a function of temperature.
FIG. 2 is a graph of oxide etch rates in HF/methanol at three different pressures as a function of wafer temperature.
FIG. 3 is a graph of oxide etch rate as a function of HF/methanol flow ratio.
FIG. 4 is a graph of XPS spectra for HF/methanol treated substances in accordance with the present invention.
FIG. 5 is a graph of oxide etched in HF/acetone as a function of temperature.
FIG. 6 is a graph of oxide etched in HG/acetone as a function of HF partial pressure.
DETAILED DESCRIPTION OF THE INVENTION
The gas-phase oxide etching method of the present invention involves the use of an agent effective in stimulating etching with gaseous HF as well as producing a hydrogen-transmitted surface. Furthermore, the present invention involves the creation of conditions under which the condensation of reactants and other contaminants on the oxide surface is either prevented, or more precisely controlled. The deposition of particulate contamination on the substrate surface, which would be nonvolatalizable if deposited, is thus prevented.
Etching is carried out at temperatures and pressures at which condensation of reactants on the wafer surface can be effectively controlled. To assure adequate process reproducibility and control of the etch, temperature and pressure parameters which prevent condensation of the reactants and water on the etched surface are selected. Under the process conditions preventing condensation and eliminating moisture from interacting with etched surfaces, BPSG: thermal oxide etch selectivity in excess of 6000 is observed. Also, there is indirect evidence suggesting hydrogen termination of etched silicon surfaces.
Preferably, etching is performed with a gas phase mixture of a halide-containing species and a low molecular weight organic molecule having a high vapor pressure at standard conditions. It is here recognized that organic molecules having low molecular weight and high vapor pressure at standard conditions are generally applicable in the method of the present invention. These molecules include low molecular weight alcohols, organic acids, ketones or alkanes such as methanol, isopropanol, acetone, acetic acid and methane. In addition to their favorable solvent properties, these molecules are volatalizable. It is most preferable that the low molecular weight, high vapor pressure organic molecules be capable of removing any water which may be present on the oxide surface, particularly, for instance, when etching a BPSG oxide. Furthermore, it is most preferable that the organic molecule be capable of ionizing the halide-containing species which etches the oxide and generating Si—OH precursor uniformly on the water surface. Such molecules which are most preferable include low molecular weight alcohols, organic acids and ketones such as methanol, isopropanol, acetone and acetic acid. Moreover, it has been recognized that such molecules actually initiate the etch when present with the halide-containing species. It is also believed that the presence of carbon in a low molecular weight, high vapor pressure molecule may be sufficient to stimulate the initiation of etching. Therefore, in a less preferred embodiment of the present invention, molecules which do not contain oxygen-bearing nucleophilic moieties yet are generally carbon containing organic molecules of low molecular weight and high vapor pressure at standard conditions, e.g., methane, may be utilized.
Halide-containing species include, in particular, HF, NF3, CIF3, F2 as well as other fluoride liberating species. Generally, the halide-containing species are compounds that readily crack with UV treatment in the presence of a stimulant. Other examples of halide-containing species and low molecular weight, high vapor pressure organic molecules will be readily apparent to those skilled in the art.
The preferred aspect of the present invention focuses on the minimization of water present during the oxide etch. Therefore, it is preferred that either or both of the constituent reactant species be present in anhydrous form. Accordingly, in the preferred embodiment a low molecular weight organic molecule having a high vapor pressure at standard conditions is utilized with a halide-containing species, without the addition of water to the input gases. In the most preferred embodiment, anhydrous forms or both of these constituent reactant species are present, without the addition of water.
The selection of process parameters is made on the basis of a phase diagram showing the variation of vapor pressures vs. temperature. Water is considered as it is the least volatile among the species present including halide-containing species, low molecular weight organic molecules having high vapor pressure is standard conditions and any water present. FIG. 1 is a phase diagram representing individual vapor pressure curves of HF, methanol and water as a function of temperature. As long as the temperature and corresponding pressure of the oxide etching process are selected from the area below the curve for water as in FIG. 1, then all reactants involved should remain in the gas phase even if trace water vapor appears in the process chamber either as a product of the etching reaction, or in the input gases. In particular, where a surface of a substrate is at a preset temperature, etching is performed at a pressure such that all species present are substantially maintained in the gas phase and condensation of species present on the etched surface is controlled.
In this manner FIG. 1 indicates, for instance, that at 100 Torr, all species present during HF/methanol etching should be in the vapor phase at 60° C. and higher. At 300 Torr, all species present should be in the vapor phase at 80° C. and higher and at 500 Torr, the vapor phase should be achieved at 95° C. and higher. Generally, FIG. 1 illustrates that as a higher pressure is chosen, the minimum range of temperatures which may be chosen is correspondingly higher. As a higher temperature is chosen, the maximum range of pressure which may be chosen increases, in order to maintain all species present in the gas phase.
The same may not apply to the etching of heavily hydrated oxides, such as borophosphosilicate glass (BPSG), where moisture contained in the etched oxide may not be driven from the surface fast enough to prevent fast etching. On the other hand, it is believed that increased temperature and reduced pressure will enhance vaporization of at least some reaction products, thereby reducing formation of solid residues on the etched surfaces.
In certain experiments, moisture has been driven from the oxide under vacuum conditions. Etching was then attempted with HF alone and with further addition of a low molecular weight organic molecule having a high vapor pressure at standard conditions. From these experiments it has been determined that in etching with a halide-containing species and a low molecular weight, high vapor pressure organic molecule, the low molecular weight, high vapor pressure organic molecule is responsible for initiation of the etch. Moreover, reactions of halide-containing species with water vapor and reactions of halide-containing species with low molecular weight, high vapor pressure organic molecules appear to be driven by different reaction mechanisms.
In a less preferred embodiment, the method of etching of the present invention is applicable to etching with a halide-containing species and water, without the use of a low molecular weight, high vapor pressure organic molecule. Similar to the method described above, temperature and pressure parameters are selectively chosen such that all constituents remain in the gas phase.
One application for which the method of the present invention is well suited is etching of sacrificial oxide in a cluster tool or like environment immediately prior to gate oxidation. The cluster tool apparatus has been disclosed in U.S. Pat. No. 5,228,206 to Grant et al., which is incorporated herein by reference. The cluster tool module permits dry process cleaning according to the present invention on a commercial scale. It includes a 200 mm. wafer compatible reactor equipped with IR heating lamps and a vacuum control system such as a dry mechanical pump and turbo pump allowing pressure reduction down to 10−6 Torr. To increase process uniformity, rotation of the wafer using a frictionless mechanism can be employed during etching. A high purity halide-containing species is delivered from a nickel cylinder, and a gas delivery system of stainless steel bubbler is used to contain and supply liquid low molecular weight organic solvent having high vapor pressure at standard conditions, with nitrogen as a carrier gas. This gas delivery system permits the gas to pick up the vapor without liquid transport. The system uses an all stainless steel gas delivery system. Moreover, the UV lamp assists in driving off molecules which may begin to condense, particularly after etching is complete and the species present are replaced with nitrogen gas. The use of the UV lamp markedly reduces processing time of the wafers.
The following examples demonstrate the applicability of HF/methanol and HF/acetone in the present invention. The present invention has also been observed to achieve similar results using ethanol as the low molecular weight, high vapor pressure organic molecule, as the results set forth in Examples I and II using methanol and acetone. A brief experiment using HF/acetic acid further indicated that acetic acid is also applicable in the present invention. It has also been documented that HF/isopropanol is applicable in the present invention and achieves analogous results to those shown for HF/methanol. See “Gas-Phase Etching of Silicon Oxide with Anhydrous HF and Isopropanol”, Third Int'l Symposium on Cleaning Technology in Semiconductor Development Mfg., Subcommittee of Electro-chemical Society, Oct. 15-20, 1993, citing Ruzyllo et al., J. Electrochem. Soc., 140:4, April, 1993, p. L64-L66.
EXAMPLE I
A prototype cluster tool compatible commercial apparatus was used. Special attention was given to the elimination of moisture and the prevention of reactant condensation in any part of the hardware upstream of the pressure control valve. Variation of process parameters included changes of pressure from 100 to 500 Torr, wafer temperature from 25° to 110° C., and CH3OH carrier gas/HF gas flow ratio from 0 to 6. X-ray photoelectron spectroscopy (XPS) was used to evaluate the chemical condition of the silicon surface following etching.
Thermal oxides were grown in dry oxygen to the thickness of 1100 Å. For each etching run, initial and final oxide thicknesses were determined using an automated ellipsometer with index of refraction n=1.465. For the sake of preliminary evaluation of etch selectivity, the rates of BPSG etching under the conditions defined above were also determined. The BPSG films initially 6500 Å thick were formed using a conventional chemical vapor deposition process.
The experimental portion was focused on: (i) establishing a correlation between oxide etch rates and pressure of anhydrous HF/CH3OH/N2 mixture and wafer temperature, and (ii) determining the effect of methanol on the etch rates and chemical state of the Si surfaces.
Etching was performed with HF and methanol at various pressures and wafer temperatures. An IR pyrometer was used to determine wafer temperature. FIG. 2 presents the observed changes of thermal oxide etch rates in HF/methanol at three different pressures as a function of wafer temperature. As seen in FIG. 2, etch rates decrease as wafer temperatures increase. Moreover, at higher pressures these changes are significantly more pronounced. In addition, the etch rates are much more reproducible at temperatures which, at any given pressure, correspond to the etching region assumed to be condensation-free. Thus the pressure and temperature parameters discussed above in regard to the phase diagram of FIG. 1 are shown to have the most controlled etch rate in FIG. 2. These effects are an indication that with increased wafer temperature, less condensation is gradually taking place and gas-phase reactions are becoming a dominant factor in the etching process. This behavior is reflected by the oxide etch rates up to two orders of magnitude lower than typical rates obtained for vapor HF/water etching. In the condensation-controlled etching regime identified in FIG. 2, variations of temperature and pressure can be used to control etch rates of oxides from zero to about 200 Å/min.
Etching with HF/methanol was thus accomplished at a selectively chosen pressures of between 100 to 500 Torr and corresponding wafer temperatures of between 25° to 120° C. such that all species present are in the gas phase and condensation of species present on the etched surfaces is controlled. In particular, gas phase etching with HF and methanol with a controlled etch was achieved at a preset temperature and at a pressure such that water is substantially maintained in the gas phase. As shown in FIG. 2, such parameters were demonstrated in the HF/methanol system at 100 Torr from 20° C. to 95° C.; and 300 Torr from 80° to 95° C.; and at 500 Torr from 95° to 105° C.
In the case of BPSG however, under the same process conditions the etch rates remain very high; typically etch rates in excess of 6000 Å/min were recorded which means that BPSG; thermal oxide etch selectivity in the range of thousands can be accomplished. These high etch rates are believed to be due to the heavy hydration of BPSG resulting in significant enhancement of the etching reaction. At the temperature and pressures applied in this experiment moisture contained in BPSG is not driven away fast enough to prevent fast oxide etching.
In order to test the effect of methanol on the oxide etching in the condensation-controlled regime, moisture was driven from the oxide under vacuum conditions and the etch rates for various CH3OH/HF ratios were determined. Surprisingly, the results shown in FIG. 3 clearly demonstrate that the methanol is responsible for initiating the etching process. An important data point shown is the apparent lack of any etching taking place at the CH3OH/HF=0, i.e., during exposure of the oxide to gaseous HF only. This result indicates that methanol is the initiator of the etching reactions, and hence, that the controlled, effective etching of dry thermal oxide can be accomplished without the addition of water vapor to the input gases. The participation of moisture likely generated as a product of chemical reactions involved in etching does not change the fact that the mechanism of HF/CH3OH etching appears to be different from vapor HF/H2O etching. This notion is supported by the comparison between predicted SIO2 etch rates for the HF/H2O process at 25° C. and 100 Torr with etch rates observed in this experiment at the same temperature and pressure. In this experiment etch rates are six to eight times lower than predicted rates for vapor HF/H2O etching, which indicates a different process etching mechanism for each.
The same HF2— species are likely responsible for oxide etching in the case of both vapor HF/water and HF/CH3OH etching. Since the former is known to yield a mostly hydrogen-terminated silicon surface, one can expect the same in the case of HF/CH3OH etching. Two observations support this speculation. One is that silicon surfaces, from which the oxide was removed entirely using the HF/CH3OH chemistry, display hydrophobic features as revealed through visual inspection of the behavior of water droplets on the etched surfaces. The second comes from the x-ray photoelectron spectroscopy (XPS) characterization of HF/CH3OH treated surfaces.
FIG. 4 is a graph of XPS spectra for HF/methanol treated substances in accordance with the present invention. As XPS cannot detect hydrogen, weak F15 and O15 peaks may be an indication of a mostly hydrogen-terminated surface. In fact, the XPS spectrum in this case is strikingly similar to the one reported for a vapor HF/water treated silicon surface.
EXAMPLE II
The above experiments were performed under the same operating conditions, although utilizing HF/acetone rather than HF/methanol.
FIG. 5 is a graph of oxide etched in HF/acetone as a function of temperature at a pressure of 500 Torr. Flow rates were as follows: acetone at 300 standard cubic centimeters per minute (sccm); nitrogen at 425 sccm; and HF at 100 sccm. While not depicting rate of etch v. temperature as in FIG. 2 regarding the use of HF/methanol, the results shown for HF/acetone are similar to those of HF/methanol. At 500 Torr a sharp increase in etch rate occurs with HF/acetone at lower temperatures, similar to that observed for HF/methanol. At higher temperatures, above 30° C., a slow, controlled etch rate is observed, similar to the rate observed at higher temperatures for HF/methanol.
As in Example I for HF/methanol, the gas phase oxide etching results with HF/acetone indicate that etching was achieved at a preset temperature and pressure such that all species present, including water, are substantially maintained in the gas phase and condensation of species present on the etched surfaces is controlled. Thus it appears from FIG. 5, that a controlled etch is obtained for HF/methanol at 500 Torr from about 30° C. to 70° C.
FIG. 6 is a graph of oxide etched in HF/acetone as a function of HF partial pressure at 500 Torr and 20° C., with a total flow of 1000 sccm and an acetone flow of 364 sccm. The amount of oxide etched increases exponentially with HF partial pressure. These results are also similar to those shown in FIG. 3 for each rate v. HF/methanol flow ratio.
It will be understood that changes may be made in the above-described method without departing from the scope of the invention. It is accordingly intended that all matter contained in the above description or shown in the accompanying drawings be interpreted as illustrative rather than in a limiting sense.
It is also to be understood that the following claims are intended to cover all of the generic and specific features of the invention as described herein, and all statements of the scope of the invention which, as a matter of language, might be said to fall therebetween.

Claims (12)

1. A method of etching oxides on a surface of a substrate, said substrate being at a temperature from 30° C. to 70° C., said method comprising etching with a gas phase mixture of a halide-containing species, an organic material having a higher vapor pressure than water at standard conditions of room temperature and pressure of 1 atmosphere and water, in an enclosed chamber at a pressure such that HF and acetone in an enclosed chamber at a pressure of 500 Torr such that a reaction product water is substantially maintained in the gas phase and condensation of species present on the etched surface is minimized.
2. A method of etching as claimed in claim 1 where the etching is performed in an enclosed chamber of a cluster dry tool apparatus.
3. A method of etching as claimed in claim 1 where the organic material having a higher vapor pressure than water at standard conditions of room temperature and pressure of 1 atmosphere is selected from the group consisting of alcohols, organic acids, ketones and alkanes.
4. A method of etching as claimed in claim 3 where the organic material having a higher vapor pressure than water at standard conditions of room temperature and pressure of 1 atmosphere in selected from the group consisting of methanol, isopropanol, acetone, acetic acid and methane.
5. A method of etching as claimed in claim 1 where the halide-containing species is selected from the group consisting of HF, NF3, ClF3 and F2.
6. A method of etching as claimed in claim 1 where the halide-containing species is HF, the organic material having a higher vapor pressure than water at standard conditions of room temperature and pressure of 1 atmosphere is methanol, the pressure is 100 Torr and the preset temperature is from 20° C. to 95° C.
7. A method of etching as claimed in claim 1 where the halide-containing species is HF, the organic material having a higher vapor pressure than water at standard conditions of room temperature and pressure of 1 atmosphere is methanol, the pressure is 300 Torr and the temperature is from 80° C. to 95° C.
8. A method of etching as claimed in claim 1 where the halide-containing species is HF, the low molecular weight organic molecule having a higher vapor pressure than water at standard conditions of room temperature and pressure of 1 atmosphere is methanol, the pressure is 500 Torr and the preset temperature is from 95° C. to 105° C.
9. A method of etching as claimed in claim 1 where the etching is performed in an enclosed chamber which is a reactor body of an apparatus further comprising:
a gas delivery system;
a UV source;
an IR source;
a rotating mechanism to rotate the wafer; and
a vacuum control system.
10. A method of etching as claimed in claim 1 where the organic material having a higher vapor pressure than water at standard conditions of room temperature and pressure of 1 atmosphere is anhydrous.
11. A method of etching as claimed in claim 1 where the halide-containing species is anhydrous.
12. A method of etching oxides on a surface of a substrate, said substrate being at a temperature of from 30° C. to 70° C., said method comprising etching with a gas phase mixture of HF and acetone, in an enclosed chamber at a pressure of 500 Torr such that water is substantially maintained in the gas phase and condensation of species present on the etched surface is minimized.
US08/903,077 1994-03-30 1997-07-30 Controlled etching of oxides via gas phase reactions Expired - Lifetime USRE38760E1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US08/903,077 USRE38760E1 (en) 1994-03-30 1997-07-30 Controlled etching of oxides via gas phase reactions

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/219,961 US5439553A (en) 1994-03-30 1994-03-30 Controlled etching of oxides via gas phase reactions
US08/903,077 USRE38760E1 (en) 1994-03-30 1997-07-30 Controlled etching of oxides via gas phase reactions

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US08/219,961 Reissue US5439553A (en) 1994-03-30 1994-03-30 Controlled etching of oxides via gas phase reactions

Publications (1)

Publication Number Publication Date
USRE38760E1 true USRE38760E1 (en) 2005-07-19

Family

ID=22821450

Family Applications (2)

Application Number Title Priority Date Filing Date
US08/219,961 Ceased US5439553A (en) 1994-03-30 1994-03-30 Controlled etching of oxides via gas phase reactions
US08/903,077 Expired - Lifetime USRE38760E1 (en) 1994-03-30 1997-07-30 Controlled etching of oxides via gas phase reactions

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US08/219,961 Ceased US5439553A (en) 1994-03-30 1994-03-30 Controlled etching of oxides via gas phase reactions

Country Status (3)

Country Link
US (2) US5439553A (en)
EP (1) EP0677870A3 (en)
JP (1) JPH0881788A (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050066993A1 (en) * 2003-08-29 2005-03-31 Kazuhide Hasebe Thin film forming apparatus and method of cleaning the same
US20060008926A1 (en) * 2004-02-27 2006-01-12 Micron Technology, Inc. Semiconductor fabrication that includes surface tension control

Families Citing this family (208)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3297291B2 (en) * 1995-03-10 2002-07-02 株式会社東芝 Method for manufacturing semiconductor device
US6849471B2 (en) * 2003-03-28 2005-02-01 Reflectivity, Inc. Barrier layers for microelectromechanical systems
US7025831B1 (en) 1995-12-21 2006-04-11 Fsi International, Inc. Apparatus for surface conditioning
JP3344287B2 (en) * 1996-08-30 2002-11-11 住友電気工業株式会社 Method for cleaning surface of II-VI compound semiconductor crystal
US5922219A (en) * 1996-10-31 1999-07-13 Fsi International, Inc. UV/halogen treatment for dry oxide etching
US6065481A (en) * 1997-03-26 2000-05-23 Fsi International, Inc. Direct vapor delivery of enabling chemical for enhanced HF etch process performance
US6107166A (en) * 1997-08-29 2000-08-22 Fsi International, Inc. Vapor phase cleaning of alkali and alkaline earth metals
US6465374B1 (en) 1997-10-21 2002-10-15 Fsi International, Inc. Method of surface preparation
US6165273A (en) 1997-10-21 2000-12-26 Fsi International Inc. Equipment for UV wafer heating and photochemistry
DE19805525C2 (en) * 1998-02-11 2002-06-13 Sez Semiconduct Equip Zubehoer Process for wet-etching semiconductor wafers to produce a defined edge region by under-etching
US6232232B1 (en) * 1998-04-07 2001-05-15 Micron Technology, Inc. High selectivity BPSG to TEOS etchant
US6395192B1 (en) * 1998-05-26 2002-05-28 Steag C.V.D. Systems Ltd. Method and apparatus for removing native oxide layers from silicon wafers
US6221168B1 (en) * 1998-06-16 2001-04-24 Fsi International, Inc. HF/IPA based process for removing undesired oxides form a substrate
JP2000164586A (en) * 1998-11-24 2000-06-16 Daikin Ind Ltd Etchant
US6740247B1 (en) 1999-02-05 2004-05-25 Massachusetts Institute Of Technology HF vapor phase wafer cleaning and oxide etching
WO2000046838A2 (en) * 1999-02-05 2000-08-10 Massachusetts Institute Of Technology Hf vapor phase wafer cleaning and oxide etching
US6544842B1 (en) 1999-05-01 2003-04-08 Micron Technology, Inc. Method of forming hemisphere grained silicon on a template on a semiconductor work object
US7045454B1 (en) 1999-05-11 2006-05-16 Micron Technology, Inc. Chemical mechanical planarization of conductive material
US6790783B1 (en) * 1999-05-27 2004-09-14 Micron Technology, Inc. Semiconductor fabrication apparatus
US6290863B1 (en) 1999-07-31 2001-09-18 Micron Technology, Inc. Method and apparatus for etch of a specific subarea of a semiconductor work object
US6287879B1 (en) 1999-08-11 2001-09-11 Micron Technology, Inc. Endpoint stabilization for polishing process
US6150277A (en) 1999-08-30 2000-11-21 Micron Technology, Inc. Method of making an oxide structure having a finely calibrated thickness
US7041224B2 (en) * 1999-10-26 2006-05-09 Reflectivity, Inc. Method for vapor phase etching of silicon
US6942811B2 (en) * 1999-10-26 2005-09-13 Reflectivity, Inc Method for achieving improved selectivity in an etching process
US6949202B1 (en) 1999-10-26 2005-09-27 Reflectivity, Inc Apparatus and method for flow of process gas in an ultra-clean environment
US6960305B2 (en) * 1999-10-26 2005-11-01 Reflectivity, Inc Methods for forming and releasing microelectromechanical structures
US6995068B1 (en) 2000-06-09 2006-02-07 Newport Fab, Llc Double-implant high performance varactor and method for manufacturing same
US6337277B1 (en) * 2000-06-28 2002-01-08 Lam Research Corporation Clean chemistry low-k organic polymer etch
US7019376B2 (en) * 2000-08-11 2006-03-28 Reflectivity, Inc Micromirror array device with a small pitch size
KR100381011B1 (en) * 2000-11-13 2003-04-26 한국전자통신연구원 Stiction-free release method of microstructure for fabrication of MEMS device
US6800210B2 (en) * 2001-05-22 2004-10-05 Reflectivity, Inc. Method for making a micromechanical device by removing a sacrificial layer with multiple sequential etchants
WO2003026017A1 (en) * 2001-09-14 2003-03-27 The New Industry Research Organization Silicon cluster superlattice, method for preparing silicon cluster superlattice, method for preparing silicon cluster, silicon cluster superlattice structure, method for preparing silicon cluster superlattice structure, semiconductor device and quantum device
US7189332B2 (en) 2001-09-17 2007-03-13 Texas Instruments Incorporated Apparatus and method for detecting an endpoint in a vapor phase etch
US20030073302A1 (en) * 2001-10-12 2003-04-17 Reflectivity, Inc., A California Corporation Methods for formation of air gap interconnects
US6936183B2 (en) * 2001-10-17 2005-08-30 Applied Materials, Inc. Etch process for etching microstructures
US6965468B2 (en) * 2003-07-03 2005-11-15 Reflectivity, Inc Micromirror array having reduced gap between adjacent micromirrors of the micromirror array
US7027200B2 (en) * 2002-03-22 2006-04-11 Reflectivity, Inc Etching method used in fabrications of microstructures
EP1556325A4 (en) 2002-09-20 2007-09-19 Integrated Dna Tech Inc Anthraquinone quencher dyes, their methods of preparation and use
US6913942B2 (en) 2003-03-28 2005-07-05 Reflectvity, Inc Sacrificial layers for use in fabrications of microelectromechanical devices
US6980347B2 (en) * 2003-07-03 2005-12-27 Reflectivity, Inc Micromirror having reduced space between hinge and mirror plate of the micromirror
US7645704B2 (en) * 2003-09-17 2010-01-12 Texas Instruments Incorporated Methods and apparatus of etch process control in fabrications of microstructures
US7468323B2 (en) * 2004-02-27 2008-12-23 Micron Technology, Inc. Method of forming high aspect ratio structures
US7771563B2 (en) * 2004-11-18 2010-08-10 Sumitomo Precision Products Co., Ltd. Systems and methods for achieving isothermal batch processing of substrates used for the production of micro-electro-mechanical-systems
US7365016B2 (en) * 2004-12-27 2008-04-29 Dalsa Semiconductor Inc. Anhydrous HF release of process for MEMS devices
US20060196525A1 (en) * 2005-03-03 2006-09-07 Vrtis Raymond N Method for removing a residue from a chamber
US8206605B2 (en) * 2006-11-01 2012-06-26 Tokyo Electron Limited Substrate processing method and substrate processing system
US7799656B2 (en) 2007-03-15 2010-09-21 Dalsa Semiconductor Inc. Microchannels for BioMEMS devices
JP5210191B2 (en) * 2009-02-03 2013-06-12 東京エレクトロン株式会社 Silicon nitride film dry etching method
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
CN103476702B (en) * 2010-12-07 2016-02-10 Spts科技有限公司 For the manufacture of the method for Mechatronic Systems
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) * 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
JP5823160B2 (en) * 2011-05-11 2015-11-25 東京エレクトロン株式会社 Deposit removal method
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
JP6494226B2 (en) * 2014-09-16 2019-04-03 東京エレクトロン株式会社 Etching method
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9431268B2 (en) 2015-01-05 2016-08-30 Lam Research Corporation Isotropic atomic layer etch for silicon and germanium oxides
US9425041B2 (en) 2015-01-06 2016-08-23 Lam Research Corporation Isotropic atomic layer etch for silicon oxides using no activation
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
CN113506731A (en) * 2016-10-08 2021-10-15 北京北方华创微电子装备有限公司 Manufacturing process of integrated circuit
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (en) 2018-02-28 2021-01-21 美商應用材料股份有限公司 Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
WO2019226341A1 (en) 2018-05-25 2019-11-28 Lam Research Corporation Thermal atomic layer etch with rapid temperature cycling
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
WO2020014065A1 (en) 2018-07-09 2020-01-16 Lam Research Corporation Electron excitation atomic layer etch
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
WO2020054476A1 (en) 2018-09-13 2020-03-19 セントラル硝子株式会社 Method and device for etching silicon oxide
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JPWO2021182311A1 (en) 2020-03-13 2021-09-16

Citations (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3194703A (en) 1961-07-05 1965-07-13 Philips Corp Method of treating bodies of semiconductive material by chemically etching with an acid etching liquid
US3272748A (en) 1964-06-29 1966-09-13 Western Electric Co Etching of silicon and germanium
US3592773A (en) 1967-03-23 1971-07-13 Siemens Ag Solvent mixture with nitric acid and hydrofluoric acid for wet chemical etching of silicon
US3598741A (en) 1968-10-07 1971-08-10 Chugai Kasei Co Ltd Acid compound for metal surface
US3677848A (en) 1970-07-15 1972-07-18 Rca Corp Method and material for etching semiconductor bodies
US3813311A (en) 1973-01-24 1974-05-28 Gen Motors Corp Process for etching silicon wafers
US3966517A (en) 1973-10-03 1976-06-29 U.S. Philips Corporation Manufacturing semiconductor devices in which silicon slices or germanium slices are etched and semiconductor devices thus manufactured
US4746397A (en) 1986-01-17 1988-05-24 Matsushita Electric Industrial Co., Ltd. Treatment method for plate-shaped substrate
US4749440A (en) 1985-08-28 1988-06-07 Fsi Corporation Gaseous process and apparatus for removing films from substrates
US4857142A (en) 1988-09-22 1989-08-15 Fsi International, Inc. Method and apparatus for controlling simultaneous etching of front and back sides of wafers
US4871416A (en) 1987-11-19 1989-10-03 Oki Electric Industry Co., Ltd. Method and device for cleaning substrates
US4921572A (en) 1989-05-04 1990-05-01 Olin Corporation Etchant solutions containing hydrogen fluoride and a polyammonium fluoride salt
US5022961A (en) 1989-07-26 1991-06-11 Dainippon Screen Mfg. Co., Ltd. Method for removing a film on a silicon layer surface
US5078832A (en) 1989-05-06 1992-01-07 Dainippon Screen Mfg. Co., Ltd. Method of treating wafer surface
US5112437A (en) 1990-02-20 1992-05-12 Dainippon Screen Mfg. Co., Ltd. Oxide film removing apparatus and removing method thereof using azeotropic vapor mixture
US5228206A (en) 1992-01-15 1993-07-20 Submicron Systems, Inc. Cluster tool dry cleaning system
US5234540A (en) 1992-04-30 1993-08-10 Submicron Systems, Inc. Process for etching oxide films in a sealed photochemical reactor

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5158100A (en) * 1989-05-06 1992-10-27 Dainippon Screen Mfg. Co., Ltd. Wafer cleaning method and apparatus therefor
JP2833946B2 (en) * 1992-12-08 1998-12-09 日本電気株式会社 Etching method and apparatus
JP3553939B2 (en) * 1993-05-13 2004-08-11 インターユニヴァーシテアー マイクロエレクトロニカ セントラム フェレニギング ゾンデル ビンシュトベヤーク Semiconductor processing method using a mixture of HF and carboxylic acid

Patent Citations (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3194703A (en) 1961-07-05 1965-07-13 Philips Corp Method of treating bodies of semiconductive material by chemically etching with an acid etching liquid
US3272748A (en) 1964-06-29 1966-09-13 Western Electric Co Etching of silicon and germanium
US3592773A (en) 1967-03-23 1971-07-13 Siemens Ag Solvent mixture with nitric acid and hydrofluoric acid for wet chemical etching of silicon
US3598741A (en) 1968-10-07 1971-08-10 Chugai Kasei Co Ltd Acid compound for metal surface
US3677848A (en) 1970-07-15 1972-07-18 Rca Corp Method and material for etching semiconductor bodies
US3813311A (en) 1973-01-24 1974-05-28 Gen Motors Corp Process for etching silicon wafers
US3966517A (en) 1973-10-03 1976-06-29 U.S. Philips Corporation Manufacturing semiconductor devices in which silicon slices or germanium slices are etched and semiconductor devices thus manufactured
US4749440A (en) 1985-08-28 1988-06-07 Fsi Corporation Gaseous process and apparatus for removing films from substrates
US4746397A (en) 1986-01-17 1988-05-24 Matsushita Electric Industrial Co., Ltd. Treatment method for plate-shaped substrate
US4871416A (en) 1987-11-19 1989-10-03 Oki Electric Industry Co., Ltd. Method and device for cleaning substrates
US4857142A (en) 1988-09-22 1989-08-15 Fsi International, Inc. Method and apparatus for controlling simultaneous etching of front and back sides of wafers
US4921572A (en) 1989-05-04 1990-05-01 Olin Corporation Etchant solutions containing hydrogen fluoride and a polyammonium fluoride salt
US5078832A (en) 1989-05-06 1992-01-07 Dainippon Screen Mfg. Co., Ltd. Method of treating wafer surface
US5022961A (en) 1989-07-26 1991-06-11 Dainippon Screen Mfg. Co., Ltd. Method for removing a film on a silicon layer surface
US5022961B1 (en) 1989-07-26 1997-05-27 Dainippon Screen Mfg Method for removing a film on a silicon layer surface
US5112437A (en) 1990-02-20 1992-05-12 Dainippon Screen Mfg. Co., Ltd. Oxide film removing apparatus and removing method thereof using azeotropic vapor mixture
US5228206A (en) 1992-01-15 1993-07-20 Submicron Systems, Inc. Cluster tool dry cleaning system
US5234540A (en) 1992-04-30 1993-08-10 Submicron Systems, Inc. Process for etching oxide films in a sealed photochemical reactor

Non-Patent Citations (15)

* Cited by examiner, † Cited by third party
Title
Abstract, Toke, 1987 Derwent Publications Ltd.
Bersin, R.L., "The Dry Ox Process for Etching Silicon Dioxide", Solid State Technology, Apr. 1977, pp. 78-80.
Butterbaugh, J.W., Hiatt, C.F. and Gray, D.C.; "Gas-Phase Etching of Silicon Oxide with Anhydrous HF and Isopropanol", Third Int'l Symposium on Cleaning Technology in Semiconductor Development Mfg., Subcommittee of Electrochemical Society, Oct. 15-20, 1993.
Deal, B.E. and Helms, R., "Vapor Phase Wafer Cleaning Technology", Handbook of Semiconductor Wafer Cleaning Technology, pp. 274-297.
Donovan, R., et al., "Semiconductor Wafer Cleaning Technology", Intensive Course, Feb. 23-24, 1993.
Ito, T., "Wafer Dry Cleaning With Photo-Excited Halogen Radicals", Proceedings-Institute of Environmental Sciences, pp. 808-813, 1991.
Izumi, A., Matsuka, T., Takeuchi, T. and Yamano, A., "A New Cleaning Method By Using Anhyrous HF/CH<SUB>3</SUB>OH Vapor System." in: Ruzyllo, J., Novak, R.E., Proceedings of the Second International Symposium on Cleaning Technology in Semiconductro Device Manufacturing, the Electrochemical Society Softbound Proceedings Series (Pennington, NJ 1992), pp. 260-267.
Jackman, R.B., Ebert, H. and Foord, J.S.; Reaction Mechanisms For The Photon-Enhanced Etching Of Semiconductors: An Investigation Of The UV-Stimulated Interaction of Chlorine With Si(100), Surface Science 176 (1986) 183-192.
Kao, D.B., Cairns, B.R., and Deal, B.E., "Vapor-Phase pre-Cleans for Furnace-Grown and Rapid-Thermal Thin Oxides." in: Ruzyllo, J., Novak, R.E., Proceedings of the Second International Symposium on Cleaning Technology in Semiconductor Device Manufactoring, the Electrochemical Society Softbound Proceedings Series (Pennington, NJ 1992), pp. 251-259.
Miki, N., Kikuyama, H., Kawanale, I. Miyashita M., and Ohmi T.; "Gas-Phase Selective Etching Of Native Oxide", IEEE 37:1 (1190) 107-115.
Miki, N., Kikuyama, H., Maeno, M., Murota, J., and Ohmi, T.; "Selective Etching of Native Oxide By Dry Processing Using Ultra Clean Anhydrous Hydrogen Fluoride", 1988 IEEE, 730-733.
Philipossian, A.; "The Activity of HF/H<SUB>2</SUB>O Treated Silicon Surfaces in Ambient Air Before And After Gate Oxidation", J. Electrochem. Soc., vol. 139, No. 10, Oct. 1992, 2956-2961.
Ruzyllo, J., "Dry Cleaning Processes", Intensive Course on Feb. 23-24, 1993 in Austin, Texas.
Ruzyllo, J., "Overview of Dry Wafer Cleaning Processes", Chapter 5 in: Handbook of Silicon Wafer Cleaning Technology, pp. 274-297, Noyes Publications, 1993.
Ruzyllo, J., Torek, K., Daffron, C., Grant, R., and Novak, R.; "Etching of thermal Oxides in Low Pressure Anhydrous HF/CH<SUB>3</SUB>OH Gas Mixture at Elevated Temperature", J. Electrochem. Soc., vol. 140, No. 4, Apr. 1993.

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050066993A1 (en) * 2003-08-29 2005-03-31 Kazuhide Hasebe Thin film forming apparatus and method of cleaning the same
US7520937B2 (en) * 2003-08-29 2009-04-21 Tokyo Electron Limited Thin film forming apparatus and method of cleaning the same
US20060008926A1 (en) * 2004-02-27 2006-01-12 Micron Technology, Inc. Semiconductor fabrication that includes surface tension control
US20060007764A1 (en) * 2004-02-27 2006-01-12 Micron Technology, Inc. Semiconductor fabrication that includes surface tension control
US7214978B2 (en) 2004-02-27 2007-05-08 Micron Technology, Inc. Semiconductor fabrication that includes surface tension control

Also Published As

Publication number Publication date
JPH0881788A (en) 1996-03-26
US5439553A (en) 1995-08-08
EP0677870A2 (en) 1995-10-18
EP0677870A3 (en) 1996-01-10

Similar Documents

Publication Publication Date Title
USRE38760E1 (en) Controlled etching of oxides via gas phase reactions
EP0801606B1 (en) Method for treating a surface
KR100332402B1 (en) Semiconductor treatment method using HF and carboxylic acid mixture
US5679215A (en) Method of in situ cleaning a vacuum plasma processing chamber
US6740247B1 (en) HF vapor phase wafer cleaning and oxide etching
US5234540A (en) Process for etching oxide films in a sealed photochemical reactor
TW556337B (en) Semiconductor device production method and semiconductor device production apparatus
US5620559A (en) Hydrogen radical processing
Ogawa et al. Dry cleaning technology for removal of silicon native oxide employing hot NH3/NF3 exposure
WO2005114715A1 (en) Method for cleaning substrate surface
JPH09232299A (en) In situ cleaning of cvd apparatus
JP3175924B2 (en) Thermal cleaning method with nitrogen trifluoride and oxygen
Sha et al. Plasma etching selectivity of ZrO 2 to Si in BCl 3/Cl 2 plasmas
Zhou et al. Real‐time, in situ monitoring of room‐temperature silicon surface cleaning using hydrogen and ammonia plasmas
US6664184B2 (en) Method for manufacturing semiconductor device having an etching treatment
US6294102B1 (en) Selective dry etch of a dielectric film
US6395192B1 (en) Method and apparatus for removing native oxide layers from silicon wafers
JPH03204932A (en) Removal of coating film on silicon layer and selective removal of silicon natural oxide film
JP2632293B2 (en) Selective removal method of silicon native oxide film
JPH0748482B2 (en) Method for cleaning substrate surface after removal of oxide film
JP2950785B2 (en) Dry etching method for oxide film
WO2004001808A2 (en) Method and system for atomic layer removal and atomic layer exchange
US6107166A (en) Vapor phase cleaning of alkali and alkaline earth metals
WO2000046838A2 (en) Hf vapor phase wafer cleaning and oxide etching
JPH1098019A (en) Surface cleaning

Legal Events

Date Code Title Description
REMI Maintenance fee reminder mailed
FPAY Fee payment

Year of fee payment: 12

SULP Surcharge for late payment

Year of fee payment: 11

AS Assignment

Owner name: JPMORGAN CHASE BANK, N.A., AS ADMINISTRATIVE AGENT, ILLINOIS

Free format text: SECURITY INTEREST;ASSIGNOR:SPTS TECHNOLOGIES LIMITED;REEL/FRAME:035364/0295

Effective date: 20150401

Owner name: JPMORGAN CHASE BANK, N.A., AS ADMINISTRATIVE AGENT

Free format text: SECURITY INTEREST;ASSIGNOR:SPTS TECHNOLOGIES LIMITED;REEL/FRAME:035364/0295

Effective date: 20150401

AS Assignment

Owner name: SPTS TECHNOLOGIES LIMITED, UNITED KINGDOM

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:JPMORGAN CHASE BANK, N.A.;REEL/FRAME:039257/0026

Effective date: 20160623