USRE36113E - Method for fine-line interferometric lithography - Google Patents

Method for fine-line interferometric lithography Download PDF

Info

Publication number
USRE36113E
USRE36113E US08/635,565 US63556596A USRE36113E US RE36113 E USRE36113 E US RE36113E US 63556596 A US63556596 A US 63556596A US RE36113 E USRE36113 E US RE36113E
Authority
US
United States
Prior art keywords
interference pattern
photosensitive layer
pattern
subsequent
exposure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
US08/635,565
Inventor
Steven R. J. Brueck
Saleem Zaidi
An-Shyang Chu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Science and Technology Corp
Original Assignee
University of New Mexico UNM
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by University of New Mexico UNM filed Critical University of New Mexico UNM
Priority to US08/635,565 priority Critical patent/USRE36113E/en
Assigned to NEW MEXICO, UNIVERSITY OF reassignment NEW MEXICO, UNIVERSITY OF ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CHU, AN-SHYANG, BRUECK, STEVEN R.J., ZAIDI, SALEEM
Application granted granted Critical
Publication of USRE36113E publication Critical patent/USRE36113E/en
Assigned to SCIENCE & TECHNOLOGY CORPORATION reassignment SCIENCE & TECHNOLOGY CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: NEW MEXICO, UNIVERSITY OF, THE
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70383Direct write, i.e. pattern is written directly without the use of a mask by one or multiple beams
    • G03F7/704Scanned exposure beam, e.g. raster-, rotary- and vector scanning
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0005Production of optical devices or components in so far as characterised by the lithographic processes or materials used therefor
    • G03F7/001Phase modulating patterns, e.g. refractive index patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2022Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70408Interferometric lithography; Holographic lithography; Self-imaging lithography, e.g. utilizing the Talbot effect
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S430/00Radiation imagery chemistry: process, composition, or product thereof
    • Y10S430/146Laser beam
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S430/00Radiation imagery chemistry: process, composition, or product thereof
    • Y10S430/153Multiple image producing on single receiver

Definitions

  • This invention relates to microelectronic circuits and more particularly to the use of interferometric patterning in optical lithography to produce complex, high density integrated circuit structures.
  • DRAM dynamic random access memory
  • Imaging optical lithography in which a mask image is projected onto a photoresist layer on the wafer, dominates today's manufacturing.
  • Two equations describing the optical diffraction of the optical system determine the characteristics of the image.
  • the minimum resolution, r is proportional to the lens numerical aperture, or
  • the present invention provides complex, two-dimensional patterns in integrated circuits through the use of multiple grating exposures on the same or different photoresist layers and the use of complex amplitude and phase masks in one or both of the beams of illuminating coherent radiation.
  • Complex, two-dimensional patterns as used herein means a pattern of multiple, interconnected and/or unconnected straight or curved lines or bodies spaced apart from each other.
  • Extreme submicron range means distances of the order of 0.1 ⁇ m or 100 nm or less between lines.
  • Interferometric lithography may be combined with conventional lithography for the production of extreme submicrometer structures and the flexible interconnect technology necessary to produce useful structures.
  • a critical dimension (CD) of the order of 60 nm with a pitch of 187 nm is obtainable through the process of the invention.
  • a pitch of 124 nm and a CD of 41 nm can be attained.
  • Further extension to a ArF excimer laser at 193 nm will proportionately reduce these dimensions.
  • this technique can be adapted to produce still smaller structures.
  • the photoresist exposure process of the invention takes advantage of the fact that, in terms of dimensional thicknesses of photoresists (typically 1-2 ⁇ m), there are no DOF limitations for the two interfering coherent optical beams. That is, for two interfering plane waves, there is no z or depth dependence of the pattern in the direction bisecting their propagation directions.
  • the depth of field dependence is set usually by the shorter of the beam cofocal parameter or, less usually, the laser coherence length.
  • the confocal parameter is many centimeters.
  • the laser coherence length is on the order of meters.
  • the DOF of interferometric lithography is essentially unlimited on the micrometer scale of the thin-films employed in semiconductor manufacturing.
  • Another feature of the process in accordance with the invention involves the provision of large dimensions over which a sub-micron structure may be fabricated.
  • Interferometrically defined gratings have long been available with dimensions up to 5 ⁇ 25 cm 2 or larger, approximately a factor of 10 larger in linear dimension than the typical field sizes of today's integrated circuits. Further, this can be achieved at ultraviolet wavelengths for which photoresist is already well developed.
  • FIGS. 1 and 2 are diagrammatic views of alternative versions of apparatus employed to carry out the process of the invention
  • FIG. 3 is a scanning electron microscopic (SEM) view of an exposed and developed latent image in a photoresist from which patterns may be formed in a semiconductor wafer;
  • FIGS. 4-7 are SEM views of different complex two-dimensional patterns produced from the developed photoresist image in FIG. 3 in a semiconductor wafer depending on the kind of transfer process used;
  • FIGS. 8-14 are SEM views of other complex two-dimensional patterns fabricated in semiconductive material in accordance with the invention.
  • FIG. 15 is a view of a cross section of a phase-amplitude mask in accordance with an embodiment of the invention.
  • FIGS. 16-19 are schematic views of exposure stages illustrating the process of making an interdigitated or interleaved structure in accordance with an embodiment of the invention.
  • FIG. 20 is a SEM view of an interdigitated structure produced by the method outlined.
  • FIG. 21 is an illustration of an embodiment of the invention when used in combination with conventional imaging lithography to produce a single, isolated line constituting the pattern.
  • a wafer 11 having a photosensitive layer 13 and substrate 14 is positioned on a movable table 15.
  • the table 15 is supported on a shaft 17 and is arranged to be rotated and translated in two-dimensions respectively via controls 19 and 21 which control mechanical rotational and translational motion producing motors and linkages generally indicated by the numeral 23.
  • the motors and linkages 23 and controls 19 and 21 need not be shown in detail since they are well known in the art and may be of any suitable well known construction.
  • Coherent optical beams 25 and 27 provided by any suitable well known source or sources are directed at a variable angle A from the vertical or system axis 29 toward each other and toward the photoresist layer 13 to form an interference pattern on the photosensitive layer 13.
  • the arrangement shown in FIG. 2 is identical to that of FIG. 1 with the addition of a phase-amplitude mask 31 in the path of beam 25 or a phase-amplitude mask 33 in the path of both beams 25 and 27 in their interference region at the surface of the photosensitive layer 13, or both
  • the beams 25 and 27 of coherent radiation may be lasers and may be provided in any suitable well known manner so that they are from the same source and are essentially equal in intensity at the wafer which assures a high contrast exposure.
  • the complex interference pattern produced on the photoresist layer or layers is varied by (a) rotating the wafer, (b) translating the wafer, (c) both rotating and translating the wafer, (d) changing the angle A, (e) varying the number of exposures, (f) varying the optical intensity. (g) using a phase/amplitude mask in one or both illuminating beams of coherent radiation, or (h) employing any combination of (a)-(g). Further flexibility is offered by a combination of any of (a)-(g) with conventional or imaging lithography techniques as are well known.
  • a single or multiple set of interferometric exposures are carried out in photosensitive layer.
  • the subsequent pattern is then developed and transferred to a semiconductor substrate by any of the well known commercially available techniques.
  • This substrate is then again recoated with a photoresistive layer, and single or multiple exposure processes can be repeated with the aid of the alignment position sensing arrangement described in Brueck, et al. in U.S. Pat No. 4,987,461.
  • the image depicted is a rectilinear array of circular dots on the photosensitive layer about 300 nm apart from each other in the x and y axes.
  • the photoresist layer is developed and transferred into the Si sample by a plasma-etch process.
  • the interiors of the circles are etched into the Si.
  • a potentially very large scale application of structures such as this is in the fabrication of field-emission flat panel displays which require large fields (up to large-screen television size or greater) of submicrometer field emitter tips.
  • This lithography is preferably be carried out on glass plates which are much less polished than today's Si wafers.
  • FIG. 3 may then be transformed into the grating structure shown in FIGS. 4-7 by any of several well known processes as follows: FIG. 4--by plasma etching into silicon; FIG. 5--by reactive ion etching into GaAs; FIG. 6--by wet chemical etching into silicon, and FIG. 7--by ion beam milling into silicon.
  • FIGS. 8-14 the patterns shown therein are produced by plasma etching from photoresists having complex images thereon produced by the imaging scheme of the present invention as indicated in the following table 1:
  • Phase/amplitude masks may take any desired form depending on the desired pattern.
  • the mask 41 shown in FIG. 15 has two thickness-varied (i.e., path length-varied on the scale of the frequency of the coherent beam radiation), phase modification sections 43 and 45 and two amplitude or shadow or stenciled sections 47 and 49.
  • a mask need only have phase or amplitude portions or both.
  • FIG. 19 An example of patterning employing a mask is provided in the highly useful, interleaved or interdigitated structure shown in the embodiment of the invention of FIGS. 16-19.
  • the end result pattern shown in FIG. 19 is produced by first exposing a 1- ⁇ m pitch grating over the entire area of the photoresist to produce the exposed photoresist image pattern shown in FIG. 167.
  • two sequential exposures are made through a simple shadow mask (e.g., a mask such as is shown in FIG. 15 with either shadow portion 47 or 49) at twice the pitch (2 ⁇ m) over the top and bottom halves of the wafer as shown in FIGS. 17 and 18.
  • a simple shadow mask e.g., a mask such as is shown in FIG. 15 with either shadow portion 47 or 49
  • the wafer is then translated by 1 ⁇ m between these two later exposures so that alternate lines of the original grating are eliminated above and below the pattern to produce the pattern shown in FIG. 19.
  • the structure shown in the SEM of FIG. 20 was fabricated by the foregoing process.
  • the following Table II shows the steps taken to produce the image shown in FIG. 20.
  • the image in the photosensitive layer 13 is essentially the same as the image produced by plasma etching, and in producing the image, the wafer was not rotated about axis 29 and instead was translated and apertures were located in the position 33 as shown in FIG. 2.
  • Such an interdigitated structure with submicrometer spaces of about 100 nm between the fingers has application. for example, as a large area submicrometer particle detector by fabricating an interdigitated metal grid structure and monitoring the conductivity induced by small numbers of particles shorting out the fingers.
  • FIG. 21 shows an embodiment of the invention used in combination with conventional lithography.
  • the combining of the interferometric lithography of the present invention with conventional imaging lithography adds other possibilities to the structures that may be fabricated.
  • FIG. 21 illustrates the fabrication of an isolated line with a submicrometer critical dimension (CD) using a relatively coarse pitch (say 1-2 ⁇ m) grating structure and isolating a single line with a box defined by conventional lithography.
  • a grating 51 is exposed on the photosensitive layer using a 1 ⁇ m pitch.
  • the next exposure is made via a mask to provide a 1.5 ⁇ m wide box 53 which masks out the other lines of the grating.
  • the end result is the desired single line 55 which will result after appropriate fabrication such as plasma etching.
  • Single lines have immediate use, for example, as the gate structure in high-speed field-effect transistors (FET).
  • FET field-effect transistors
  • Commercial devices currently have gate dimensions of ⁇ 0.25 ⁇ m, fabricated by c-beam lithography.
  • Laboratory research devices have been made with gates as small as 5 nm using focused ion-beam lithography. Both of these are serial processes in which each gate must be written sequentially resulting in low throughput and yield.
  • the present invention offers the possibility of parallel writing of submicrometer gates throughout a large field of view circuit or set of circuits, very much as integrated circuits are conventionally fabricated. This will result in dramatically reduced manufacturing cost and improved yield.

Abstract

In microelectronic processing, the method of producing complex, two-dimensional patterns on a photosensitive layer with dimensions in the extreme submicron range. A photosensitive layer is first exposed to two beams of coherent radiation to form an image of a first interference pattern on the surface of the layer. The layer is subsequently exposed to one or more interference pattern(s) that differ from the first interference pattern in some way, such as by varying the incident angle of the beams, the optical intensity, the periodicity, rotational orientation, translational position, by using complex amplitude or phase masks in one or both of the coherent beams, or a combination of the above. Desired regions of the complex pattern thus produced are isolated with a further exposure of the photosensitive layer using any conventional lithography.

Description

.Iadd.The U.S. Government has a paid-up license in this invention and the right in limited circumstances to require the patent owner to license others on reasonable terms as provided for by the terms of Contract No. 502-MC-91 with Semantech and Contract No. AFOSR F490-89-C-0028 with the Air Force Office of Scientific Research.
This application is a reissue of Ser. No. 07/945,776, now U.S. Pat. No. 5,415,835..Iaddend.
FIELD OF INVENTION
This invention relates to microelectronic circuits and more particularly to the use of interferometric patterning in optical lithography to produce complex, high density integrated circuit structures.
BACKGROUND OF THE INVENTION
The miniaturization of integrated circuits has been underway ever since the first demonstration of an integrated circuit Using dynamic random access memory (DRAM) as a benchmark, current expectations of device generations, dates of peak production, and lithography critical dimension are: (4 Mb, 1994, 0.8 μm); (16 Mb, 1997, 0.5 μm); (64 Mb, 1999, 0.35 μμ); (256 Mb, 2003, 0.25 μm) and (1 Gb, 2006, 0.15 μm) projections from R. J. Kopp, Semiconductor International 15, 34-41 (1992)!. In the industry news section in the same issue of this trade magazine (page 11), there is a report of a MICROTECH 2000 workshop cosponsored by the National Advisory Committee on Semiconductors (NACS) and the Office of Science and Technology Policy (OSTP). The reported recommendation relative to lithography is: "An experimental lithography capability that can print features of 0.10 to 0.15 μm will be required by 1994 in sufficient volumes to allow essential process and manufacturing equipment development This need may require new electron-beam mask or direct wafer writing tools, or a capability in advanced X-Ray or phase-shift optical lithography. Research and development for several lithography alternatives will have to be supported for the next several few years to determine what system is best suited for production."
Imaging optical lithography, in which a mask image is projected onto a photoresist layer on the wafer, dominates today's manufacturing. Two equations describing the optical diffraction of the optical system determine the characteristics of the image. The minimum resolution, r, is proportional to the lens numerical aperture, or
r˜1/NA
and the depth of focus (DOF)
DOF˜1/(NA).sup.2
where 1 is the wavelength and NA the lens numerical aperture. These simple equations point out some of the difficulties in extending optical lithography to the extreme submicrometer regime, ie., about 0.1 μm or 100 nm. Refractive optics are available only up to approximately 200 nm at shorter wavelengths almost all materials become strongly absorptive and unusable. There are several efforts underway to use reflective optics at short wavelengths. However, there remain significant materials problems, particularly at X-Ray wavelengths and the NAs of these systems are significantly lower than for refractive systems, giving away some of the wavelength advantage for imaging small areas.
Considerable interest and attention have been given to new X-ray lenses based on grazing incidence filamentary propagation through hollow "waveguides." This remains a difficult problem without a demonstration of a high-efficiency, high numerical aperture, manufacturable lens with a field-of-view that can accommodate today's growing field sizes. From the experience of longer wavelength optical lithography using refractive lenses, the optical train can easily be the most complex and expensive part of a lithography tool.
The progression to short wavelengths to improve the minimum resolution carries a concomitant penalty in the reduction of the depth-of-focus (DOF). This has motivated efforts at multilayer resists with strong absorption layers, as well as efforts at improved planarization of circuits to eliminate topographic variations that would cause different parts of the circuit to image at different heights. This small DOF is a major concern for submicrometer lithography.
Briefly, major issues facing extension of conventional lithography to the extreme submicron regime (0.1 μm) include: source technology (issues are uniformity, spectral bandwidth, repeatability, reliability, etc.); the imaging system (again refractive optics become impossible below ˜200 nm and reflective optics have inherently smaller numerical apertures); the mask technology (there are significant issues related to vibration, heating and distortion in X-Ray masks which must be fabricated on pellicle substrates because of the strong X-Ray absorption of most materials); and the resist technology.
For many years periodic line and space gratings in the extreme submicron range have been fabricated by use of two interfering coherent beams. For two beams incident at angles θ and -θ to the surface normal, the period of the interference pattern is λ(2 sin θ). For readily available wavelengths (361-nm Ar-ion laser) and angles (θ˜75° ) this gives a period as small as 187 nm. The resulting grating pattern is a periodic line and space array; the critical dimensions of the lines are adjustable using nonlinearities in the expose and develop processes to roughly 1/3 of this dimension or 60 nm.
SUMMARY OF THE INVENTION
The present invention provides complex, two-dimensional patterns in integrated circuits through the use of multiple grating exposures on the same or different photoresist layers and the use of complex amplitude and phase masks in one or both of the beams of illuminating coherent radiation. ("Complex, two-dimensional patterns" as used herein means a pattern of multiple, interconnected and/or unconnected straight or curved lines or bodies spaced apart from each other. "Extreme submicron range" means distances of the order of 0.1 μm or 100 nm or less between lines.) Interferometric lithography may be combined with conventional lithography for the production of extreme submicrometer structures and the flexible interconnect technology necessary to produce useful structures. Generally, a critical dimension (CD) of the order of 60 nm with a pitch of 187 nm is obtainable through the process of the invention. Although with the use of a KrF excimer laser at 248 nm, a pitch of 124 nm and a CD of 41 nm can be attained. Further extension to a ArF excimer laser at 193 nm will proportionately reduce these dimensions. In general, as laser technology continues to evolve and results in shorter wavelength coherent sources, this technique can be adapted to produce still smaller structures.
In view of the close tolerances involved in producing patterns and microelectronic integrated circuits in accordance with the present invention, accurate alignment and position sensing is important. In that connection, the arrangements shown and described in Brueck et al, U.S. Pat. No. 4,987,461, and in Brueck et al. U.S. patent application Ser. No. 07/599,949, filed on Oct. 10, 1990, now U.S. Pat No. 5,343,292, may be used to particular advantage.
The photoresist exposure process of the invention takes advantage of the fact that, in terms of dimensional thicknesses of photoresists (typically 1-2 μm), there are no DOF limitations for the two interfering coherent optical beams. That is, for two interfering plane waves, there is no z or depth dependence of the pattern in the direction bisecting their propagation directions. For coherent optical beams, the depth of field dependence is set usually by the shorter of the beam cofocal parameter or, less usually, the laser coherence length. For larger laser spots the confocal parameter is many centimeters. For typical cw lasers, i.e. Ar-ion lasers at 361 nm, the laser coherence length is on the order of meters. The DOF of interferometric lithography is essentially unlimited on the micrometer scale of the thin-films employed in semiconductor manufacturing.
Another feature of the process in accordance with the invention involves the provision of large dimensions over which a sub-micron structure may be fabricated. Interferometrically defined gratings have long been available with dimensions up to 5×25 cm2 or larger, approximately a factor of 10 larger in linear dimension than the typical field sizes of today's integrated circuits. Further, this can be achieved at ultraviolet wavelengths for which photoresist is already well developed.
BRIEF DESCRIPTION OF THE DRAWINGS
Reference is now made to the drawings in which like reference numerals refer to like parts and in which
FIGS. 1 and 2 are diagrammatic views of alternative versions of apparatus employed to carry out the process of the invention;
FIG. 3 is a scanning electron microscopic (SEM) view of an exposed and developed latent image in a photoresist from which patterns may be formed in a semiconductor wafer;
FIGS. 4-7 are SEM views of different complex two-dimensional patterns produced from the developed photoresist image in FIG. 3 in a semiconductor wafer depending on the kind of transfer process used;
FIGS. 8-14 are SEM views of other complex two-dimensional patterns fabricated in semiconductive material in accordance with the invention;
FIG. 15 is a view of a cross section of a phase-amplitude mask in accordance with an embodiment of the invention;
FIGS. 16-19 are schematic views of exposure stages illustrating the process of making an interdigitated or interleaved structure in accordance with an embodiment of the invention;
FIG. 20 is a SEM view of an interdigitated structure produced by the method outlined, and
FIG. 21 is an illustration of an embodiment of the invention when used in combination with conventional imaging lithography to produce a single, isolated line constituting the pattern.
DETAILED DESCRIPTION OF THE INVENTION
Referring to FIGS. 1 and 2, a wafer 11 having a photosensitive layer 13 and substrate 14 is positioned on a movable table 15. The table 15 is supported on a shaft 17 and is arranged to be rotated and translated in two-dimensions respectively via controls 19 and 21 which control mechanical rotational and translational motion producing motors and linkages generally indicated by the numeral 23. The motors and linkages 23 and controls 19 and 21 need not be shown in detail since they are well known in the art and may be of any suitable well known construction.
Coherent optical beams 25 and 27 provided by any suitable well known source or sources are directed at a variable angle A from the vertical or system axis 29 toward each other and toward the photoresist layer 13 to form an interference pattern on the photosensitive layer 13. The arrangement shown in FIG. 2 is identical to that of FIG. 1 with the addition of a phase-amplitude mask 31 in the path of beam 25 or a phase-amplitude mask 33 in the path of both beams 25 and 27 in their interference region at the surface of the photosensitive layer 13, or both The beams 25 and 27 of coherent radiation may be lasers and may be provided in any suitable well known manner so that they are from the same source and are essentially equal in intensity at the wafer which assures a high contrast exposure.
In accordance with the invention the complex interference pattern produced on the photoresist layer or layers is varied by (a) rotating the wafer, (b) translating the wafer, (c) both rotating and translating the wafer, (d) changing the angle A, (e) varying the number of exposures, (f) varying the optical intensity. (g) using a phase/amplitude mask in one or both illuminating beams of coherent radiation, or (h) employing any combination of (a)-(g). Further flexibility is offered by a combination of any of (a)-(g) with conventional or imaging lithography techniques as are well known.
As an alternative method, first a single or multiple set of interferometric exposures are carried out in photosensitive layer. The subsequent pattern is then developed and transferred to a semiconductor substrate by any of the well known commercially available techniques. This substrate is then again recoated with a photoresistive layer, and single or multiple exposure processes can be repeated with the aid of the alignment position sensing arrangement described in Brueck, et al. in U.S. Pat No. 4,987,461.
Referring to FIG. 3, the image depicted is a rectilinear array of circular dots on the photosensitive layer about 300 nm apart from each other in the x and y axes. The photoresist layer is developed and transferred into the Si sample by a plasma-etch process. The interiors of the circles are etched into the Si. A potentially very large scale application of structures such as this is in the fabrication of field-emission flat panel displays which require large fields (up to large-screen television size or greater) of submicrometer field emitter tips. This lithography is preferably be carried out on glass plates which are much less polished than today's Si wafers.
In accordance with the invention, the image of FIG. 3 is produced on the photosensitive layer 13 by two exposures of the layer to an interference pattern produced by the two coherent optical beams 25 and 27 as follows: With a wavelength of 488 nm for each laser beam 25 and 27 and angle A=50 degrees, the photosensitive layer is subjected to a first exposure with the period of the interference pattern being 0.3 microns and a second exposure with the same period and other parameters but with the wafer rotated 90 degrees about the axis 29. The length of each exposure depends upon the nature of the photoresist and the optical wavelength and intensity and, as an illustration, for a photoresist comprising KTI 1350, is about 60 seconds.
The pattern shown in FIG. 3 may then be transformed into the grating structure shown in FIGS. 4-7 by any of several well known processes as follows: FIG. 4--by plasma etching into silicon; FIG. 5--by reactive ion etching into GaAs; FIG. 6--by wet chemical etching into silicon, and FIG. 7--by ion beam milling into silicon.
Turning to FIGS. 8-14, the patterns shown therein are produced by plasma etching from photoresists having complex images thereon produced by the imaging scheme of the present invention as indicated in the following table 1:
              TABLE 1
______________________________________
                 Period     Rotation
                                    Beam Angle
FIG     Exposure (mm)       (deg)   (deg)
______________________________________
8       First    1.0 micron 0-deg   14-deg
        Second   2.0        0-deg   7-deg
        Third    1.0        90-deg  14-deg
        Fourth   2.0        90-deg  7-deg
9       First    1.0 micron 0-deg   14-deg
        Second   1.5        0-deg   9.4-deg
        Third    1.0        90-deg  14-deg
        Fourth   1.5        90-deg  9.4-deg
10      First    0.6 micron 0-deg   24-deg
        Second   0.7        0-deg   20.4-deg
        Third    0.8        0-deg   17.8-deg
        Fourth   0.6        90-deg  24-deg
        Fifth    0.7        90-deg  20.4-deg
        Sixth    0.8        90-deg  17.8-deg
11      First    0.95 micron
                            0-deg   15-deg
        Second   1.0        0-deg   14-deg
        Third    0.95       90-deg  15-deg
        Fourth   1.0        85-deg  14-deg
12      First    0.95       0-deg   15-deg
        Second   1.0        5-deg   14-deg
        Third    0.95       90-deg  15-deg
        Fourth   1.0        85-deg  14-deg
13      First    0.95 micron
                            0-deg   15-deg
        Second   1.0        5-deg   14-deg
        Third    0.95       90-deg  15-deg
        Fourth   1.0        90-deg  14-deg
14      First    0.95 micron
                            0-deg   15-deg
        Second   1.0        0-deg0  14-deg
        Third    0.95       90-deg  15-deg
        Fourth   1.0        90-deg  14-deg
______________________________________
It is clear from the images produced in connection with FIGS. 3 thru 14 that, in accordance with the invention, many other complex patterns may be produced in the manner described. The examples discussed in connection with FIGS. 3-14 by no means exhaust the rich array of possibilities of patterns including those required for highly repetitive integrated circuit elements such as DRAMs Of course, for these applications an aperiodic wiring pattern must ultimately be superimposed on this structure in any suitable well-known manner. For DRAMS even the interconnection patter is highly regular since these circuits are usually addressed in a matrix fashion. Only at the periphery of the DRAM region do highly aperiodic patterns occur.
It is understood that in accordance with the invention a very wide range of structures that can be fabricated. The aerial image for each exposure is simply a sine function:
I(x)=A{1+sin (qx+φ)}                                   (1)
where the amplitude A, period 2p/q and phase f are set by the incident optical beams. Nolinearities in the exposure, develop and etch processes result in a higher-order terms in a Fourier series expansion at the same period and phase as the original image. That is:
S(x)=ΣA.sub.n sin (nqx+φ)                        (2)
where S(x) is the resulting pattern on the wafer and the coefficients An are the result of these nonlinear processes. Most often, the An will be a monotonically decreasing function of n. Finally, with multiple exposures the result for the pattern is:
S(r)=ΣΣA.sub.nm sin (nq.sub.m ·r+φ.sub.m).(3)
This is a two-dimensional Fourier transform, and thus, in accordance with the invention, any pattern definable by the transform can be synthesized. As a practical matter, this is restricted in the range of |9m| to 4π/2 and, of course, there is no independent control of each Anm. Nevertheless, the transform provides the basic rule giving rise to the very large variety of patterns that may be realized through patterning in accordance with the principles of the invention.
Additional flexibility in pattern generation may be introduced through the use of amplitude and/or phase masks for one or both of the exposure beams. Phase/amplitude masks may take any desired form depending on the desired pattern. The mask 41 shown in FIG. 15 has two thickness-varied (i.e., path length-varied on the scale of the frequency of the coherent beam radiation), phase modification sections 43 and 45 and two amplitude or shadow or stenciled sections 47 and 49. Of course, a mask need only have phase or amplitude portions or both.
An example of patterning employing a mask is provided in the highly useful, interleaved or interdigitated structure shown in the embodiment of the invention of FIGS. 16-19. The end result pattern shown in FIG. 19 is produced by first exposing a 1-μm pitch grating over the entire area of the photoresist to produce the exposed photoresist image pattern shown in FIG. 167. Next, two sequential exposures are made through a simple shadow mask (e.g., a mask such as is shown in FIG. 15 with either shadow portion 47 or 49) at twice the pitch (2 μm) over the top and bottom halves of the wafer as shown in FIGS. 17 and 18.
The wafer is then translated by 1 μm between these two later exposures so that alternate lines of the original grating are eliminated above and below the pattern to produce the pattern shown in FIG. 19.
The structure shown in the SEM of FIG. 20 was fabricated by the foregoing process. The following Table II shows the steps taken to produce the image shown in FIG. 20. In this case, the image in the photosensitive layer 13 is essentially the same as the image produced by plasma etching, and in producing the image, the wafer was not rotated about axis 29 and instead was translated and apertures were located in the position 33 as shown in FIG. 2.
              TABLE II
______________________________________
                    Beam
                Period   Translation
                                Angle  Aperture
FIG No.
       Exp      (μm)  (μm)
                                (deg.) location
______________________________________
20     first    1.0      0.0    14     none
       second   2.0      0.0    7      top
       third    2.0      1.0    7      bottom
______________________________________
Such an interdigitated structure with submicrometer spaces of about 100 nm between the fingers has application. for example, as a large area submicrometer particle detector by fabricating an interdigitated metal grid structure and monitoring the conductivity induced by small numbers of particles shorting out the fingers.
Except for the arrangement of the present invention, no other technique exists that can be used to economically fabricate these interleaved structures over very large areas with extreme sub-micrometer dimensions. These structures are also useful for high-speed optical detectors where the transit times across the sub-micrometer gap determines the detector speed. Indeed, this interdigitated structure is commonly used for a wide array of sensors. The capability provided by interferometric lithography of the present invention will enhance the functionality of many of these devices.
Reference is now made to FIG. 21 which shows an embodiment of the invention used in combination with conventional lithography. In general, the combining of the interferometric lithography of the present invention with conventional imaging lithography adds other possibilities to the structures that may be fabricated. As one example, FIG. 21 illustrates the fabrication of an isolated line with a submicrometer critical dimension (CD) using a relatively coarse pitch (say 1-2 μm) grating structure and isolating a single line with a box defined by conventional lithography. Specifically, as shown in the figure, a grating 51 is exposed on the photosensitive layer using a 1 μm pitch. The next exposure is made via a mask to provide a 1.5 μm wide box 53 which masks out the other lines of the grating. The end result is the desired single line 55 which will result after appropriate fabrication such as plasma etching.
Single lines have immediate use, for example, as the gate structure in high-speed field-effect transistors (FET). Commercial devices currently have gate dimensions of ˜0.25 μm, fabricated by c-beam lithography. Laboratory research devices have been made with gates as small as 5 nm using focused ion-beam lithography. Both of these are serial processes in which each gate must be written sequentially resulting in low throughput and yield. The present invention offers the possibility of parallel writing of submicrometer gates throughout a large field of view circuit or set of circuits, very much as integrated circuits are conventionally fabricated. This will result in dramatically reduced manufacturing cost and improved yield.

Claims (12)

We claim:
1. In microelectronic processing, the method of producing a two-dimensional complex pattern on a photosensitive layer said pattern containing structures with dimensions in the extreme submicron range, comprising the steps of:
a) exposing the photosensitive layer for a first time to two beams of coherent radiation which form an image of a first interference pattern on the surface of said layer;
b) exposing the photosensitive layer for at least one subsequent time to two beams of coherent radiation which form an image of at least one subsequent interference pattern, such that said subsequent interference pattern or patterns referenced to the photosensitive layer are each different from the first pattern;
c) isolating desired regions of said complex pattern with a further exposure of the photosensitive layer using any conventional lithography.
2. The method of claim 1 wherein the photosensitive layer is rotated between exposures such that each subsequent interference pattern differs in rotational orientation relative to said first interference pattern.
3. The method of claim 1 wherein the photosensitive layer is translated between exposures such that each subsequent interfere pattern is offset from said first interference pattern.
4. The method of claim 1 wherein the photosensitive layer is both rotated and translated between exposures such that each subsequent interference pattern different from said first interference pattern in both rotational orientation and in translational position.
5. The method of claim 1 wherein at least one of said beams of the second or subsequent exposures of the photosensitive layer is varied in amplitude such that each subsequent interference pattern differs from said first interference pattern.
6. The method of claim 1 wherein at least one of said beams of the second or subsequent exposures of the photosensitive layer is varied in phase such that each subsequent interference pattern differs from said first interference pattern.
7. The method of claim 1 wherein at least one of said beams of the second or subsequent exposures of the photosensitive layer is varied in phase and amplitude such that each subsequent interference pattern differs from said first interference pattern.
8. The method of claim 1 wherein the periodicity of the interference pattern of at least one said second or subsequent exposures of the photosensitive layer is varied such that each subsequent interference pattern differs from said first interference pattern.
9. In microelectronic processing, the method of producing a single isolated line of extreme submicron dimensions on a photosensitive layer comprising the steps of:
a) exposing the photosensitive layer for a first time to two beams of coherent radiation such that an image of an interference pattern is formed on said layer;
b) isolating a portion of a single line within said interference pattern by a second exposure of the photosensitive layer using conventional optical lithography.
10. In microelectronic processing, a method of producing interdigitated structures on a photosensitive layer, comprising the steps of:
a) exposing a defined area of the photosensitive layer with a first interference pattern, having a period p1, said defined area being bounded by two side edges approximately parallel to the lines of constant exposure dose and by top and bottom edges approximately perpendicular to the lines of constant exposure dose;
b) exposing a second defined area containing the top edge of the first defined area with a second interference pattern of period p2 equal to twice p1 and with lines of constant exposure parallel to those of the first interference pattern, said second interference pattern being positioned relative to the first interference pattern such that every other unexposed region of the first exposure pattern within the second defined area is exposed;
c) exposing a third defined area containing the bottom edge of the fist defined area with a third interference pattern of period p2 equal to twice p1 and with lines of constant exposure parallel to those of the first interference pattern, said third interference pattern being positioned relative to the first and second interference patterns such that every other unexposed region of the first exposure pattern within the third defined area is exposed, said unexposed regions being connected to unexposed regions alternate to those exposed in step b.
11. The method of claim 10 wherein the second and third exposures of steps b and c are replaced by a single second exposure of period p2 equal to twice p1 and with lines of constant exposure parallel to those of the first interference pattern, and further, in which both interfering beams of the second exposure pass through a mask with two transparent holes that map the second exposure into two areas at the photosensitive layer containing said top edge and said bottom edge, respectively, there further being a net phase shift of 1/2 period between the two resulting interference patterns at the photosensitive layer caused by optical path length differences in the transparent mask areas, said interference patterns being disposed to simultaneously expose every other unexposed region of said first exposure within the illuminated areas. .Iadd.
12. An apparatus for producing a two-dimensional complex pattern on a photosensitive layer, said pattern containing structures with dimensions in the extreme submicron range, comprising:
a movable table;
a wafer positioned on said movable table, said wafer having a surface; and,
a source of coherent radiation which forms subsequent images of interference patterns on said surface of said wafer, said source providing at least two beam paths, said radiation having an amplitude, phase, angle, intensity and periodicity..Iaddend..Iadd.13. The apparatus of claim 12, wherein said table communicates with a means for rotation and a means for translation..Iaddend..Iadd.14. The apparatus of claim 12, wherein said wafer has a photosensitive layer and a substrate..Iaddend..Iadd.15. The apparatus of claim 12, wherein said source has a means for varying the amplitude of said radiation, a means for varying the phase of said radiation, a means for varying the angle of said radiation, a means for varying the optical intensity of said radiation and a means for varying the periodicity of said interference pattern..Iaddend..Iadd.16. The apparatus of claim 12, further comprising a means for dividing said coherent radiation into said beam paths, each of said beam paths having coherent radiation of essentially equal intensity at said wafer, thereby assuring a high contrast exposure..Iaddend..Iadd.17. The apparatus of claim 12, further comprising a phase-amplitude mask, said mask intercepting at least one of said beam paths..Iaddend..Iadd.18. A method for producing a two-dimensional complex pattern on a photosensitive layer, with dimensions in the extreme submicron range, in a stepwise manner by reducing the field aperture to increase the source coherence..Iaddend.
US08/635,565 1992-09-16 1996-04-22 Method for fine-line interferometric lithography Expired - Lifetime USRE36113E (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US08/635,565 USRE36113E (en) 1992-09-16 1996-04-22 Method for fine-line interferometric lithography

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US07/945,776 US5415835A (en) 1992-09-16 1992-09-16 Method for fine-line interferometric lithography
US08/635,565 USRE36113E (en) 1992-09-16 1996-04-22 Method for fine-line interferometric lithography

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US07/945,776 Reissue US5415835A (en) 1992-09-16 1992-09-16 Method for fine-line interferometric lithography

Publications (1)

Publication Number Publication Date
USRE36113E true USRE36113E (en) 1999-02-23

Family

ID=25483540

Family Applications (2)

Application Number Title Priority Date Filing Date
US07/945,776 Ceased US5415835A (en) 1992-09-16 1992-09-16 Method for fine-line interferometric lithography
US08/635,565 Expired - Lifetime USRE36113E (en) 1992-09-16 1996-04-22 Method for fine-line interferometric lithography

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US07/945,776 Ceased US5415835A (en) 1992-09-16 1992-09-16 Method for fine-line interferometric lithography

Country Status (1)

Country Link
US (2) US5415835A (en)

Cited By (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6218089B1 (en) * 1998-05-22 2001-04-17 Micron Technology, Inc. Photolithographic method
US6233044B1 (en) 1997-01-21 2001-05-15 Steven R. J. Brueck Methods and apparatus for integrating optical and interferometric lithography to produce complex patterns
US20020034014A1 (en) * 2000-07-31 2002-03-21 Gretton Geoffrey B. Microlens arrays having high focusing efficiency
US6391528B1 (en) * 2000-04-03 2002-05-21 3M Innovative Properties Company Methods of making wire grid optical elements by preferential deposition of material on a substrate
US20030089690A1 (en) * 2001-09-10 2003-05-15 Semiconductor Energy Laboratory Co., Ltd. Laser apparatus, laser irradiation method, semiconductor manufacturing method, semiconductor device, and electronic equipment
US6583041B1 (en) 2000-05-01 2003-06-24 Advanced Micro Devices, Inc. Microdevice fabrication method using regular arrays of lines and spaces
US20030194651A1 (en) * 2000-06-15 2003-10-16 De Voe Robert J. Multicolor imaging using multiphoton photochemical processes
US20040012872A1 (en) * 2001-06-14 2004-01-22 Fleming Patrick R Multiphoton absorption method using patterned light
US20040042937A1 (en) * 2000-06-15 2004-03-04 Bentsen James G Process for producing microfluidic articles
US20040126694A1 (en) * 2000-06-15 2004-07-01 Devoe Robert J. Microfabrication of organic optical elements
US20040124563A1 (en) * 2000-06-15 2004-07-01 Fleming Patrick R. Multipass multiphoton absorption method and apparatus
US6830850B1 (en) * 2001-03-16 2004-12-14 Advanced Micro Devices, Inc. Interferometric lithography using reflected light from applied layers
US20050083514A1 (en) * 2003-10-20 2005-04-21 Infineon Technologies North America Corp. Optical measurement of device features using lenslet array illumination
US20050083532A1 (en) * 1999-06-24 2005-04-21 Asml Lithography Division Methods for making holographic reticles for characterizing optical systems
US20050133437A1 (en) * 2003-12-17 2005-06-23 Intel Corporation Sieving media from planar arrays of nanoscale grooves, method of making and method of using the same
US20050146795A1 (en) * 2000-07-31 2005-07-07 Gretton Geoffrey B. Microlens arrays having high focusing efficiency
US20050208431A1 (en) * 2000-06-15 2005-09-22 Devoe Robert J Multiphoton curing to provide encapsulated optical elements
US20050219550A1 (en) * 2000-02-15 2005-10-06 Asml Holding N.V. Apparatus for optical system coherence testing
US20070139633A1 (en) * 2005-12-20 2007-06-21 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using interferometric and maskless exposure units
US20070153250A1 (en) * 2005-12-29 2007-07-05 Asml Holding N.V. Interferometric lithography system and method used to generate equal path lengths of interfering beams
US20070258078A1 (en) * 2006-05-04 2007-11-08 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using interferometric and other exposure
US20070263269A1 (en) * 2006-05-15 2007-11-15 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7443514B2 (en) 2006-10-02 2008-10-28 Asml Holding N.V. Diffractive null corrector employing a spatial light modulator
US20090117491A1 (en) * 2007-08-31 2009-05-07 Applied Materials, Inc. Resolution enhancement techniques combining interference-assisted lithography with other photolithography techniques
US7751030B2 (en) 2005-02-01 2010-07-06 Asml Holding N.V. Interferometric lithographic projection apparatus
US7790353B2 (en) 2000-06-15 2010-09-07 3M Innovative Properties Company Multidirectional photoreactive absorption method
US8582079B2 (en) 2007-08-14 2013-11-12 Applied Materials, Inc. Using phase difference of interference lithography for resolution enhancement
US8934084B2 (en) 2006-05-31 2015-01-13 Asml Holding N.V. System and method for printing interference patterns having a pitch in a lithography system
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films

Families Citing this family (101)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5426010A (en) * 1993-02-26 1995-06-20 Oxford Computer, Inc. Ultra high resolution printing method
US6042998A (en) * 1993-09-30 2000-03-28 The University Of New Mexico Method and apparatus for extending spatial frequencies in photolithography images
US5705321A (en) * 1993-09-30 1998-01-06 The University Of New Mexico Method for manufacture of quantum sized periodic structures in Si materials
DE4408507A1 (en) * 1994-03-14 1995-09-28 Heidelberg Instruments Mikrotechnik Gmbh Lithographic process
JP2605674B2 (en) * 1995-02-20 1997-04-30 日本電気株式会社 Fine pattern forming method
US5759744A (en) * 1995-02-24 1998-06-02 University Of New Mexico Methods and apparatus for lithography of sparse arrays of sub-micrometer features
US5680588A (en) * 1995-06-06 1997-10-21 International Business Machines Corporation Method and system for optimizing illumination in an optical photolithography projection imaging system
EP2290364A1 (en) 1996-04-25 2011-03-02 BioArray Solutions Ltd. Light-controlled electrokinetic assembly of particles near surfaces
US7041510B2 (en) 1996-04-25 2006-05-09 Bioarray Solutions Ltd. System and method for programmable illumination pattern generation
US6387707B1 (en) * 1996-04-25 2002-05-14 Bioarray Solutions Array Cytometry
US6958245B2 (en) 1996-04-25 2005-10-25 Bioarray Solutions Ltd. Array cytometry
US7144119B2 (en) * 1996-04-25 2006-12-05 Bioarray Solutions Ltd. System and method for programmable illumination pattern generation
AU3222397A (en) 1996-06-10 1998-01-07 Holographic Lithography Systems Process for modulating interferometric lithography patterns to record selected discrete patterns in photoresist
EP0979426A4 (en) * 1996-06-10 2000-02-16 Holographic Lithography System Holographic patterning method and tool for production environments
FR2751785A1 (en) * 1996-07-29 1998-01-30 Commissariat Energie Atomique METHOD AND DEVICE FOR FORMING PATTERNS IN A PHOTOSENSITIVE RESIN LAYER BY CONTINUOUS LASER INSOLATION, APPLICATION TO THE MANUFACTURE OF EMISSIVE MICROPOINT CATHODE ELECTRON SOURCES AND FLAT SCREENS
WO1998032054A1 (en) * 1997-01-21 1998-07-23 The University Of New Mexico Methods and apparatus for integrating optical and interferometric lithography to produce complex patterns
JP3766165B2 (en) * 1997-03-07 2006-04-12 株式会社ニコン Image forming method and photosensitive material
US20030027126A1 (en) 1997-03-14 2003-02-06 Walt David R. Methods for detecting target analytes and enzymatic reactions
US7622294B2 (en) 1997-03-14 2009-11-24 Trustees Of Tufts College Methods for detecting target analytes and enzymatic reactions
US6806477B1 (en) 1997-05-23 2004-10-19 Canon Kabushiki Kaisha Position detection device, apparatus using the same, exposure apparatus, and device manufacturing method using the same
EP0880078A3 (en) * 1997-05-23 2001-02-14 Canon Kabushiki Kaisha Position detection device, apparatus using the same, exposure apparatus, and device manufacturing method using the same
US6534242B2 (en) 1997-11-06 2003-03-18 Canon Kabushiki Kaisha Multiple exposure device formation
JP3101594B2 (en) * 1997-11-06 2000-10-23 キヤノン株式会社 Exposure method and exposure apparatus
TW358236B (en) * 1997-12-19 1999-05-11 Nanya Technology Corp Improved local silicon oxidization method in the manufacture of semiconductor isolation
EP0939343A1 (en) * 1998-02-26 1999-09-01 Canon Kabushiki Kaisha Exposure method and exposure apparatus
FR2775797B1 (en) * 1998-03-04 2000-03-31 Commissariat Energie Atomique DEVICE AND METHOD FOR FORMING LITHOGRAPHY PATTERNS USING AN INTERFEROMETER
US6377337B1 (en) 1998-05-02 2002-04-23 Canon Kabushiki Kaisha Projection exposure apparatus
TW460758B (en) 1998-05-14 2001-10-21 Holographic Lithography System A holographic lithography system for generating an interference pattern suitable for selectively exposing a photosensitive material
EP0964305A1 (en) * 1998-06-08 1999-12-15 Corning Incorporated Method of making a photonic crystal
US6069739A (en) * 1998-06-30 2000-05-30 Intel Corporation Method and lens arrangement to improve imaging performance of microlithography exposure tool
US6021009A (en) * 1998-06-30 2000-02-01 Intel Corporation Method and apparatus to improve across field dimensional control in a microlithography tool
JP3123548B2 (en) 1998-06-30 2001-01-15 キヤノン株式会社 Exposure method and exposure apparatus
US6930754B1 (en) * 1998-06-30 2005-08-16 Canon Kabushiki Kaisha Multiple exposure method
US6178000B1 (en) 1998-07-08 2001-01-23 International Business Machines Corporation Monolithic symmetric interferometer for generation of variable-periodicity patterns for lithography
US6670080B2 (en) 1998-07-21 2003-12-30 Canon Kabushiki Kaisha Mask pattern creating method and mask pattern creating apparatus
JP3352405B2 (en) 1998-09-10 2002-12-03 キヤノン株式会社 Exposure method, device manufacturing method using the same, and semiconductor device
US6013396A (en) * 1998-10-30 2000-01-11 Advanced Micro Devices, Inc. Fabrication of chrome/phase grating phase shift mask by interferometric lithography
US6037082A (en) * 1998-10-30 2000-03-14 Advanced Micro Devices, Inc. Design of a new phase shift mask with alternating chrome/phase structures
US6322933B1 (en) * 1999-01-12 2001-11-27 Siros Technologies, Inc. Volumetric track definition for data storage media used to record data by selective alteration of a format hologram
US6620556B2 (en) 1999-03-15 2003-09-16 Canon Kabushiki Kaisha Mask for multiple exposure
US6140660A (en) * 1999-03-23 2000-10-31 Massachusetts Institute Of Technology Optical synthetic aperture array
EP1203265A4 (en) * 1999-05-20 2006-08-02 California Inst Of Techn Lithography using quantum entangled particles
US6252665B1 (en) 1999-05-20 2001-06-26 California Institute Of Technology Lithography using quantum entangled particles
US6480283B1 (en) 1999-05-20 2002-11-12 California Institute Of Technology Lithography system using quantum entangled photons
US6512606B1 (en) 1999-07-29 2003-01-28 Siros Technologies, Inc. Optical storage media and method for optical data storage via local changes in reflectivity of a format grating
US6322931B1 (en) 1999-07-29 2001-11-27 Siros Technologies, Inc. Method and apparatus for optical data storage using non-linear heating by excited state absorption for the alteration of pre-formatted holographic gratings
US6310850B1 (en) 1999-07-29 2001-10-30 Siros Technologies, Inc. Method and apparatus for optical data storage and/or retrieval by selective alteration of a holographic storage medium
US7167615B1 (en) 1999-11-05 2007-01-23 Board Of Regents, The University Of Texas System Resonant waveguide-grating filters and sensors and methods for making and using same
ES2259666T3 (en) 2000-06-21 2006-10-16 Bioarray Solutions Ltd MOLECULAR ANALYSIS OF MULTIPLE ANALYTICS USING SERIES OF RANDOM PARTICLES WITH APPLICATION SPECIFICITY.
US9709559B2 (en) 2000-06-21 2017-07-18 Bioarray Solutions, Ltd. Multianalyte molecular analysis using application-specific random particle arrays
WO2002025373A2 (en) * 2000-09-13 2002-03-28 Massachusetts Institute Of Technology Method of design and fabrication of integrated circuits using regular arrays and gratings
US7057704B2 (en) * 2000-09-17 2006-06-06 Bioarray Solutions Ltd. System and method for programmable illumination pattern generation
US20030045005A1 (en) * 2000-10-17 2003-03-06 Michael Seul Light-controlled electrokinetic assembly of particles near surfaces
US7262063B2 (en) 2001-06-21 2007-08-28 Bio Array Solutions, Ltd. Directed assembly of functional heterostructures
US7425391B2 (en) * 2001-10-02 2008-09-16 Guobiao Zhang Highly-corrected mask
CA2741049C (en) 2001-10-15 2019-02-05 Bioarray Solutions, Ltd. Multiplexed analysis of polymorphic loci by probe elongation-mediated detection
US7335153B2 (en) * 2001-12-28 2008-02-26 Bio Array Solutions Ltd. Arrays of microparticles and methods of preparation thereof
US6643008B1 (en) * 2002-02-26 2003-11-04 Advanced Micro Devices, Inc. Method of detecting degradation in photolithography processes based upon scatterometric measurements of grating structures, and a device comprising such structures
US6884551B2 (en) * 2002-03-04 2005-04-26 Massachusetts Institute Of Technology Method and system of lithography using masks having gray-tone features
US7651821B2 (en) * 2002-03-04 2010-01-26 Massachusetts Institute Of Technology Method and system of lithography using masks having gray-tone features
JP2003297718A (en) * 2002-03-29 2003-10-17 Seiko Epson Corp Fine hole forming method, semiconductor device manufacturing method, semiconductor device, display, and electronic apparatus
AU2003240931A1 (en) 2002-05-29 2003-12-19 Massachusetts Institute Of Technology A method for photolithography using multiple illuminations and a single fine feature mask
US6864185B2 (en) * 2002-08-16 2005-03-08 Macronix International Co., Ltd. Fine line printing by trimming the sidewalls of pre-developed resist image
US7005235B2 (en) * 2002-12-04 2006-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. Method and systems to print contact hole patterns
US7526114B2 (en) 2002-11-15 2009-04-28 Bioarray Solutions Ltd. Analysis, secure access to, and transmission of array images
US8110345B2 (en) * 2002-12-04 2012-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. High resolution lithography system and method
EP1447714A3 (en) * 2003-02-14 2005-02-16 Paul Scherrer Institut Method for generating a circular periodic structure on a basic support material
WO2004072737A2 (en) * 2003-02-14 2004-08-26 Paul Scherrer Institut Method for generating a circular periodic structure on a basic support material
US20040219464A1 (en) * 2003-05-01 2004-11-04 Dunham Gregory David Diffractive optical elements formed on plastic surface and method of making
WO2005029705A2 (en) 2003-09-18 2005-03-31 Bioarray Solutions, Ltd. Number coding for identification of subtypes of coded types of solid phase carriers
ES2375962T3 (en) 2003-09-22 2012-03-07 Bioarray Solutions Ltd IMMOBILIZED SURFACE POLYELECTROLYTE WITH MULTIPLE FUNCTIONAL GROUPS ABLE TO JOIN COVALENTLY TO BIOMOLECULES.
US20050073671A1 (en) * 2003-10-07 2005-04-07 Intel Corporation Composite optical lithography method for patterning lines of substantially equal width
US20050074698A1 (en) * 2003-10-07 2005-04-07 Intel Corporation Composite optical lithography method for patterning lines of significantly different widths
US7585596B1 (en) * 2003-10-16 2009-09-08 Eric G. Johnson Micro-sculpting using phase masks for projection lithography
US7142282B2 (en) * 2003-10-17 2006-11-28 Intel Corporation Device including contacts
US20050085085A1 (en) * 2003-10-17 2005-04-21 Yan Borodovsky Composite patterning with trenches
US20050088633A1 (en) * 2003-10-24 2005-04-28 Intel Corporation Composite optical lithography method for patterning lines of unequal width
CA2899287A1 (en) 2003-10-28 2005-05-12 Bioarray Solutions Ltd. Optimization of gene expression analysis using immobilized capture probes
AU2004287069B2 (en) 2003-10-29 2009-07-16 Bioarray Solutions, Ltd. Multiplexed nucleic acid analysis by fragmentation of double-stranded DNA
US7444497B2 (en) * 2003-12-30 2008-10-28 Intel Corporation Managing external memory updates for fault detection in redundant multithreading systems using speculative memory support
US20050193283A1 (en) * 2003-12-30 2005-09-01 Reinhardt Steven K. Buffering unchecked stores for fault detection in redundant multithreading systems using speculative memory support
US7256873B2 (en) * 2004-01-28 2007-08-14 Asml Netherlands B.V. Enhanced lithographic resolution through double exposure
US7132327B2 (en) * 2004-05-25 2006-11-07 Freescale Semiconductor, Inc. Decoupled complementary mask patterning transfer method
US7848889B2 (en) 2004-08-02 2010-12-07 Bioarray Solutions, Ltd. Automated analysis of multiplexed probe-target interaction patterns: pattern matching and allele identification
JP4894899B2 (en) * 2004-08-25 2012-03-14 セイコーエプソン株式会社 Manufacturing method of fine structure
JP4389791B2 (en) * 2004-08-25 2009-12-24 セイコーエプソン株式会社 Fine structure manufacturing method and exposure apparatus
JP5106747B2 (en) * 2004-10-27 2012-12-26 ルネサスエレクトロニクス株式会社 PATTERN FORMING METHOD, SEMICONDUCTOR DEVICE MANUFACTURING METHOD, AND EXPOSURE MASK SET
US8486629B2 (en) 2005-06-01 2013-07-16 Bioarray Solutions, Ltd. Creation of functionalized microparticle libraries by oligonucleotide ligation or elongation
US20080057442A1 (en) * 2006-09-06 2008-03-06 Dongbu Hitek Co., Ltd. Method and apparatus for manufacturing band stop filter
CN101344726B (en) * 2007-07-09 2011-08-03 财团法人工业技术研究院 Stepping arrangement type interference microlithography and device thereof
US20090111056A1 (en) * 2007-08-31 2009-04-30 Applied Materials, Inc. Resolution enhancement techniques combining four beam interference-assisted lithography with other photolithography techniques
US20100002210A1 (en) * 2007-08-31 2010-01-07 Applied Materials, Inc. Integrated interference-assisted lithography
US20090091729A1 (en) * 2007-10-05 2009-04-09 Sajan Marokkey Lithography Systems and Methods of Manufacturing Using Thereof
US8715909B2 (en) * 2007-10-05 2014-05-06 Infineon Technologies Ag Lithography systems and methods of manufacturing using thereof
US20090246706A1 (en) * 2008-04-01 2009-10-01 Applied Materials, Inc. Patterning resolution enhancement combining interference lithography and self-aligned double patterning techniques
US20100003605A1 (en) 2008-07-07 2010-01-07 International Business Machines Corporation system and method for projection lithography with immersed image-aligned diffractive element
JP2013145863A (en) 2011-11-29 2013-07-25 Gigaphoton Inc Two-beam interference apparatus and two-beam interference exposure system
US9267822B1 (en) 2012-03-02 2016-02-23 University Of South Florida Systems and methods for evaluating coupled components
CN103376663B (en) * 2012-04-22 2016-08-24 上海微电子装备有限公司 A kind of interference exposure system and exposure method thereof
DE102012209047B4 (en) * 2012-05-30 2015-08-27 Albert-Ludwigs-Universität Freiburg Lithographic apparatus and lithography method
JP6953109B2 (en) * 2015-09-24 2021-10-27 ウシオ電機株式会社 Manufacturing method of structure on substrate

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3591252A (en) * 1968-10-21 1971-07-06 Texas Instruments Inc Large array synthesizing
US4375315A (en) * 1979-04-23 1983-03-01 Thomson-Csf Arc lamp illuminator
US4402571A (en) * 1981-02-17 1983-09-06 Polaroid Corporation Method for producing a surface relief pattern
US4796038A (en) * 1985-07-24 1989-01-03 Ateq Corporation Laser pattern generation apparatus
US4859548A (en) * 1985-11-04 1989-08-22 Gerhard Heise Method for generating a lattice structure with a phase shift on the surface of a substrate
US4997747A (en) * 1984-12-27 1991-03-05 Sharp Kabushiki Kaisha Method for the formation of a diffraction grating
US5142132A (en) * 1990-11-05 1992-08-25 Litel Instruments Adaptive optic wafer stepper illumination system
US5208123A (en) * 1989-05-18 1993-05-04 Pilkington P.E. Limited Hologram construction
US5448332A (en) * 1992-12-25 1995-09-05 Nikon Corporation Exposure method and apparatus

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3591252A (en) * 1968-10-21 1971-07-06 Texas Instruments Inc Large array synthesizing
US4375315A (en) * 1979-04-23 1983-03-01 Thomson-Csf Arc lamp illuminator
US4402571A (en) * 1981-02-17 1983-09-06 Polaroid Corporation Method for producing a surface relief pattern
US4997747A (en) * 1984-12-27 1991-03-05 Sharp Kabushiki Kaisha Method for the formation of a diffraction grating
US4796038A (en) * 1985-07-24 1989-01-03 Ateq Corporation Laser pattern generation apparatus
US4859548A (en) * 1985-11-04 1989-08-22 Gerhard Heise Method for generating a lattice structure with a phase shift on the surface of a substrate
US5208123A (en) * 1989-05-18 1993-05-04 Pilkington P.E. Limited Hologram construction
US5142132A (en) * 1990-11-05 1992-08-25 Litel Instruments Adaptive optic wafer stepper illumination system
US5448332A (en) * 1992-12-25 1995-09-05 Nikon Corporation Exposure method and apparatus

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
Zhou: "Precise Periodicity Control in the Fabrication of Holographic Gratings" in Appl. Optics, 20(8), Apr. 1, 1981, pp. 1270-1272.
Zhou: Precise Periodicity Control in the Fabrication of Holographic Gratings in Appl. Optics, 20(8), Apr. 1, 1981, pp. 1270 1272. *

Cited By (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6233044B1 (en) 1997-01-21 2001-05-15 Steven R. J. Brueck Methods and apparatus for integrating optical and interferometric lithography to produce complex patterns
US6556277B2 (en) 1998-05-22 2003-04-29 Micron Technology, Inc. Photolithographic apparatus
US6218089B1 (en) * 1998-05-22 2001-04-17 Micron Technology, Inc. Photolithographic method
US20050083532A1 (en) * 1999-06-24 2005-04-21 Asml Lithography Division Methods for making holographic reticles for characterizing optical systems
US7242464B2 (en) 1999-06-24 2007-07-10 Asml Holdings N.V. Method for characterizing optical systems using holographic reticles
US7804601B2 (en) 1999-06-24 2010-09-28 Asml Holding N.V. Methods for making holographic reticles for characterizing optical systems
US20050219550A1 (en) * 2000-02-15 2005-10-06 Asml Holding N.V. Apparatus for optical system coherence testing
US6391528B1 (en) * 2000-04-03 2002-05-21 3M Innovative Properties Company Methods of making wire grid optical elements by preferential deposition of material on a substrate
US6583041B1 (en) 2000-05-01 2003-06-24 Advanced Micro Devices, Inc. Microdevice fabrication method using regular arrays of lines and spaces
US7790353B2 (en) 2000-06-15 2010-09-07 3M Innovative Properties Company Multidirectional photoreactive absorption method
US20040126694A1 (en) * 2000-06-15 2004-07-01 Devoe Robert J. Microfabrication of organic optical elements
US20040124563A1 (en) * 2000-06-15 2004-07-01 Fleming Patrick R. Multipass multiphoton absorption method and apparatus
US20100027956A1 (en) * 2000-06-15 2010-02-04 3M Innovative Properties Company Multiphoton curing to provide encapsulated optical elements
US8530118B2 (en) 2000-06-15 2013-09-10 3M Innovative Properties Company Multiphoton curing to provide encapsulated optical elements
US7601484B2 (en) 2000-06-15 2009-10-13 3M Innovative Properties Company Multiphoton curing to provide encapsulated optical elements
US7166409B2 (en) 2000-06-15 2007-01-23 3M Innovative Properties Company Multipass multiphoton absorption method and apparatus
US20040042937A1 (en) * 2000-06-15 2004-03-04 Bentsen James G Process for producing microfluidic articles
US20070087284A1 (en) * 2000-06-15 2007-04-19 3M Innovative Properties Company Multipass multiphoton absorption method and apparatus
US20050208431A1 (en) * 2000-06-15 2005-09-22 Devoe Robert J Multiphoton curing to provide encapsulated optical elements
US20030194651A1 (en) * 2000-06-15 2003-10-16 De Voe Robert J. Multicolor imaging using multiphoton photochemical processes
US20060078831A1 (en) * 2000-06-15 2006-04-13 3M Innovative Properties Company Multiphoton curing to provide encapsulated optical elements
US6835535B2 (en) * 2000-07-31 2004-12-28 Corning Incorporated Microlens arrays having high focusing efficiency
US20050146795A1 (en) * 2000-07-31 2005-07-07 Gretton Geoffrey B. Microlens arrays having high focusing efficiency
US7092165B2 (en) 2000-07-31 2006-08-15 Corning Incorporated Microlens arrays having high focusing efficiency
US20020034014A1 (en) * 2000-07-31 2002-03-21 Gretton Geoffrey B. Microlens arrays having high focusing efficiency
US6830850B1 (en) * 2001-03-16 2004-12-14 Advanced Micro Devices, Inc. Interferometric lithography using reflected light from applied layers
US20040012872A1 (en) * 2001-06-14 2004-01-22 Fleming Patrick R Multiphoton absorption method using patterned light
US20030089690A1 (en) * 2001-09-10 2003-05-15 Semiconductor Energy Laboratory Co., Ltd. Laser apparatus, laser irradiation method, semiconductor manufacturing method, semiconductor device, and electronic equipment
US20070246664A1 (en) * 2001-09-10 2007-10-25 Shunpei Yamazaki Laser apparatus, laser irradiation method, semiconductor manufacturing method, semiconductor device, and electronic equipment
US7589032B2 (en) 2001-09-10 2009-09-15 Semiconductor Energy Laboratory Co., Ltd. Laser apparatus, laser irradiation method, semiconductor manufacturing method, semiconductor device, and electronic equipment
US8044372B2 (en) * 2001-09-10 2011-10-25 Semiconductor Energy Laboratory Co., Ltd. Laser apparatus, laser irradiation method, semiconductor manufacturing method, semiconductor device, and electronic equipment
US7084966B2 (en) 2003-10-20 2006-08-01 Infineon Technologies Ag Optical measurement of device features using lenslet array illumination
US20050083514A1 (en) * 2003-10-20 2005-04-21 Infineon Technologies North America Corp. Optical measurement of device features using lenslet array illumination
US7906026B2 (en) 2003-12-17 2011-03-15 Intel Corporation Sieving media from planar arrays of nanoscale grooves, method of making and method of using the same
US20090078616A1 (en) * 2003-12-17 2009-03-26 Scott Sibbett Sieving media from planar arrays of nanoscale grooves, method of making and method of using the same
US20050133437A1 (en) * 2003-12-17 2005-06-23 Intel Corporation Sieving media from planar arrays of nanoscale grooves, method of making and method of using the same
US7751030B2 (en) 2005-02-01 2010-07-06 Asml Holding N.V. Interferometric lithographic projection apparatus
US7440078B2 (en) 2005-12-20 2008-10-21 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using interferometric and maskless exposure units
US20070139633A1 (en) * 2005-12-20 2007-06-21 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using interferometric and maskless exposure units
US7561252B2 (en) 2005-12-29 2009-07-14 Asml Holding N.V. Interferometric lithography system and method used to generate equal path lengths of interfering beams
US20070153250A1 (en) * 2005-12-29 2007-07-05 Asml Holding N.V. Interferometric lithography system and method used to generate equal path lengths of interfering beams
US20070258078A1 (en) * 2006-05-04 2007-11-08 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using interferometric and other exposure
US8264667B2 (en) 2006-05-04 2012-09-11 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using interferometric and other exposure
US7952803B2 (en) 2006-05-15 2011-05-31 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20070263269A1 (en) * 2006-05-15 2007-11-15 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US8934084B2 (en) 2006-05-31 2015-01-13 Asml Holding N.V. System and method for printing interference patterns having a pitch in a lithography system
US7443514B2 (en) 2006-10-02 2008-10-28 Asml Holding N.V. Diffractive null corrector employing a spatial light modulator
US8582079B2 (en) 2007-08-14 2013-11-12 Applied Materials, Inc. Using phase difference of interference lithography for resolution enhancement
US20090117491A1 (en) * 2007-08-31 2009-05-07 Applied Materials, Inc. Resolution enhancement techniques combining interference-assisted lithography with other photolithography techniques
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films

Also Published As

Publication number Publication date
US5415835A (en) 1995-05-16

Similar Documents

Publication Publication Date Title
USRE36113E (en) Method for fine-line interferometric lithography
US6233044B1 (en) Methods and apparatus for integrating optical and interferometric lithography to produce complex patterns
US7468240B2 (en) Patterning method using photomask
US7659041B2 (en) Lithographic method of manufacturing a device
US6818389B2 (en) Method of design and fabrication of integrated circuits using regular arrays and gratings
US5308741A (en) Lithographic method using double exposure techniques, mask position shifting and light phase shifting
US20050074698A1 (en) Composite optical lithography method for patterning lines of significantly different widths
US7583360B2 (en) Method for photolithography using multiple illuminations and a single fine feature mask
US20050088633A1 (en) Composite optical lithography method for patterning lines of unequal width
US20050073671A1 (en) Composite optical lithography method for patterning lines of substantially equal width
US6096458A (en) Methods for manufacturing photolithography masks utilizing interfering beams of radiation
JP2001126983A (en) Lithography method and apparatus thereof
JPH01283925A (en) Element forming method
US6680150B2 (en) Suppression of side-lobe printing by shape engineering
WO1994019723A1 (en) Resolution-enhancing optical phase structure for a projection illumination system
US5438204A (en) Twin-mask, and method and system for using same to pattern microelectronic substrates
JP2000021722A (en) Exposure method and aligner
US5604060A (en) Halftone phase shift photomask comprising a single layer of halftone light blocking and phase shifting
WO1998018049A1 (en) Sub-micron patterning using optical lithography
US20050151949A1 (en) Process and apparatus for applying apodization to maskless optical direct write lithography processes
JP2000021718A (en) Exposure method and exposure device
JP2002090979A (en) Auxiliary figure used for lithographic projection
Lee et al. Overlay and lens distortion in a modified illumination stepper
JP5091909B2 (en) Lithographic method
KR100875232B1 (en) Improved CCL mask and method and program for generating the mask

Legal Events

Date Code Title Description
AS Assignment

Owner name: NEW MEXICO, UNIVERSITY OF, NEW MEXICO

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:BRUECK, STEVEN R.J.;ZAIDI, SALEEM;CHU, AN-SHYANG;REEL/FRAME:008887/0471;SIGNING DATES FROM 19971203 TO 19971208

FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: SMALL ENTITY

Free format text: PAT HOLDER CLAIMS SMALL ENTITY STATUS - SMALL BUSINESS (ORIGINAL EVENT CODE: SM02); ENTITY STATUS OF PATENT OWNER: SMALL ENTITY

FPAY Fee payment

Year of fee payment: 4

SULP Surcharge for late payment
AS Assignment

Owner name: SCIENCE & TECHNOLOGY CORPORATION, NEW MEXICO

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:NEW MEXICO, UNIVERSITY OF, THE;REEL/FRAME:013169/0823

Effective date: 20020710

FPAY Fee payment

Year of fee payment: 8

FPAY Fee payment

Year of fee payment: 12