US9575494B2 - Mechanisms for processing wafer - Google Patents

Mechanisms for processing wafer Download PDF

Info

Publication number
US9575494B2
US9575494B2 US14/079,791 US201314079791A US9575494B2 US 9575494 B2 US9575494 B2 US 9575494B2 US 201314079791 A US201314079791 A US 201314079791A US 9575494 B2 US9575494 B2 US 9575494B2
Authority
US
United States
Prior art keywords
domain
conduit
gas
exhaust
flow
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active, expires
Application number
US14/079,791
Other versions
US20150129044A1 (en
Inventor
You-Hua Chou
Shih-Hung Chen
Jian-Huah CHIOU
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority to US14/079,791 priority Critical patent/US9575494B2/en
Assigned to TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD. reassignment TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CHEN, SHIH-HUNG, CHIOU, JIAN-HUAH, CHOU, YOU-HUA
Publication of US20150129044A1 publication Critical patent/US20150129044A1/en
Application granted granted Critical
Publication of US9575494B2 publication Critical patent/US9575494B2/en
Active legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05DSYSTEMS FOR CONTROLLING OR REGULATING NON-ELECTRIC VARIABLES
    • G05D7/00Control of flow
    • G05D7/06Control of flow characterised by the use of electric means
    • G05D7/0617Control of flow characterised by the use of electric means specially adapted for fluid materials
    • G05D7/0629Control of flow characterised by the use of electric means specially adapted for fluid materials characterised by the type of regulator means
    • G05D7/0635Control of flow characterised by the use of electric means specially adapted for fluid materials characterised by the type of regulator means by action on throttling means
    • G05D7/0641Control of flow characterised by the use of electric means specially adapted for fluid materials characterised by the type of regulator means by action on throttling means using a plurality of throttling means
    • G05D7/0658Control of flow characterised by the use of electric means specially adapted for fluid materials characterised by the type of regulator means by action on throttling means using a plurality of throttling means the plurality of throttling means being arranged for the control of a single flow from a plurality of converging flows
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/0318Processes
    • Y10T137/0396Involving pressure control
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/7722Line condition change responsive valves
    • Y10T137/7758Pilot or servo controlled
    • Y10T137/7761Electrically actuated valve
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/85978With pump
    • Y10T137/85986Pumped fluid control
    • Y10T137/86002Fluid pressure responsive
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/85978With pump
    • Y10T137/86083Vacuum pump
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/87571Multiple inlet with single outlet
    • Y10T137/87676With flow control
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/87571Multiple inlet with single outlet
    • Y10T137/87676With flow control
    • Y10T137/87684Valve in each inlet

Definitions

  • the product is usually processed at many work stations or process machines. For example, to complete the fabrication of semiconductor wafers, various processing steps including deposition, cleaning, ion implantation, etching and passivation may be carried out before the semiconductor wafers are packaged for shipment.
  • a special environment of either a high vacuum, low vacuum, gas plasma or other chemical environment is provided for the semiconductor wafers in the work stations or process machines.
  • a high vacuum environment is provided to surround the semiconductor wafer.
  • Metal particles sputtered from a metal target can therefore travel toward and be deposited on the exposed surface of the semiconductor wafer.
  • the plasma cloud of a reactant gas is formed over the semiconductor wafer positioned in a process chamber such that deposition of a chemical substance can occur on the semiconductor wafer.
  • contaminants such as particles, organics, gases, metallics, and the like, may adhere or adversely affect the semiconductor wafer, thereby adversely affecting the characteristics of the processed semiconductor device. Also, such contaminants can also be released into the clean room environment, where they may be hazardous to people or the environment.
  • FIG. 1 shows a schematic view of a system for processing a wafer, in accordance with some embodiments.
  • FIG. 2 shows a schematic view of a portion of a system for processing a wafer, in accordance with some embodiments.
  • FIG. 3 shows a schematic view of a system for processing a wafer, in accordance with some embodiments.
  • FIG. 4 shows a diagrammatic view of a portion of a system for processing a wafer, in accordance with some embodiments.
  • FIG. 5 shows a flow chart illustrating a method for processing a wafer, in accordance with some embodiments.
  • first and second features are formed in direct contact
  • additional features may be formed between the first and second features, such that the first and second features may not be in direct contact
  • the processing system 1 includes one or more than one processing apparatus, such as processing apparatuses 50 a , 50 b , and 50 c , a fluid conduit assembly 60 , a flow-control assembly 70 and a gas handling apparatus 80 . It is appreciated that the number of the processing apparatuses 50 a , 50 b , and 50 c can be varied according to different manufacturing procedures.
  • the processing apparatuses 50 a , 50 b , and 50 c are configured to perform manufacturing procedures involved in the processing of one or more than one wafer.
  • the wafer processed by the processing apparatuses 50 a , 50 b , and 50 c may include a semiconductor, conductor, and/or insulator layers.
  • the wafer includes layered semiconductors. Examples include the layering of a semiconductor layer on an insulator such as that used to produce a silicon-on-insulator (SOI) wafer, a silicon-on-sapphire wafer, or a silicon-germanium-on-insulator wafer, or the layering of a semiconductor on glass to produce a thin film transistor (TFT).
  • SOI silicon-on-insulator
  • TFT thin film transistor
  • the wafer may go through many processing steps, such as lithography, etching, and/or doping before a completed die is formed.
  • the processing apparatuses 50 a , 50 b , and 50 c can includes different features.
  • the processing apparatus 50 a includes a process module 100 , a load lock module 200 , an interface module 300 , one or more load port 400 , and one or more wafer transfer module 500 , in accordance with some embodiments. It is appreciated that the features described below can be replaced or eliminated in other embodiments of the processing apparatus 50 a.
  • the process module 100 may be configured to perform any manufacturing procedure on a wafer 10 .
  • the process module 100 is configured to perform manufacturing procedures that include deposition processes such as physical vapor deposition (PVD), chemical vapor deposition (CVD), plasma-enhanced chemical vapor deposition (PECVD) and/or other deposition processes.
  • the process module 100 is configured to perform manufacturing procedures that include etching processes such as wet etching, dry etching or ion beam milling.
  • the process module 100 is configured to perform manufacturing procedures including lithographic exposure, ion implantation, thermal processes, cleaning processes, testing, any procedure involved in the processing of the wafer 10 , and/or any combination of such procedures.
  • the load lock module 200 is arranged between the process module 100 and the interface module 300 .
  • the load lock module 200 is configured for preserving the atmosphere within the process module 100 by separating it from the interface module 300 .
  • the load lock module 200 is sealed.
  • the load lock module 200 is capable of creating an atmosphere compatible with the process module 100 or the interface module 300 depending on where the loaded wafer 10 is scheduled to be next. This can be performed by altering the gas content of the load lock module 200 by such mechanisms as adding gas or creating a vacuum, along with other suitable means for adjusting atmosphere in the load lock module 200 .
  • the wafer 10 can be accessed.
  • the interface module 300 is a facility interface. In some embodiments, the interface module 300 includes an equipment front end module (EFEM). In some embodiments, the load port 400 is adjacent to the interface module 300 . In some embodiments, an overhead hoist transport (OHT) (not shown) transports a carrier 20 , such as a standard mechanical interface (SMIF) or a front opening unified pod (FOUP) with the wafer 10 from a stocker (not shown) to the load port 400 . When the carrier 20 is located on the load port 400 , the wafer 10 in the carrier 20 is transferred to the interface module 300 by the wafer transfer module 500 .
  • SMIF standard mechanical interface
  • FOUP front opening unified pod
  • the wafer transfer module 500 is positioned in the interface module 300 .
  • the processing apparatus 50 a includes multiple wafer transfer modules 500 .
  • One of the wafer transfer modules 500 is positioned in the interface module 300
  • another wafer transfer module 500 is positioned in the process module 100 .
  • a radial and rotational movement of the wafer transfer module 500 can be coordinated or combined in order to pick up, transfer, and deliver the wafer 10 from one location within the processing apparatus 50 a to another.
  • the wafer 10 is transferred between the carrier 20 and the load lock module 200 .
  • the wafer 10 is transferred between the load lock module 200 and one or more processing chamber (not shown in FIG. 2 ) of the process module 100 by the wafer transfer module 500 .
  • the fluid conduit assembly 60 includes a main conduit 61 , a domain conduit 63 , one or more than one gas line, such as gas lines 65 a , 65 b , and 65 c , in accordance with some embodiments.
  • the main conduit 61 is fluidly connected between the gas handling apparatus 80 and the domain conduit 63 .
  • the main conduit 61 allows the flow of gas from the domain conduit 63 to the gas handling apparatus 80 .
  • the processing system 1 includes a number of domain conduits 63 .
  • the domain conduits 63 are fluidly connected to the main conduit 61 , and the flow of gas from each domain conduit 63 is moved to the gas handling apparatus 80 via the main conduit 61 .
  • the main conduit 61 and the domain conduit 63 are located below a manufacturing floor 40 ( FIG. 2 ) at which the processing apparatuses 50 a , 50 b , and 50 c are positioned.
  • the gas lines 65 a , 65 b , and 65 c are respectively fluidly connected between the domain conduit 63 and the processing apparatuses 50 a , 50 b , and 50 c .
  • the gas lines 65 a , 65 b , and 65 c are physically connected to any portion of the processing apparatuses 50 a , 50 b , and 50 c .
  • the gas line 65 a is connected between the process module 100 of the processing apparatus 50 a and the domain conduit 63 .
  • the gas lines 65 a , 65 b , and 65 c are closer to the corresponding processing apparatuses 50 a , 50 b , and 50 c than the main conduit 61 .
  • the gas lines 65 a , 65 b , and 65 c are located in upstream ends of the fluid conduit assembly 60 .
  • the number of gas lines can be varied according to demand. In some embodiments, the number of gas lines corresponds to the number of processing apparatuses. In some other embodiments, the number of the gas lines is greater or less than the number of the processing apparatuses. Some of the processing apparatuses may connect to one or more than one gas line, and some of the processing apparatuses may not connect to a gas line.
  • the cross-sectional area of each of the gas lines 65 a , 65 b , and 65 c is smaller than that of the domain conduit 63 .
  • the gas lines 65 a , 65 b , and 65 c can easily be inserted or removed from the domain conduit 63 so as to allow the quick and easy removal, replacement or addition of processing apparatuses 50 a , 50 b , and 50 c.
  • the flow-control assembly 70 includes a domain control member 71 , and one or more than one local control member, such as local control members 73 a , 73 b , and 73 c , in accordance with some embodiments.
  • the domain control member 71 is positioned in the domain conduit 63 and configured to produce an exhaust flow in the domain conduit 63 and the gas lines 65 a , 65 b , and 65 c , as indicated by the arrows in FIG. 1 .
  • the position of the domain control member 71 can be varied according to demands.
  • the domain control member 71 is positioned in an end of the domain conduit 63 that is connected to the main conduit 61 .
  • the domain control member 71 includes, for example, a fan, a blower or a pump.
  • the domain control member 71 actuates an exhaust flow in the domain conduit 63 , and the gas in the processing apparatuses 50 a , 50 b , and 50 c is exhausted to the gas handling apparatus 80 via the main conduit 61 .
  • the local control members 73 a , 73 b , and 73 c are respectively mounted in the gas lines 65 a , 65 b , and 65 c .
  • the local control members 73 a , 73 b , and 73 c are throttle valves. By adjusting the angle of a valve member of each throttle valve through proper means such as a motor, the amount of the exhaust flow from the corresponding processing apparatuses 50 a , 50 b , and 50 c are regulated.
  • the gas handling apparatus 80 is connected to one end of the main conduit 61 .
  • the gas handling apparatus 80 may contain filtering capacity as well as air movement capabilities.
  • the gas handling apparatus 80 includes a fan (not shown in FIG. 1 ), a filter assembly (not shown in FIG. 1 ) to clean gas, and a gas cooling assembly (not shown in FIG. 1 ) to cool gas.
  • the processing apparatuses 50 a , 50 b , and 50 c there are some toxic gases in the processing apparatuses 50 a , 50 b , and 50 c .
  • the toxic gases can be exhausted from the processing apparatuses 50 a , 50 b , and 50 c and be handled by the gas handling apparatus 80 . Therefore, the contamination risk of the wafer 10 in the processing apparatuses 50 a , 50 b , and 50 c is reduced, and the production yield of the processing system 1 is improved.
  • the exhaust gas from the processing apparatuses 50 a , 50 b , and 50 c is handled by the gas handling apparatus 80 before it is vented out to the exterior environment, the worker can be protected from hazards.
  • the exhaust pressure in the domain conduit 63 is constant.
  • the exhaust pressure in one of the gas lines 65 a , 65 b , and 65 c is modified, the exhaust pressure in the other gas lines 65 a , 65 b , and 65 c may be affected accordingly. For example, if the exhaust pressure in the gas line 65 a is increased, the exhaust pressure in the gas lines 65 b and 65 c will decrease.
  • the content of the gas in each of the processing apparatuses 50 a , 50 b , and 50 c is varied during different operation statuses. Therefore, if the amount of exhaust gas from the corresponding processing apparatuses 50 a , 50 b , and 50 c cannot be modified accordingly, the wafer 10 in the processing apparatuses 50 a , 50 b , and 50 c may become contaminated.
  • differences between the processing system 1 ′ and the processing system 1 include the processing system 1 ′ further including a detection assembly 90 .
  • the detection assembly 90 includes a domain sensor 91 , one or more than one local sensor, such as local sensors 93 a , 93 b , and 93 c , and one or more than one ambient sensor, such as ambient sensors 95 a , 95 b , and 95 c , in accordance with some embodiments.
  • the domain sensor 91 can be positioned in any location in the domain conduit 63 and configured to sense the exhaust pressure in the domain conduit 63 .
  • the domain sensor 91 is positioned in a section of the fluid conduit assembly 60 that is away from the process module.
  • the domain sensor 91 is positioned adjacent to the domain control member 71 .
  • the detection assembly 90 includes multiple domain sensors 91 .
  • One of the domain sensors 91 is mounted in an end portion of the domain conduit 63 that is away from the main conduit 61 .
  • Another one of the domain sensors 91 is mounted in a middle portion of the domain conduit 63 .
  • Still another one of the domain sensors 91 is mounted in the end of the end portion of the domain conduit 63 that is adjacent to the main conduit 61 .
  • the domain sensor 91 include a pitot tube.
  • the local sensors 93 a , 93 b , and 93 c are respectively mounted in the gas lines 65 a , 65 b , and 65 c and configured to sense the exhaust pressure in the corresponding gas lines 65 a , 65 b , and 65 c .
  • each of the local sensors 93 a , 93 b , and 93 c respectively includes a Pitot tube.
  • the ambient sensors 95 a , 95 b , and 95 c are respectively mounted in the processing apparatuses 50 a , 50 b , and 50 c .
  • the ambient sensors 95 a , 95 b , and 95 c are configured to sense ambient temperature in the processing apparatuses 50 a , 50 b , and 50 c .
  • the ambient sensors 95 a , 95 b , and 95 c are configured to sense the concentration of toxic gas in the processing apparatuses 50 a , 50 b , and 50 c.
  • the detection assembly 90 is electrically connected to a control system 5 .
  • the control system 5 includes a fault detection and classification (FDC) system.
  • the FDC system may include a data processor mechanism configured to process the real time data from the detection assembly 90 .
  • the control system 5 controls the operation of the flow-control assembly 70 based on real time data from the detection assembly 90 .
  • FIG. 5 a flow chart illustrating a method 600 for regulating the exhaust gas in a processing system (such as processing system 1 ′) is shown, in accordance with some embodiments.
  • the method 600 begins with operation 601 , in which a fluid conduit assembly is provided (such as the fluid conduit assembly 60 as shown in FIG. 3 ).
  • the fluid conduit assembly 60 is fluidly connected to one or more than one process apparatuses (such as the processing apparatuses 50 a , 50 b , and 50 c as shown in FIG. 3 ).
  • the method 600 continues with operation 602 , in which an exhaust flow is produced by a flow-control assembly (such as the flow-control assembly 70 as shown in FIG. 3 ) in the fluid conduit assembly 60 .
  • a flow-control assembly such as the flow-control assembly 70 as shown in FIG. 3
  • the gas is drawn out from the processing apparatuses 50 a , 50 b , and 50 c to a gas handling apparatus (such as gas handling apparatus 80 ) via the fluid conduit assembly 60 .
  • the method 600 continues with operation 604 , in which the exhaust pressure in the fluid conduit assembly 60 is detected by a detection assembly (such as the detection assembly 90 ).
  • a detection assembly such as the detection assembly 90
  • the exhaust pressure in each of the domain conduit 63 and the gas lines 65 a , 65 b , and 65 c is detected.
  • only the exhaust pressure in some of the gas lines 65 a , 65 b , and 65 c is detected.
  • the exhaust pressure in the gas line 65 c is detected because the gas line 65 c is farthest away from the domain control member 71 and has the lowest exhaust pressure.
  • either the temperature or the concentration of toxic gas in the processing apparatuses 50 a , 50 b , and 50 c is detected by the detection assembly 90 .
  • the method 600 continues with operation 606 , in which the exhaust pressure in each location in the fluid conduit assembly 60 is determined. In some embodiments, if the exhaust pressure in the fluid conduit assembly 60 meet a set point, then the method is continued to operation 608 . There is no need to adjust the flow-control assembly 70 , and the process is continued. On the other hand, if the exhaust pressure in any location in fluid conduit assembly 60 does not meet the set point, then the method is continued to operation 610 . The exhaust flow in the fluid conduit assembly 60 is regulated by the flow-control assembly 70 .
  • the local control member 73 a when the exhaust pressure detected by the local sensor 93 a is larger than the set point, an electrical signal is issued by the local sensor 93 a . Afterwards, the local control member 73 a is operated in response the electrical signal generated by the local sensor 93 a . Therefore, a smaller amount of exhaust flow passing through the gas line 65 a is passed through the local control member 73 a . Namely, the amount of exhaust flow passing through a section of the fluid conduit assembly 60 that is close to the process module 50 a is adjusted.
  • the domain control member 71 is operated in response to the electrical signal generated by the local sensors 93 a , 93 b , and 93 c .
  • an electrical signal is issued by the local sensor 93 a , 93 b , 93 c .
  • the electrical signal results in a decrease of velocity of exhaust flow produced by the gas handling apparatus 80 so as to prevent damage to the flow-control assembly 70 .
  • a malfunction or abnormality in the flow-control assembly 70 occurs, and the exhaust pressure in the fluid conduit assembly 60 is not regulated within a predetermined period of time.
  • An electrical signal is issued. The electrical signal results in an interruption of the exhaust process to await manual intervention in order to correct the problem.
  • the set point is set according to the concentration of toxic gas in the process module 50 a , 50 b , and 50 c .
  • the set point is set according to the temperature in the process module 50 a , 50 b , and 50 c .
  • the concentration of toxic gas in the process module 50 a , 50 b , and 50 c is higher than a standard value, the set point is set to be higher than a normal value. Therefore, the exhaust pressure in the gas lines 65 a , 65 b , and 65 c is adjusted to be higher, and the amount of gas removed from the process module 50 a , 50 b , and 50 c is increased.
  • Embodiments of the disclosure have many advantages. For example, the toxic gas is removed from the process module 100 , as such contamination risk of the wafer 10 is decreased. In addition, since the exhaust pressure in the fluid conduit assembly 60 is monitored and controlled in real time, the exhaust pressure can be maintained stably.
  • Embodiments of mechanisms for exhausting gas from a process module are provided.
  • the exhaust pressure in a fluid conduit assembly is detected by a detection assembly in real time and regulated by a flow-control assembly. Since the exhaust pressure is maintained at a set point, exhaust fluctuation is avoided. Therefore, the manufacturing efficiency and production yield of the wafer are greatly improved.
  • a system for processing a wafer includes a process module and a fluid conduit assembly.
  • the process module is configured to process the wafer.
  • the fluid conduit assembly is fluidly connected to the process module.
  • the system also includes a detection assembly.
  • the detection assembly is positioned in the fluid conduit assembly.
  • the detection assembly is configured to detect the exhaust pressure in the fluid conduit assembly and produce an electrical signal which varies with the exhaust pressure.
  • the system further includes a flow-control assembly.
  • the flow-control assembly is positioned in the fluid conduit assembly.
  • the flow-control assembly is configured to regulate an exhaust flow in the fluid conduit assembly according to the electrical signal produced by the detection assembly.
  • a system for processing a wafer includes a process module and a fluid conduit assembly.
  • the process module is configured to process the wafer.
  • the fluid conduit assembly is fluidly connected to the process module.
  • the system also includes a local sensor.
  • the local sensor is positioned in a section of the fluid conduit assembly that is adjacent to the process module.
  • the local sensor is configured to detect the exhaust pressure in the section of the fluid conduit assembly and produce an electrical signal which varies with the exhaust pressure.
  • the system further includes a flow-control assembly.
  • the flow-control assembly is positioned in the fluid conduit assembly.
  • the flow-control assembly is configured to regulate an exhaust flow in the fluid conduit assembly according to the electrical signal produced by the detection assembly.
  • a method for processing a wafer includes providing a fluid conduit assembly that is connected to a process module used for processing the wafer. The method also producing an exhaust flow in the fluid conduit assembly. The method further includes detecting the exhaust pressure in the fluid conduit assembly. In addition, the method further includes determining whether the exhaust pressure meets a set point. Moreover, the method includes regulating the exhaust flow if the exhaust pressure fails to meet the set point.

Abstract

Embodiments of mechanisms for processing a wafer are provided. A method for processing a wafer includes creating an exhaust flow in a fluid conduit assembly that is connected to a process module used for processing the wafer. The method also includes detecting the exhaust pressure in the fluid conduit assembly. The method further includes determining whether the exhaust pressure meets a set point. In addition, the method includes regulating the exhaust flow if the exhaust pressure fails to meet the set point.

Description

BACKGROUND
In the manufacturing of a product, the product is usually processed at many work stations or process machines. For example, to complete the fabrication of semiconductor wafers, various processing steps including deposition, cleaning, ion implantation, etching and passivation may be carried out before the semiconductor wafers are packaged for shipment.
In the vast majority of the processing steps, a special environment of either a high vacuum, low vacuum, gas plasma or other chemical environment is provided for the semiconductor wafers in the work stations or process machines. For instance, in a sputter process machine, a high vacuum environment is provided to surround the semiconductor wafer. Metal particles sputtered from a metal target can therefore travel toward and be deposited on the exposed surface of the semiconductor wafer. In other process machines, such as in a plasma enhanced chemical vapor deposition chamber, the plasma cloud of a reactant gas is formed over the semiconductor wafer positioned in a process chamber such that deposition of a chemical substance can occur on the semiconductor wafer.
During the manufacturing processes, contaminants such as particles, organics, gases, metallics, and the like, may adhere or adversely affect the semiconductor wafer, thereby adversely affecting the characteristics of the processed semiconductor device. Also, such contaminants can also be released into the clean room environment, where they may be hazardous to people or the environment.
Accordingly, there is a need to control the emission of contaminants during and between the manufacturing processes.
BRIEF DESCRIPTION OF THE DRAWINGS
For a more complete understanding of the embodiments and the advantages thereof, reference is now made to the following descriptions taken in conjunction with the accompanying drawings.
FIG. 1 shows a schematic view of a system for processing a wafer, in accordance with some embodiments.
FIG. 2 shows a schematic view of a portion of a system for processing a wafer, in accordance with some embodiments.
FIG. 3 shows a schematic view of a system for processing a wafer, in accordance with some embodiments.
FIG. 4 shows a diagrammatic view of a portion of a system for processing a wafer, in accordance with some embodiments.
FIG. 5 shows a flow chart illustrating a method for processing a wafer, in accordance with some embodiments.
DETAILED DESCRIPTION OF THE ILLUSTRATIVE EMBODIMENTS
The making and using of various embodiments of the disclosure are discussed in detail below. It should be appreciated, however, that the various embodiments can be embodied in a wide variety of specific contexts. The specific embodiments discussed are merely illustrative, and do not limit the scope of the disclosure.
It is to be understood that the following disclosure provides many different embodiments, or examples, for implementing different features of the disclosure. Specific examples of components and arrangements are described below to simplify the present disclosure. These are, of course, merely examples and are not intended to be limiting. Moreover, the performance of a first process before a second process in the description that follows may include embodiments in which the second process is performed immediately after the first process, and may also include embodiments in which additional processes may be performed between the first and second processes. Various features may be arbitrarily drawn in different scales for the sake of simplicity and clarity. Furthermore, the formation of a first feature over or on a second feature in the description that follows include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features may be formed between the first and second features, such that the first and second features may not be in direct contact.
Some variations of the embodiments are described. Throughout the various views and illustrative embodiments, like reference numbers are used to designate like elements.
Referring to FIG. 1, a schematic view of a processing system 1 is illustrated, in accordance with some embodiments. In some embodiments, the processing system 1 includes one or more than one processing apparatus, such as processing apparatuses 50 a, 50 b, and 50 c, a fluid conduit assembly 60, a flow-control assembly 70 and a gas handling apparatus 80. It is appreciated that the number of the processing apparatuses 50 a, 50 b, and 50 c can be varied according to different manufacturing procedures.
The processing apparatuses 50 a, 50 b, and 50 c are configured to perform manufacturing procedures involved in the processing of one or more than one wafer. The wafer processed by the processing apparatuses 50 a, 50 b, and 50 c may include a semiconductor, conductor, and/or insulator layers. In some embodiments, the wafer includes layered semiconductors. Examples include the layering of a semiconductor layer on an insulator such as that used to produce a silicon-on-insulator (SOI) wafer, a silicon-on-sapphire wafer, or a silicon-germanium-on-insulator wafer, or the layering of a semiconductor on glass to produce a thin film transistor (TFT). The wafer may go through many processing steps, such as lithography, etching, and/or doping before a completed die is formed.
According to the different manufacturing procedures that the processing apparatuses 50 a, 50 b, and 50 c performs, the processing apparatuses 50 a, 50 b, and 50 c can includes different features. For example, as shown in FIG. 2, the processing apparatus 50 a includes a process module 100, a load lock module 200, an interface module 300, one or more load port 400, and one or more wafer transfer module 500, in accordance with some embodiments. It is appreciated that the features described below can be replaced or eliminated in other embodiments of the processing apparatus 50 a.
In some embodiments, the process module 100 may be configured to perform any manufacturing procedure on a wafer 10. In some embodiments, the process module 100 is configured to perform manufacturing procedures that include deposition processes such as physical vapor deposition (PVD), chemical vapor deposition (CVD), plasma-enhanced chemical vapor deposition (PECVD) and/or other deposition processes. In some embodiments, the process module 100 is configured to perform manufacturing procedures that include etching processes such as wet etching, dry etching or ion beam milling. In some embodiments, the process module 100 is configured to perform manufacturing procedures including lithographic exposure, ion implantation, thermal processes, cleaning processes, testing, any procedure involved in the processing of the wafer 10, and/or any combination of such procedures.
The load lock module 200 is arranged between the process module 100 and the interface module 300. The load lock module 200 is configured for preserving the atmosphere within the process module 100 by separating it from the interface module 300. When the wafer 10 is inserted into the load lock module 200, the load lock module 200 is sealed. The load lock module 200 is capable of creating an atmosphere compatible with the process module 100 or the interface module 300 depending on where the loaded wafer 10 is scheduled to be next. This can be performed by altering the gas content of the load lock module 200 by such mechanisms as adding gas or creating a vacuum, along with other suitable means for adjusting atmosphere in the load lock module 200. When the correct atmosphere has been reached, the wafer 10 can be accessed.
In some embodiments, the interface module 300 is a facility interface. In some embodiments, the interface module 300 includes an equipment front end module (EFEM). In some embodiments, the load port 400 is adjacent to the interface module 300. In some embodiments, an overhead hoist transport (OHT) (not shown) transports a carrier 20, such as a standard mechanical interface (SMIF) or a front opening unified pod (FOUP) with the wafer 10 from a stocker (not shown) to the load port 400. When the carrier 20 is located on the load port 400, the wafer 10 in the carrier 20 is transferred to the interface module 300 by the wafer transfer module 500.
In some embodiments, the wafer transfer module 500 is positioned in the interface module 300. In some other embodiments, the processing apparatus 50 a includes multiple wafer transfer modules 500. One of the wafer transfer modules 500 is positioned in the interface module 300, and another wafer transfer module 500 is positioned in the process module 100.
In some embodiments, a radial and rotational movement of the wafer transfer module 500 can be coordinated or combined in order to pick up, transfer, and deliver the wafer 10 from one location within the processing apparatus 50 a to another. For example, with the wafer transfer module 500, the wafer 10 is transferred between the carrier 20 and the load lock module 200. In some other embodiments, the wafer 10 is transferred between the load lock module 200 and one or more processing chamber (not shown in FIG. 2) of the process module 100 by the wafer transfer module 500.
Referring again to FIG. 1, the fluid conduit assembly 60 includes a main conduit 61, a domain conduit 63, one or more than one gas line, such as gas lines 65 a, 65 b, and 65 c, in accordance with some embodiments.
The main conduit 61 is fluidly connected between the gas handling apparatus 80 and the domain conduit 63. The main conduit 61 allows the flow of gas from the domain conduit 63 to the gas handling apparatus 80. In some embodiments, the processing system 1 includes a number of domain conduits 63. The domain conduits 63 are fluidly connected to the main conduit 61, and the flow of gas from each domain conduit 63 is moved to the gas handling apparatus 80 via the main conduit 61. In some embodiments, the main conduit 61 and the domain conduit 63 are located below a manufacturing floor 40 (FIG. 2) at which the processing apparatuses 50 a, 50 b, and 50 c are positioned.
The gas lines 65 a, 65 b, and 65 c are respectively fluidly connected between the domain conduit 63 and the processing apparatuses 50 a, 50 b, and 50 c. In some embodiments, the gas lines 65 a, 65 b, and 65 c are physically connected to any portion of the processing apparatuses 50 a, 50 b, and 50 c. For example, as shown in FIG. 2, the gas line 65 a is connected between the process module 100 of the processing apparatus 50 a and the domain conduit 63. In some embodiments, the gas lines 65 a, 65 b, and 65 c are closer to the corresponding processing apparatuses 50 a, 50 b, and 50 c than the main conduit 61. The gas lines 65 a, 65 b, and 65 c are located in upstream ends of the fluid conduit assembly 60.
The number of gas lines can be varied according to demand. In some embodiments, the number of gas lines corresponds to the number of processing apparatuses. In some other embodiments, the number of the gas lines is greater or less than the number of the processing apparatuses. Some of the processing apparatuses may connect to one or more than one gas line, and some of the processing apparatuses may not connect to a gas line.
In some embodiments, the cross-sectional area of each of the gas lines 65 a, 65 b, and 65 c is smaller than that of the domain conduit 63. In some embodiments, the gas lines 65 a, 65 b, and 65 c can easily be inserted or removed from the domain conduit 63 so as to allow the quick and easy removal, replacement or addition of processing apparatuses 50 a, 50 b, and 50 c.
The flow-control assembly 70 includes a domain control member 71, and one or more than one local control member, such as local control members 73 a, 73 b, and 73 c, in accordance with some embodiments. The domain control member 71 is positioned in the domain conduit 63 and configured to produce an exhaust flow in the domain conduit 63 and the gas lines 65 a, 65 b, and 65 c, as indicated by the arrows in FIG. 1.
The position of the domain control member 71 can be varied according to demands. For example, the domain control member 71 is positioned in an end of the domain conduit 63 that is connected to the main conduit 61. The domain control member 71 includes, for example, a fan, a blower or a pump. The domain control member 71 actuates an exhaust flow in the domain conduit 63, and the gas in the processing apparatuses 50 a, 50 b, and 50 c is exhausted to the gas handling apparatus 80 via the main conduit 61.
The local control members 73 a, 73 b, and 73 c are respectively mounted in the gas lines 65 a, 65 b, and 65 c. In some embodiments, the local control members 73 a, 73 b, and 73 c are throttle valves. By adjusting the angle of a valve member of each throttle valve through proper means such as a motor, the amount of the exhaust flow from the corresponding processing apparatuses 50 a, 50 b, and 50 c are regulated.
The gas handling apparatus 80 is connected to one end of the main conduit 61. According to manufacturing requirements, the gas handling apparatus 80 may contain filtering capacity as well as air movement capabilities. For example, the gas handling apparatus 80 includes a fan (not shown in FIG. 1), a filter assembly (not shown in FIG. 1) to clean gas, and a gas cooling assembly (not shown in FIG. 1) to cool gas.
In some embodiments, there are some toxic gases in the processing apparatuses 50 a, 50 b, and 50 c. Through the arrangement mentioned above, the toxic gases can be exhausted from the processing apparatuses 50 a, 50 b, and 50 c and be handled by the gas handling apparatus 80. Therefore, the contamination risk of the wafer 10 in the processing apparatuses 50 a, 50 b, and 50 c is reduced, and the production yield of the processing system 1 is improved. In addition, since the exhaust gas from the processing apparatuses 50 a, 50 b, and 50 c is handled by the gas handling apparatus 80 before it is vented out to the exterior environment, the worker can be protected from hazards.
However, since the exhaust flow in the domain conduit 63 is mainly actuated by the domain control member 71, the exhaust pressure in the domain conduit 63 is constant. As a result, once the exhaust pressure in one of the gas lines 65 a, 65 b, and 65 c is modified, the exhaust pressure in the other gas lines 65 a, 65 b, and 65 c may be affected accordingly. For example, if the exhaust pressure in the gas line 65 a is increased, the exhaust pressure in the gas lines 65 b and 65 c will decrease.
In addition, in some embodiments, the content of the gas in each of the processing apparatuses 50 a, 50 b, and 50 c is varied during different operation statuses. Therefore, if the amount of exhaust gas from the corresponding processing apparatuses 50 a, 50 b, and 50 c cannot be modified accordingly, the wafer 10 in the processing apparatuses 50 a, 50 b, and 50 c may become contaminated.
Therefore, it is desirable to find an alternative processing system 1′, which is capable of reducing or resolving the problems mentioned above.
Referring to FIG. 3, a schematic view of the processing system 1′ is illustrated, in accordance with some embodiments. In some embodiments, differences between the processing system 1′ and the processing system 1 include the processing system 1′ further including a detection assembly 90. The detection assembly 90 includes a domain sensor 91, one or more than one local sensor, such as local sensors 93 a, 93 b, and 93 c, and one or more than one ambient sensor, such as ambient sensors 95 a, 95 b, and 95 c, in accordance with some embodiments.
The domain sensor 91 can be positioned in any location in the domain conduit 63 and configured to sense the exhaust pressure in the domain conduit 63. In some embodiments, the domain sensor 91 is positioned in a section of the fluid conduit assembly 60 that is away from the process module. For example, the domain sensor 91 is positioned adjacent to the domain control member 71. In some other non-illustrated embodiments, the detection assembly 90 includes multiple domain sensors 91. One of the domain sensors 91 is mounted in an end portion of the domain conduit 63 that is away from the main conduit 61. Another one of the domain sensors 91 is mounted in a middle portion of the domain conduit 63. Still another one of the domain sensors 91 is mounted in the end of the end portion of the domain conduit 63 that is adjacent to the main conduit 61. In some embodiments, the domain sensor 91 include a pitot tube.
The local sensors 93 a, 93 b, and 93 c are respectively mounted in the gas lines 65 a, 65 b, and 65 c and configured to sense the exhaust pressure in the corresponding gas lines 65 a, 65 b, and 65 c. In some embodiments, each of the local sensors 93 a, 93 b, and 93 c respectively includes a Pitot tube.
The ambient sensors 95 a, 95 b, and 95 c are respectively mounted in the processing apparatuses 50 a, 50 b, and 50 c. The ambient sensors 95 a, 95 b, and 95 c, for example, are configured to sense ambient temperature in the processing apparatuses 50 a, 50 b, and 50 c. Alternatively or additionally, the ambient sensors 95 a, 95 b, and 95 c are configured to sense the concentration of toxic gas in the processing apparatuses 50 a, 50 b, and 50 c.
As shown in FIG. 4, the detection assembly 90 is electrically connected to a control system 5. In some embodiments, the control system 5 includes a fault detection and classification (FDC) system. The FDC system may include a data processor mechanism configured to process the real time data from the detection assembly 90. The control system 5 controls the operation of the flow-control assembly 70 based on real time data from the detection assembly 90.
Referring to FIG. 5, a flow chart illustrating a method 600 for regulating the exhaust gas in a processing system (such as processing system 1′) is shown, in accordance with some embodiments.
The method 600 begins with operation 601, in which a fluid conduit assembly is provided (such as the fluid conduit assembly 60 as shown in FIG. 3). The fluid conduit assembly 60 is fluidly connected to one or more than one process apparatuses (such as the processing apparatuses 50 a, 50 b, and 50 c as shown in FIG. 3).
The method 600 continues with operation 602, in which an exhaust flow is produced by a flow-control assembly (such as the flow-control assembly 70 as shown in FIG. 3) in the fluid conduit assembly 60. As a result, the gas is drawn out from the processing apparatuses 50 a, 50 b, and 50 c to a gas handling apparatus (such as gas handling apparatus 80) via the fluid conduit assembly 60.
The method 600 continues with operation 604, in which the exhaust pressure in the fluid conduit assembly 60 is detected by a detection assembly (such as the detection assembly 90). In some embodiments, the exhaust pressure in each of the domain conduit 63 and the gas lines 65 a, 65 b, and 65 c is detected. In some other embodiments, only the exhaust pressure in some of the gas lines 65 a, 65 b, and 65 c is detected. For example, the exhaust pressure in the gas line 65 c is detected because the gas line 65 c is farthest away from the domain control member 71 and has the lowest exhaust pressure. In some embodiments, either the temperature or the concentration of toxic gas in the processing apparatuses 50 a, 50 b, and 50 c is detected by the detection assembly 90.
The method 600 continues with operation 606, in which the exhaust pressure in each location in the fluid conduit assembly 60 is determined. In some embodiments, if the exhaust pressure in the fluid conduit assembly 60 meet a set point, then the method is continued to operation 608. There is no need to adjust the flow-control assembly 70, and the process is continued. On the other hand, if the exhaust pressure in any location in fluid conduit assembly 60 does not meet the set point, then the method is continued to operation 610. The exhaust flow in the fluid conduit assembly 60 is regulated by the flow-control assembly 70.
For example, in some embodiments, when the exhaust pressure detected by the local sensor 93 a is larger than the set point, an electrical signal is issued by the local sensor 93 a. Afterwards, the local control member 73 a is operated in response the electrical signal generated by the local sensor 93 a. Therefore, a smaller amount of exhaust flow passing through the gas line 65 a is passed through the local control member 73 a. Namely, the amount of exhaust flow passing through a section of the fluid conduit assembly 60 that is close to the process module 50 a is adjusted.
In some embodiments, when the exhaust pressure detected by the local sensors 93 a, 93 b, and 93 c is too large to be regulated by the local control members 73 a, 73 b, and 73 c, electrical signals are issued by the local sensors 93 a, 93 b, and 93 c. Afterwards, the domain control member 71 is operated in response to the electrical signal generated by the local sensors 93 a, 93 b, and 93 c. As a result, the velocity of the exhaust flow in the domain conduit 63 and the gas lines 65 a, 65 b, and 65 c is decreased, so as to prevent damage to the local control members 73 a, 73 b, and 73 c.
In some embodiments, when the exhaust pressure detected by the domain sensor 91 is too high to be regulated by the domain control member 71, an electrical signal is issued by the local sensor 93 a, 93 b, 93 c. The electrical signal results in a decrease of velocity of exhaust flow produced by the gas handling apparatus 80 so as to prevent damage to the flow-control assembly 70.
In some embodiments, a malfunction or abnormality in the flow-control assembly 70 occurs, and the exhaust pressure in the fluid conduit assembly 60 is not regulated within a predetermined period of time. An electrical signal is issued. The electrical signal results in an interruption of the exhaust process to await manual intervention in order to correct the problem.
In some embodiments, the set point is set according to the concentration of toxic gas in the process module 50 a, 50 b, and 50 c. Alternatively or additionally, the set point is set according to the temperature in the process module 50 a, 50 b, and 50 c. For example, if the concentration of toxic gas in the process module 50 a, 50 b, and 50 c is higher than a standard value, the set point is set to be higher than a normal value. Therefore, the exhaust pressure in the gas lines 65 a, 65 b, and 65 c is adjusted to be higher, and the amount of gas removed from the process module 50 a, 50 b, and 50 c is increased.
Embodiments of the disclosure have many advantages. For example, the toxic gas is removed from the process module 100, as such contamination risk of the wafer 10 is decreased. In addition, since the exhaust pressure in the fluid conduit assembly 60 is monitored and controlled in real time, the exhaust pressure can be maintained stably.
Embodiments of mechanisms for exhausting gas from a process module are provided. The exhaust pressure in a fluid conduit assembly is detected by a detection assembly in real time and regulated by a flow-control assembly. Since the exhaust pressure is maintained at a set point, exhaust fluctuation is avoided. Therefore, the manufacturing efficiency and production yield of the wafer are greatly improved.
In accordance with some embodiments, a system for processing a wafer is provided. The system includes a process module and a fluid conduit assembly. The process module is configured to process the wafer. The fluid conduit assembly is fluidly connected to the process module. The system also includes a detection assembly. The detection assembly is positioned in the fluid conduit assembly. The detection assembly is configured to detect the exhaust pressure in the fluid conduit assembly and produce an electrical signal which varies with the exhaust pressure. The system further includes a flow-control assembly. The flow-control assembly is positioned in the fluid conduit assembly. The flow-control assembly is configured to regulate an exhaust flow in the fluid conduit assembly according to the electrical signal produced by the detection assembly.
In accordance with some embodiments, a system for processing a wafer is provided. The system includes a process module and a fluid conduit assembly. The process module is configured to process the wafer. The fluid conduit assembly is fluidly connected to the process module. The system also includes a local sensor. The local sensor is positioned in a section of the fluid conduit assembly that is adjacent to the process module. The local sensor is configured to detect the exhaust pressure in the section of the fluid conduit assembly and produce an electrical signal which varies with the exhaust pressure. The system further includes a flow-control assembly. The flow-control assembly is positioned in the fluid conduit assembly. The flow-control assembly is configured to regulate an exhaust flow in the fluid conduit assembly according to the electrical signal produced by the detection assembly.
In accordance with some embodiments, a method for processing a wafer is provided. The method includes providing a fluid conduit assembly that is connected to a process module used for processing the wafer. The method also producing an exhaust flow in the fluid conduit assembly. The method further includes detecting the exhaust pressure in the fluid conduit assembly. In addition, the method further includes determining whether the exhaust pressure meets a set point. Moreover, the method includes regulating the exhaust flow if the exhaust pressure fails to meet the set point.
Although the embodiments and their advantages have been described in detail, it should be understood that various changes, substitutions, and alterations can be made herein without departing from the spirit and scope of the embodiments as defined by the appended claims. Moreover, the scope of the present application is not intended to be limited to the particular embodiments of the process, machine, manufacture, composition of matter, means, methods, and steps described in the specification. As one of ordinary skill in the art will readily appreciate from the disclosure, processes, machines, manufacture, compositions of matter, means, methods, or steps, presently existing or later to be developed, that perform substantially the same function or achieve substantially the same result as the corresponding embodiments described herein may be utilized according to the disclosure. Accordingly, the appended claims are intended to include within their scope such processes, machines, manufacture, compositions of matter, means, methods, or steps. In addition, each claim constitutes a separate embodiment, and the combination of various claims and embodiments are within the scope of the disclosure.

Claims (11)

What is claimed is:
1. A system for processing wafers, comprising:
a plurality of process modules configured to process the wafers;
a gas handling apparatus;
a fluid conduit assembly comprising:
a domain conduit; and
a plurality of gas lines connecting each of the process modules to the domain conduit, wherein the domain conduit and the gas lines are configured to guide an exhaust flow from the process modules to the gas handling apparatus;
a detection assembly positioned in the fluid conduit assembly and configured to detect the exhaust pressures in the fluid conduit assembly and produce electrical signals which vary with the exhaust pressures;
wherein the detection assembly further comprises a domain sensor, disposed in the domain conduit for detecting the exhaust pressure in the domain conduit, and two local sensors disposed in a first and a second of the gas lines for detecting the exhaust pressure in the corresponding gas line;
a flow-control assembly positioned in the fluid conduit assembly and configured to regulate an exhaust flow in the fluid conduit assembly according to the electrical signals produced by the detection assembly;
wherein the flow-control assembly comprises:
a domain control member positioned in the domain conduit and configured to actuate an exhaust flow from the process modules to the gas handling apparatus via the gas lines and the domain conduit; and
two local control members, respectively disposed in the first and the second of the gas lines, arranged such that the flow rates of the exhaust flow in the first and the second of the gas lines are adjustable from a first value to a second value in which both are greater than 0 by the corresponding local control member according to the detected exhaust pressure of the corresponding gas line;
wherein the first of the gas lines and the second of the gas lines are respectively connected to the domain conduit at a first meeting point and a second meeting point, wherein the first meeting point is further away from the domain control member than the second meeting point.
2. The system as claimed in claim 1, wherein the cross-sectional area of the domain conduit is larger than the cross-sectional area of each of the gas lines.
3. The system as claimed in claim 1, wherein the domain control member is a blower or a pump.
4. The system as claimed in claim 1, wherein the local control members are throttle valves.
5. A method for processing wafers, comprising:
processing the wafers by a first process module and a second process module;
producing an exhaust flow from the first process module and the second process module to a domain conduit by a domain control member, wherein a portion of the exhaust flow from the first process modules flows to the domain conduit via a first gas line, and a portion of the exhaust flow from the second process modules flows to the domain conduit via a second gas line;
detecting the exhaust pressure in the first gas line and the second gas line;
adjusting the flow rates of the exhaust flow in the first gas line if the exhaust pressure in the first gas line fails to meet a first set point; and
adjusting the flow rates of the exhaust flow in the second gas line if the exhaust pressure in the second gas line fails to meet a second set point;
wherein the first gas line and the second gas line are respectively connected to the domain conduit at a first meeting point and a second meeting point, wherein the first meeting point is further away from the domain control member than the second meeting point; and
wherein the flow rate of the exhaust flow in the first gas line is adjusted based on a signal from a first sensor for detecting the exhaust pressure in the first gas line, and the flow rate of the exhaust flow in the second gas line is adjusted based on a signal from a second sensor for detecting the exhaust pressure in the second gas line.
6. The method as claimed in claim 5, wherein the exhaust flow is regulated by adjusting the velocity of the exhaust flow.
7. The method as claimed in claim 5, further comprising detecting the exhaust pressure in domain conduit.
8. The method as claimed in claim 5, wherein the first set point is set according to the temperature in the first process module, and the second set point is set according to the temperature in the second process module.
9. The method as claimed in claim 5, wherein the first set point is set according to the concentration of toxic gas in the first process module, and the second set point is set according to the concentration of toxic gas in the second process module.
10. The system as claimed in claim 1, wherein the cross-sectional area of the domain conduit is larger than the cross-sectional area of each of the gas lines, and the domain conduit comprise a closed end and an open end, wherein the domain control member is positioned adjacent to the open end, and the first meeting point is closer to the closed end than the second meeting point.
11. The method as claimed in claim 5, wherein the cross-sectional area of the domain conduit is larger than the cross-sectional area of each of the gas lines, and the exhaust flow is actuated by the domain control member to flow away from a closed end to an opened end of the domain conduit, wherein the first meeting point is closer to the closed end than the second meeting point.
US14/079,791 2013-11-14 2013-11-14 Mechanisms for processing wafer Active 2034-08-21 US9575494B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US14/079,791 US9575494B2 (en) 2013-11-14 2013-11-14 Mechanisms for processing wafer

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US14/079,791 US9575494B2 (en) 2013-11-14 2013-11-14 Mechanisms for processing wafer

Publications (2)

Publication Number Publication Date
US20150129044A1 US20150129044A1 (en) 2015-05-14
US9575494B2 true US9575494B2 (en) 2017-02-21

Family

ID=53042635

Family Applications (1)

Application Number Title Priority Date Filing Date
US14/079,791 Active 2034-08-21 US9575494B2 (en) 2013-11-14 2013-11-14 Mechanisms for processing wafer

Country Status (1)

Country Link
US (1) US9575494B2 (en)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9892982B2 (en) * 2014-01-03 2018-02-13 Taiwan Semiconductor Manufacturing Co., Ltd Method for controlling exhaust flow in wafer processing module
JP6468213B2 (en) * 2016-02-19 2019-02-13 東京エレクトロン株式会社 Substrate processing apparatus, substrate processing method, and storage medium
US11004709B2 (en) * 2017-11-20 2021-05-11 Taiwan Semiconductor Manufacturing Co., Ltd. Method for monitoring gas in wafer processing system

Citations (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4936877A (en) * 1989-07-18 1990-06-26 Advanced Technology Materials, Inc. Dopant delivery system for semiconductor manufacture
US5575853A (en) * 1994-07-01 1996-11-19 Tokyo Electron Limited Vacuum exhaust system for processing apparatus
US6041817A (en) * 1998-08-21 2000-03-28 Fairchild Semiconductor Corp. Processing system having vacuum manifold isolation
US6251192B1 (en) * 1998-02-18 2001-06-26 Ebara Corporation Vacuum exhaust system
US6711956B2 (en) * 2001-10-31 2004-03-30 Macronix International Co., Ltd. Method and apparatus for regulating exhaust pressure in evacuation system of semiconductor process chamber
US6817377B1 (en) * 1998-12-23 2004-11-16 Applied Materials, Inc. Processing apparatus having integrated pumping system
US6916397B2 (en) * 2000-06-14 2005-07-12 Applied Materials, Inc. Methods and apparatus for maintaining a pressure within an environmentally controlled chamber
US7137400B2 (en) * 2003-09-30 2006-11-21 Agere Systems Inc. Bypass loop gas flow calibration
US7194821B2 (en) * 2005-01-28 2007-03-27 Hitachi High-Technologies Corporation Vacuum processing apparatus and vacuum processing method
US20070240769A1 (en) * 2006-04-17 2007-10-18 Hitachi Metals, Ltd. Shutoff valve apparatus and mass flow control device with built-in valve
US20100227480A1 (en) * 2005-06-29 2010-09-09 Nxp B.V. Apparatus and method for maintaining a near-atmospheric pressure inside a process chamber
US20100269911A1 (en) * 2009-02-22 2010-10-28 Mapper Lithography Ip B.V. Method and Arrangement for Realizing a Vacuum in a Vacuum Chamber
US20110265884A1 (en) * 2010-04-30 2011-11-03 Applied Materials, Inc. Twin chamber processing system with shared vacuum pump
US20130295297A1 (en) * 2012-05-01 2013-11-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor film formation apparatus and process
US20130308411A1 (en) * 2010-12-30 2013-11-21 United States Gypsum Company Slurry distributor, system, and method for using same
US8709528B2 (en) * 2011-12-28 2014-04-29 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer processing method and system using multi-zone chuck
US20150101482A1 (en) * 2013-10-11 2015-04-16 Taiwan Semiconductor Manufacturing Co., Ltd Mechanisms for controlling gas flow in enclosure

Patent Citations (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4936877A (en) * 1989-07-18 1990-06-26 Advanced Technology Materials, Inc. Dopant delivery system for semiconductor manufacture
US5575853A (en) * 1994-07-01 1996-11-19 Tokyo Electron Limited Vacuum exhaust system for processing apparatus
US6251192B1 (en) * 1998-02-18 2001-06-26 Ebara Corporation Vacuum exhaust system
US6041817A (en) * 1998-08-21 2000-03-28 Fairchild Semiconductor Corp. Processing system having vacuum manifold isolation
US6817377B1 (en) * 1998-12-23 2004-11-16 Applied Materials, Inc. Processing apparatus having integrated pumping system
US6916397B2 (en) * 2000-06-14 2005-07-12 Applied Materials, Inc. Methods and apparatus for maintaining a pressure within an environmentally controlled chamber
US6711956B2 (en) * 2001-10-31 2004-03-30 Macronix International Co., Ltd. Method and apparatus for regulating exhaust pressure in evacuation system of semiconductor process chamber
US7137400B2 (en) * 2003-09-30 2006-11-21 Agere Systems Inc. Bypass loop gas flow calibration
US7194821B2 (en) * 2005-01-28 2007-03-27 Hitachi High-Technologies Corporation Vacuum processing apparatus and vacuum processing method
US20100227480A1 (en) * 2005-06-29 2010-09-09 Nxp B.V. Apparatus and method for maintaining a near-atmospheric pressure inside a process chamber
US20070240769A1 (en) * 2006-04-17 2007-10-18 Hitachi Metals, Ltd. Shutoff valve apparatus and mass flow control device with built-in valve
US20100269911A1 (en) * 2009-02-22 2010-10-28 Mapper Lithography Ip B.V. Method and Arrangement for Realizing a Vacuum in a Vacuum Chamber
US20110265884A1 (en) * 2010-04-30 2011-11-03 Applied Materials, Inc. Twin chamber processing system with shared vacuum pump
US20130308411A1 (en) * 2010-12-30 2013-11-21 United States Gypsum Company Slurry distributor, system, and method for using same
US8709528B2 (en) * 2011-12-28 2014-04-29 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer processing method and system using multi-zone chuck
US20130295297A1 (en) * 2012-05-01 2013-11-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor film formation apparatus and process
US20150101482A1 (en) * 2013-10-11 2015-04-16 Taiwan Semiconductor Manufacturing Co., Ltd Mechanisms for controlling gas flow in enclosure

Also Published As

Publication number Publication date
US20150129044A1 (en) 2015-05-14

Similar Documents

Publication Publication Date Title
KR101860614B1 (en) Leakage determining method, substrate processing apparatus and non-transitory storage medium
US11328938B2 (en) Substrate processing apparatus and methods with factory interface chamber filter purge
US20120083918A1 (en) Sealed container and semiconductor manufacturing apparatus
JP2007186757A (en) Vacuum treatment apparatus and vacuum treatment method
US20160111309A1 (en) Equipment front end module for transferring wafers and method of transferring wafers
US11742229B2 (en) Auto-calibration to a station of a process module that spins a wafer
US11004709B2 (en) Method for monitoring gas in wafer processing system
JP5751690B2 (en) Semiconductor manufacturing equipment
TWI758523B (en) Method and system for moving a substrate
US9575494B2 (en) Mechanisms for processing wafer
CN109712906B (en) Wafer storage device with cleaning function and semiconductor production equipment
CN111105975B (en) Semiconductor device manufacturing system and method of operating semiconductor device manufacturing system
US20070130738A1 (en) Vacuum processing apparatus and zonal airflow generating unit
US20130189800A1 (en) Plasma processing apparatus and plasma processing method
WO2022035472A1 (en) Transfer chamber with integrated substrate pre-process chamber
US20070175395A1 (en) Semiconductor device manufacturing equipment including a vacuum apparatus and a method of operating the same
TWI765179B (en) Thickness measurement system and method
US20150340260A1 (en) Wafer transport system and method for operating the same
JP2010177357A (en) Vacuum treatment device and vacuum treatment method
US9892982B2 (en) Method for controlling exhaust flow in wafer processing module
US20230142009A1 (en) Split valve air curtain
KR100839187B1 (en) Transfer chamber of apparatus for manufacturing semiconductor device and method for transferring substrates in the transfer chamber
US20230116153A1 (en) System for uniform temperature control of cluster platforms
KR20090072189A (en) Apparatus for transferring wafer
US20230194392A1 (en) Dew point sensing in semiconductor processing system load locks

Legal Events

Date Code Title Description
AS Assignment

Owner name: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD., TAIW

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:CHOU, YOU-HUA;CHEN, SHIH-HUNG;CHIOU, JIAN-HUAH;REEL/FRAME:031600/0881

Effective date: 20131030

STCF Information on status: patent grant

Free format text: PATENTED CASE

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 4TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1551); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 4