US8636458B2 - Integrated post-exposure bake track - Google Patents

Integrated post-exposure bake track Download PDF

Info

Publication number
US8636458B2
US8636458B2 US11/810,709 US81070907A US8636458B2 US 8636458 B2 US8636458 B2 US 8636458B2 US 81070907 A US81070907 A US 81070907A US 8636458 B2 US8636458 B2 US 8636458B2
Authority
US
United States
Prior art keywords
unit
wafer
processing
interface
bake
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active, expires
Application number
US11/810,709
Other versions
US20080304940A1 (en
Inventor
Suzan L. Auer-Jongepier
Johannes Onvlee
Petrus R. Bartray
Bernardus A. J. Luttikhuis
Reinder T. Plug
Hubert M. Segers
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML
ASML Netherlands BV
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Priority to US11/810,709 priority Critical patent/US8636458B2/en
Assigned to ASML reassignment ASML ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: AUER-JONGEPIER, SUZAN L., BARTRAY, PETRUS R., ONVLEE, JOHANNES, SEGERS, HUBERT M., PLUG, REINDER T., LUTTIKHUIS, BERNARDUS A.J.
Priority to KR1020080053369A priority patent/KR100965615B1/en
Priority to JP2008148196A priority patent/JP4832470B2/en
Priority to TW097120974A priority patent/TWI457724B/en
Priority to CN2008102154297A priority patent/CN101354541B/en
Priority to CN2010101639531A priority patent/CN101846891B/en
Assigned to ASML NETHERLANDS B.V. reassignment ASML NETHERLANDS B.V. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: ONVLEE, JOHANNES, SEGERS, HUBERT MARIE, AUER-JONGEPIER, SUZAN LEONIE, BARTRAY, PETRUS RUTGERUS, LUTTIKHUIS, BERNARDUS ANTONIUS JOHANNES, PLUG, REINDER TEUN
Publication of US20080304940A1 publication Critical patent/US20080304940A1/en
Publication of US8636458B2 publication Critical patent/US8636458B2/en
Application granted granted Critical
Active legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67178Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers vertical arrangement
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B1/00Details of electric heating devices
    • H05B1/02Automatic switching arrangements specially adapted to apparatus ; Control of heating devices
    • H05B1/0227Applications
    • H05B1/023Industrial applications
    • H05B1/0233Industrial applications for semiconductors manufacturing
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling

Definitions

  • the invention generally relates to semiconductor manufacturing processes. More specifically, the invention relates to a photolithographic wafer system.
  • a cluster system which integrates a number of process chambers to perform sequential processing steps without removing substrates from a highly controlled processing environment, is generally used in processing semiconductor substrates.
  • photolithographic cluster systems used in the manufacturing of semiconductor integrated circuits currently incorporate an integrated wafer track and photolithographic system.
  • Various modules within the wafer lithographic cluster perform certain functions including the coating of an underlying semiconductor wafer substrate with photosensitive films referred to as photoresists or resists.
  • the lithography tool is typically directly connected to tracks that take care of both the input processes (e.g., applying the resist) as well as the output processes (e.g., post expose bake/chill and development).
  • Two such exemplary processing steps include a post exposure bake (PEB) step and a post-PEB chill step.
  • the PEB step is used to heat a substrate immediately after exposure to stimulate diffusion of the photoactive compounds and reduce the effects of standing waves in the photoresist layer.
  • the post-PEB chill step generally cools the substrate after the PEB step to a temperature at or near ambient temperature to assure that the substrate is at a defined temperature, and is typically controlled so that each substrate sees the same time-temperature profile to minimize process variability.
  • the PEB step typically must be linked tightly to the lithography step because variation in the timing between the expose process of the lithography step and the PEB step impacts the Critical Dimension Uniformity (CDU) of the final product.
  • CDU Critical Dimension Uniformity
  • the slowest wafer on either the input or output branch determines the processing time for each lot (i.e., a group of wafers which are to be processed in the same way) in the track. For example, in some cases, if a fast lot is followed by a slow lot, which is followed by a fast lot, each of the lots will run at the speed of the slow lot from the time the slow lot enters the track until the slow lot leaves the track. Similarly, in some other cases, the track schedules around the slowest lot. As a result, the track lets the fast lot run normally, accepting that the slow lot will (partially) empty the track. Thereafter, the track waits to start the fast lot until the slow lot will not stall wafers of the following fast lot. In either situation, the throughput of the entire track-lithography cluster is reduced.
  • the wafer processing system includes a lithography tool; a local track connected with the lithography tool; a transfer device handler to handle the transfer device and transfer wafers from/to the transfer device to/from the lithography tool and/or local track; an interface unit to transfer wafers between the transfer device and the lithography tool and/or local track; and a controller to schedule processing in the lithography tool, local track, interface unit and transfer device handler.
  • the transfer device handler may handle the transfer devices manually or automatically.
  • the local track may perform processing steps selected from the group consisting of temperature stabilization, inspection, dry (after expose), post expose bake, chill and combinations thereof. It will be appreciated that the local track may also perform different and/or additional processing steps, including, for example, development steps.
  • the interface unit may connect the lithography tool with the local track.
  • the interface unit may connect the transfer device with either or both the lithography tool and the local track.
  • the transfer device may connect the distant track with either or both the lithography tool and the local track.
  • the transfer device may be a Front Opening Unified Pod (FOUP), open cassette or Standard Mechanical Interface (SMIF) pod.
  • FOUP Front Opening Unified Pod
  • SMIF Standard Mechanical Interface
  • the method includes transferring a wafer between a transfer device and a lithography tool; transferring the wafer between the lithography tool and a local track connected to the lithography tool; and transferring the wafer between the local track and the transfer device.
  • the method may also include one or more selected from the group consisting of stabilizing a temperature of the wafer, drying (after expose) the wafer, post expose baking and chilling in the local track.
  • the method may also include scheduling the transfer and processing of the wafer.
  • the combined post expose bake and chill unit includes an enclosure having first and second opposing sides having a first length, and third and fourth opposing sides having a second length, the first length being greater than the second length, the enclosure having an opening in the first opposing side to receive a wafer; a bake unit in the enclosure; and a chill unit in the enclosure.
  • the chill unit may include a gripper.
  • the bake unit may be isolated within the enclosure.
  • a robot may also be provided in the enclosure to transfer the wafer within the enclosure.
  • the interface includes a robot to transport wafers; a plurality of enclosures arranged around the robot, each enclosure having first and second opposing sides having a first length, and third and fourth opposing sides having a second length, the first length being equal or greater than the second length, the enclosure having an opening in the first opposing side to receive a wafer, the opening facing the robot, at least one of the plurality of enclosures being an integrated bake and chill unit.
  • the interface may include a plurality of robots to transfer wafers, the plurality of enclosures arranged around at least one of the plurality of robots.
  • At least one of the plurality of enclosures may be a soak unit.
  • the robot may collect the wafers from a lithographic tool and transfer the wafers to the integrated bake and chill unit.
  • the robot may transfer the wafers between the lithographic tool, the soak unit and the integrated bake and chill unit.
  • the interface may also include a plurality of second enclosures arranged around the robot, each second enclosure having first and second opposing sides having a first length, and third and fourth opposing sides having a second length, the first length being greater than the second length, the enclosure having an opening in the third opposing side to receive a wafer, the opening facing the robot.
  • the interface may include a plurality of robots, wherein the plurality of second enclosures is arranged around at least one of the plurality of robots.
  • the interface may also include a separate robot to transfer wafers from an external interface connected to the integrated track to the exposure unit.
  • FIG. 1 is a schematic side view illustrating a photolithographic wafer system according to an embodiment of the invention
  • FIGS. 2A , 2 B and 2 C are block diagrams illustrating photolithographic wafer systems according to an embodiment of the invention.
  • FIG. 3 is a perspective view illustrating a combined bake and chill unit according to an embodiment of the invention.
  • FIG. 4 is a cross-sectional view further illustrating the combined bake and chill unit of FIG. 3 according to an embodiment of the invention
  • FIG. 5 is a front perspective view illustrating a local track section according to an embodiment of the invention.
  • FIG. 6 is a back perspective view illustrating the local track section of FIG. 5 ;
  • FIG. 7 is a side view illustrating the local track section of FIG. 5 .
  • An exemplary system includes a lithography tool, a local track connected with the lithography tool, a transfer device to transfer wafers from and to the transfer device handler, a transfer device handler to handle the transfer device, an interface unit to transfer wafers between the transfer device and the lithography tool and/or the local track, and a controller to schedule processing in the lithography tool, local track and interface unit.
  • critical processes can be located closer together and/or the performance of the lithography tool can be independent of the resist and develop process (a distant track associated with the lithography tool).
  • An exemplary combined post expose bake and chill unit includes an enclosure having an opening in a longer side to receive and provide a wafer, and a bake unit and a chill unit in the enclosure.
  • An interface for a wafer processing system is also disclosed.
  • An exemplary interface includes a plurality of enclosures arranged around one or more robots that transfer wafers among the enclosures, wherein at least one of the plurality of enclosures is an integrated bake and chill unit.
  • the PEB step can be tightly coupled to the exposure unit to better control timing of the PEB, resulting in better CDU control.
  • the interface also resolves various timing conflicts commonly found at track interfaces.
  • buffering on the output path can be increased, which relaxes the tight coupling of scheduling of the transfer device from the scheduling of the PEB track.
  • separate coat and develop scenarios are allowed.
  • FIG. 1 of the accompanying drawings shows a wafer lithography cluster 10 .
  • the wafer lithography cluster 10 may incorporate a plurality of processing steps, including, for example, one or more of resist coating, pre- and post-exposure baking, exposure, development, cleaning, chilling, pre-conditioning, and the like.
  • the wafer lithography cluster 10 includes a lithography tool 12 , a local track section 14 , an interface unit 16 , and a distant track section 18 .
  • the wafer lithography cluster 10 also includes one or more transfer devices 20 .
  • the cluster 10 also includes a transfer device handler 21 .
  • the local track section 14 is directly connected to the lithography tool 12 . That is, a wafer may be directly transferred from the lithography tool 12 to the local track section 14 .
  • the local track section 14 includes time-critical steps that are linked to the lithography process. Time-critical steps are those processes that have stringent time variation requirements. In one embodiment, time-critical steps are steps that are tightly linked due to the CDU impact on the final product. Exemplary processes included in the local track section 14 include, but are not limited to, for example, inspection, temperature stabilization, dry (after expose), post expose bake, chill, and combinations thereof. In one embodiment, the local track 14 is a post expose bake track. In one embodiment, the local track 14 is a transfer path for wafers from/to the transfer device handler from/to the lithography tool 12 .
  • the distant track section 18 may also be separated from the local track section 14 , as illustrated in FIG. 1 .
  • the distant track section 18 may be split into multiple units.
  • the interface unit 16 is to transfer wafers from the transfer device to the lithography tool 12 and/or the local track section 14 , and back.
  • the interface unit 16 may also be used to transfer wafers from and to the transfer device 20 .
  • the transfer device 20 may be a pod or cassette in which wafers are placed for transfer.
  • the interface unit 16 includes one or more robots (not shown) for transferring the wafers between the lithography tool 12 and the local track section 14 .
  • the one or more robots typically each include an actuation mechanism and one or more wafer holders.
  • the interface unit 16 includes one or more transfer device handlers.
  • the transfer device handlers may be automatic or manual.
  • the one or more robots may be used to pick wafers from the transfer devices and bring them into the system and bring them back into the transfer devices.
  • the interface unit 16 may be any indexer as known to those of skill in the art for transferring and processing wafers.
  • the interface unit 16 can be moveable.
  • the transfer device 20 may be used to transfer wafers between the lithography tool 12 and/or local track section 14 and the distant track section 18 .
  • the transfer device 20 is a Front Opening Unified Pod (FOUP), open cassette or Standard Mechanical Interface (SMIF) pod.
  • the transfer device 20 may be any other transport box as known to those of skill in the art for storing and transferring wafers.
  • the interface unit 16 may include one or more transfer devices.
  • interface unit 16 may include four or five transfer devices. It will be appreciated that fewer than four or greater than five transfer devices may be used.
  • the wafer lithography cluster 10 also includes a central controller or scheduler (not shown) that schedules the lithography tool 12 and processing in the local track section 14 .
  • the central controller may also control the transfer of wafers between the lithography tool 12 and track sections 14 and/or via the interface 16 .
  • the central controller may include one or more separate controllers.
  • a wafer is moved from an input path to the lithography tool 12 .
  • the input path is located in the distant track 18 and the wafer is moved from the distant track 18 to the transfer 20 , and from the transfer device 20 to the interface unit 16 .
  • the wafer is moved to the lithography tool 12 .
  • a robot in the local track 14 is used to move the wafer.
  • a robot in the interface unit 16 is used to move the wafer.
  • the wafer can be transferred from the lithography tool 12 to the local track section 14 or the transfer device 20 for additional processing.
  • the processing may be completed.
  • the wafer may be transferred from the local track section 14 to the distant track section 18 for additional processing.
  • the transfer device 20 may be used to transfer the wafer from the local track section 14 to the distant track section 18 .
  • time critical processes may be located close together to simplify control over critical parameters, which include, for example, wafer temperature and PEB time variations, by including such time critical processes in the local track section 14 .
  • the lithography non-critical or less-critical processes may be located in the distant track section 18 .
  • the effect of the time critical processes can be minimized by locating such processes next to one another.
  • the post-exposure bake performance which is typically a time critical process, is determined by the number of bake positions and the required bake time.
  • the baking step can be provided in the local track section 14 , such that it is essentially integrated with the lithography tool 12 .
  • the local track section 14 can, for example, include all bake units, arranged to provide any number of bake positions needed.
  • the total productivity of the cluster can increase without increasing the floor space.
  • the wafer lithography cluster 10 is the same size or smaller than conventional wafer lithography clusters, and parts are independent from each other. As a result, growth paths and upgrade scenarios can be implemented without a large impact on the entire fabrication process.
  • FIGS. 2A , 2 B and 2 C are block diagrams showing the wafer lithography cluster of FIG. 1 with a controller 120 .
  • the controller 120 may be independent of the lithography tool 12 , local track section 14 and interface unit 16 , as shown in FIG. 2A .
  • the controller 120 may be located in the lithography tool.
  • the controller 120 may be located in the local track.
  • FIG. 3 is a perspective view of a combined post expose bake and chill unit 200 .
  • the unit 200 includes an enclosure 202 having a first side 204 having a first length a and a second side 206 having a second length P. In one embodiment, the second length P is greater than the first length a.
  • the unit also includes an opening 208 in the second side 206 .
  • opening 208 may be positioned at nearly any location on the second side 206 . Because opening 208 is located in the second side 206 , a more densely packed cluster system is possible, as described hereinafter.
  • the unit 200 includes a robot 210 , a gripper 212 and a bake unit 214 .
  • the unit 200 also includes a buffer position 216 .
  • the unit 200 includes an isolation element 218 .
  • Robot 210 includes an actuation mechanism that allows the wafer to be transferred to various positions within the enclosure 202 . It will be appreciated that the unit 200 may include more than one robot and/or grippers.
  • Gripper 212 may include a holder for supporting the wafer, connected to the robot 210 .
  • the gripper 212 may also include chill functionality.
  • the gripper 212 may be water-cooled and controlled.
  • Bake unit 214 may be any conventional bake unit as known to those of skill in the art. Bake unit 214 should be located at a sufficient distance from a chill position of the gripper 212 .
  • Buffer position 216 is located at or near the opening 208 in second side 206 of the unit.
  • the buffer position 216 may contain chilling.
  • Isolation element 218 is used to isolate the bake unit 214 from the buffer position 216 .
  • the isolation element isolates the bake unit 214 at all sides of the bake unit 214 .
  • a wafer is placed in opening 208 at the second side 206 of the unit 200 .
  • the wafer is located at the buffer position 216 .
  • the robot 210 takes the wafer with gripper 212 from the buffer position 216 to the bake unit 214 .
  • the robot 210 moves the wafer away from the bake unit 214 with gripper 212 .
  • the chill functionality of the gripper 212 is activated to chill the wafer.
  • the robot 210 returns the wafer to the buffer position 216 .
  • another robot (not shown) may be used to remove the wafer from the unit 200 .
  • FIGS. 5-7 illustrate a interface 300 for a wafer lithography cluster.
  • the interface 300 is used in the wafer lithography cluster 10 , described above with reference to FIG. 1 . In one embodiment, the interface 300 is the interface 16 , described above with reference to FIGS. 1 and 2 , respectively.
  • the interface 300 includes a first guide 302 , a first robot 304 and a first gripper 306 .
  • the interface 300 may also include a second guide 308 , a second robot 310 and a second gripper 312 .
  • the interface 300 may include more than two robots and more than two grippers.
  • the interface 300 may include three, four or five robots. It will be appreciated that more than five robots may be used.
  • the interface 300 may include three, four, five, or even more grippers.
  • the interface 300 also includes a plurality of units arranged around the robot 310 .
  • the plurality of units include a plurality of processing units, such as, for example, soak units 314 and post expose bake units 316 .
  • Chill plates may also be included in the post exposure bake unit 316 .
  • the post expose bake unit 316 may be a combined post expose bake and chill unit 200 , described above with reference to FIGS. 3 and 4 .
  • Chill plates may additionally or alternatively be included in the gripper 306 and/or gripper 312 .
  • the interface 300 may optionally include input and/or output buffers 318 . It will be appreciated that interface 300 may include any number or type of units, depending on the processing recipe and design constraints.
  • the plurality of units are arranged around the robots 304 and 310 , such that the openings of each of the units can be accessed by the robot 304 and/or robot 310 .
  • the post expose bake unit 316 may be the combined post expose bake and chill unit 200 described above with reference to FIGS. 3 and 4 . Because the opening of the illustrated unit 316 is located at its longest side, the plurality of units can be more densely arranged. That is, a larger number of units can be incorporated into the interface 300 . As shown in FIGS. 6 and 7 , portions of the unit 316 that do not include the opening can be positioned behind other units, such as other post expose bake units 316 , soak units 314 or output buffers 318 .
  • the robot 304 and/or robot 310 collect the wafers from the lithographic tool (not shown) or the transfer device (not shown) and transport the wafers within the interface 300 .
  • the one or more robots transport the wafers between the output buffers of the lithographic tool (not shown) and post expose dry units (i.e., soak units) 314 .
  • the one or more robots transfer the wafers between the soak units 314 and the PEB units 316 .
  • the one or more robots transfer the wafers between the PEB units 316 and the output buffer 318 of the interface 300 .
  • the one or more robots may include chill plates to cool the wafers after removal from the PEB units 316 and/or the PEB units 316 may be a combined unit having chill plates therein, as described hereinabove. Each robot picks a wafer from the scanner in turn, allowing for multiplexing the time for all internal handling.
  • robot 306 transfers wafers between the lithographic tool and the soak units 314 .
  • the second robot 310 transfers the wafers between the soak units 314 and the PEB units 316 and, optionally, between the PEB units 316 and the output buffers 318 , as discussed above.
  • the second robot 310 also transfers the wafers to the output interface 322 for transfer to another part of the cluster, such as transfer device 20 , and/or interface 16 .
  • a dedicated robot 320 which takes care of the input path of all wafers, may also be provided. This reduces the tasks of the robots 304 and 310 .
  • the input path is positioned below the output path.
  • Each of the robots may be individually controlled and integrated with the lithography tool control to resolve various timing conflicts commonly found with conventional track interfaces.
  • the gripper 306 picks up wafers via robot 304 , and moves the wafers to the post-expose SOAK units 314 or directly to the PEB units 316 . After soak, the wafer moves to the PEB units 316 via robot 310 and gripper 312 . After the wafer has been chilled, the wafers are moved to an output buffer 318 or to the input/output interface 322 .

Abstract

Systems and methods for processing wafers, a combined post expose bake and chill unit, and an interface are disclosed. An exemplary system includes a lithography tool, local track, transfer device, transfer device handler, interface unit, and controller to schedule processing. An exemplary combined post expose bake and chill unit includes an enclosure having an opening in its side, and a bake unit and a chill unit in the enclosure. An exemplary interface includes a plurality of enclosures arranged around robot(s) that transfer wafers among the enclosures, one of the plurality of enclosures being an integrated bake and chill unit.

Description

FIELD
The invention generally relates to semiconductor manufacturing processes. More specifically, the invention relates to a photolithographic wafer system.
BACKGROUND
In semiconductor processing, features are fabricated on semiconductor substrates in a cleanroom environment using processing recipes that have many processing steps. A cluster system, which integrates a number of process chambers to perform sequential processing steps without removing substrates from a highly controlled processing environment, is generally used in processing semiconductor substrates.
Many photolithographic cluster systems used in the manufacturing of semiconductor integrated circuits currently incorporate an integrated wafer track and photolithographic system. Various modules within the wafer lithographic cluster perform certain functions including the coating of an underlying semiconductor wafer substrate with photosensitive films referred to as photoresists or resists. In current track systems, the lithography tool is typically directly connected to tracks that take care of both the input processes (e.g., applying the resist) as well as the output processes (e.g., post expose bake/chill and development).
Electronic device manufacturers often spend a large amount of time trying to optimize the process sequence and chamber processing time to achieve the greatest substrate throughput possible given the cluster tool architecture limitations and the chamber processing times. In general, the longest process recipe step generally limits the throughput of the processing sequence.
In addition, certain process steps have stringent time variation requirements. Two such exemplary processing steps include a post exposure bake (PEB) step and a post-PEB chill step. The PEB step is used to heat a substrate immediately after exposure to stimulate diffusion of the photoactive compounds and reduce the effects of standing waves in the photoresist layer. The post-PEB chill step generally cools the substrate after the PEB step to a temperature at or near ambient temperature to assure that the substrate is at a defined temperature, and is typically controlled so that each substrate sees the same time-temperature profile to minimize process variability. The PEB step typically must be linked tightly to the lithography step because variation in the timing between the expose process of the lithography step and the PEB step impacts the Critical Dimension Uniformity (CDU) of the final product.
Similarly, the slowest wafer on either the input or output branch determines the processing time for each lot (i.e., a group of wafers which are to be processed in the same way) in the track. For example, in some cases, if a fast lot is followed by a slow lot, which is followed by a fast lot, each of the lots will run at the speed of the slow lot from the time the slow lot enters the track until the slow lot leaves the track. Similarly, in some other cases, the track schedules around the slowest lot. As a result, the track lets the fast lot run normally, accepting that the slow lot will (partially) empty the track. Thereafter, the track waits to start the fast lot until the slow lot will not stall wafers of the following fast lot. In either situation, the throughput of the entire track-lithography cluster is reduced.
SUMMARY
A wafer processing system is described herein. In one embodiment, the wafer processing system includes a lithography tool; a local track connected with the lithography tool; a transfer device handler to handle the transfer device and transfer wafers from/to the transfer device to/from the lithography tool and/or local track; an interface unit to transfer wafers between the transfer device and the lithography tool and/or local track; and a controller to schedule processing in the lithography tool, local track, interface unit and transfer device handler.
The transfer device handler may handle the transfer devices manually or automatically.
The local track may perform processing steps selected from the group consisting of temperature stabilization, inspection, dry (after expose), post expose bake, chill and combinations thereof. It will be appreciated that the local track may also perform different and/or additional processing steps, including, for example, development steps.
The interface unit may connect the lithography tool with the local track.
The interface unit may connect the transfer device with either or both the lithography tool and the local track.
The transfer device may connect the distant track with either or both the lithography tool and the local track.
The transfer device may be a Front Opening Unified Pod (FOUP), open cassette or Standard Mechanical Interface (SMIF) pod.
A method for processing wafers is also described herein. In one embodiment, the method includes transferring a wafer between a transfer device and a lithography tool; transferring the wafer between the lithography tool and a local track connected to the lithography tool; and transferring the wafer between the local track and the transfer device.
The method may also include one or more selected from the group consisting of stabilizing a temperature of the wafer, drying (after expose) the wafer, post expose baking and chilling in the local track.
The method may also include scheduling the transfer and processing of the wafer.
A combined post expose bake and chill unit is also disclosed herein. In one embodiment, the combined post expose bake and chill unit includes an enclosure having first and second opposing sides having a first length, and third and fourth opposing sides having a second length, the first length being greater than the second length, the enclosure having an opening in the first opposing side to receive a wafer; a bake unit in the enclosure; and a chill unit in the enclosure.
The chill unit may include a gripper.
The bake unit may be isolated within the enclosure.
A robot may also be provided in the enclosure to transfer the wafer within the enclosure.
An interface for a wafer processing system is also described herein. In one embodiment, the interface includes a robot to transport wafers; a plurality of enclosures arranged around the robot, each enclosure having first and second opposing sides having a first length, and third and fourth opposing sides having a second length, the first length being equal or greater than the second length, the enclosure having an opening in the first opposing side to receive a wafer, the opening facing the robot, at least one of the plurality of enclosures being an integrated bake and chill unit.
The interface may include a plurality of robots to transfer wafers, the plurality of enclosures arranged around at least one of the plurality of robots.
At least one of the plurality of enclosures may be a soak unit.
The robot may collect the wafers from a lithographic tool and transfer the wafers to the integrated bake and chill unit.
The robot may transfer the wafers between the lithographic tool, the soak unit and the integrated bake and chill unit.
The interface may also include a plurality of second enclosures arranged around the robot, each second enclosure having first and second opposing sides having a first length, and third and fourth opposing sides having a second length, the first length being greater than the second length, the enclosure having an opening in the third opposing side to receive a wafer, the opening facing the robot.
The interface may include a plurality of robots, wherein the plurality of second enclosures is arranged around at least one of the plurality of robots.
The interface may also include a separate robot to transfer wafers from an external interface connected to the integrated track to the exposure unit.
BRIEF DESCRIPTION OF THE DRAWINGS
The invention is described by way of example with reference to the accompanying drawings, wherein:
FIG. 1 is a schematic side view illustrating a photolithographic wafer system according to an embodiment of the invention;
FIGS. 2A, 2B and 2C are block diagrams illustrating photolithographic wafer systems according to an embodiment of the invention;
FIG. 3 is a perspective view illustrating a combined bake and chill unit according to an embodiment of the invention;
FIG. 4 is a cross-sectional view further illustrating the combined bake and chill unit of FIG. 3 according to an embodiment of the invention;
FIG. 5 is a front perspective view illustrating a local track section according to an embodiment of the invention;
FIG. 6 is a back perspective view illustrating the local track section of FIG. 5; and
FIG. 7 is a side view illustrating the local track section of FIG. 5.
DETAILED DESCRIPTION
Systems and methods for processing wafers are disclosed. An exemplary system includes a lithography tool, a local track connected with the lithography tool, a transfer device to transfer wafers from and to the transfer device handler, a transfer device handler to handle the transfer device, an interface unit to transfer wafers between the transfer device and the lithography tool and/or the local track, and a controller to schedule processing in the lithography tool, local track and interface unit. As a result, critical processes can be located closer together and/or the performance of the lithography tool can be independent of the resist and develop process (a distant track associated with the lithography tool).
A combined post expose bake and chill unit is also disclosed. An exemplary combined post expose bake and chill unit includes an enclosure having an opening in a longer side to receive and provide a wafer, and a bake unit and a chill unit in the enclosure.
An interface for a wafer processing system is also disclosed. An exemplary interface includes a plurality of enclosures arranged around one or more robots that transfer wafers among the enclosures, wherein at least one of the plurality of enclosures is an integrated bake and chill unit. As a result, the PEB step can be tightly coupled to the exposure unit to better control timing of the PEB, resulting in better CDU control. The interface also resolves various timing conflicts commonly found at track interfaces. In addition, buffering on the output path can be increased, which relaxes the tight coupling of scheduling of the transfer device from the scheduling of the PEB track. In addition, separate coat and develop scenarios are allowed.
FIG. 1 of the accompanying drawings shows a wafer lithography cluster 10. The wafer lithography cluster 10 may incorporate a plurality of processing steps, including, for example, one or more of resist coating, pre- and post-exposure baking, exposure, development, cleaning, chilling, pre-conditioning, and the like.
The wafer lithography cluster 10 includes a lithography tool 12, a local track section 14, an interface unit 16, and a distant track section 18. In one embodiment, the wafer lithography cluster 10 also includes one or more transfer devices 20. The cluster 10 also includes a transfer device handler 21.
In one embodiment, the local track section 14 is directly connected to the lithography tool 12. That is, a wafer may be directly transferred from the lithography tool 12 to the local track section 14.
In one embodiment, the local track section 14 includes time-critical steps that are linked to the lithography process. Time-critical steps are those processes that have stringent time variation requirements. In one embodiment, time-critical steps are steps that are tightly linked due to the CDU impact on the final product. Exemplary processes included in the local track section 14 include, but are not limited to, for example, inspection, temperature stabilization, dry (after expose), post expose bake, chill, and combinations thereof. In one embodiment, the local track 14 is a post expose bake track. In one embodiment, the local track 14 is a transfer path for wafers from/to the transfer device handler from/to the lithography tool 12.
The distant track section 18 may also be separated from the local track section 14, as illustrated in FIG. 1. The distant track section 18 may be split into multiple units.
In one embodiment, the interface unit 16 is to transfer wafers from the transfer device to the lithography tool 12 and/or the local track section 14, and back. The interface unit 16 may also be used to transfer wafers from and to the transfer device 20. The transfer device 20 may be a pod or cassette in which wafers are placed for transfer.
In one embodiment, the interface unit 16 includes one or more robots (not shown) for transferring the wafers between the lithography tool 12 and the local track section 14. The one or more robots typically each include an actuation mechanism and one or more wafer holders.
In one embodiment, the interface unit 16 includes one or more transfer device handlers. The transfer device handlers may be automatic or manual. The one or more robots may be used to pick wafers from the transfer devices and bring them into the system and bring them back into the transfer devices.
The interface unit 16 may be any indexer as known to those of skill in the art for transferring and processing wafers. The interface unit 16 can be moveable.
The transfer device 20 may be used to transfer wafers between the lithography tool 12 and/or local track section 14 and the distant track section 18. In one embodiment, the transfer device 20 is a Front Opening Unified Pod (FOUP), open cassette or Standard Mechanical Interface (SMIF) pod. The transfer device 20 may be any other transport box as known to those of skill in the art for storing and transferring wafers.
Receiving and sending, and opening and closing, of the transfer device 20 is typically automated. It will be appreciated that the handling of the transfer device 20 may alternatively be manual. The interface unit 16 may include one or more transfer devices. For example, interface unit 16 may include four or five transfer devices. It will be appreciated that fewer than four or greater than five transfer devices may be used.
The wafer lithography cluster 10 also includes a central controller or scheduler (not shown) that schedules the lithography tool 12 and processing in the local track section 14. The central controller may also control the transfer of wafers between the lithography tool 12 and track sections 14 and/or via the interface 16. The central controller may include one or more separate controllers.
In use, a wafer is moved from an input path to the lithography tool 12. In one embodiment, the input path is located in the distant track 18 and the wafer is moved from the distant track 18 to the transfer 20, and from the transfer device 20 to the interface unit 16. From the interface unit 16, the wafer is moved to the lithography tool 12. In one embodiment, a robot in the local track 14 is used to move the wafer. In one embodiment, a robot in the interface unit 16 is used to move the wafer. After the lithography process is completed in the lithography tool 12, the wafer can be transferred from the lithography tool 12 to the local track section 14 or the transfer device 20 for additional processing. After the wafer is processed in the local track section 14, the processing may be completed. Alternatively, the wafer may be transferred from the local track section 14 to the distant track section 18 for additional processing. In one embodiment, the transfer device 20 may be used to transfer the wafer from the local track section 14 to the distant track section 18.
In one embodiment, time critical processes may be located close together to simplify control over critical parameters, which include, for example, wafer temperature and PEB time variations, by including such time critical processes in the local track section 14. The lithography non-critical or less-critical processes may be located in the distant track section 18. Thus, the effect of the time critical processes can be minimized by locating such processes next to one another.
The post-exposure bake performance, which is typically a time critical process, is determined by the number of bake positions and the required bake time. The baking step can be provided in the local track section 14, such that it is essentially integrated with the lithography tool 12. In addition, the local track section 14 can, for example, include all bake units, arranged to provide any number of bake positions needed.
By separating the bake process from other, lesser time critical processes, in the local track section 14, and arranging the bake positions, as needed, the total productivity of the cluster can increase without increasing the floor space.
In one embodiment, the wafer lithography cluster 10 is the same size or smaller than conventional wafer lithography clusters, and parts are independent from each other. As a result, growth paths and upgrade scenarios can be implemented without a large impact on the entire fabrication process.
FIGS. 2A, 2B and 2C are block diagrams showing the wafer lithography cluster of FIG. 1 with a controller 120. The controller 120 may be independent of the lithography tool 12, local track section 14 and interface unit 16, as shown in FIG. 2A. Alternatively, as shown in FIG. 2B, the controller 120 may be located in the lithography tool. Alternatively, as shown in FIG. 2C, the controller 120 may be located in the local track.
FIG. 3 is a perspective view of a combined post expose bake and chill unit 200. The unit 200 includes an enclosure 202 having a first side 204 having a first length a and a second side 206 having a second length P. In one embodiment, the second length P is greater than the first length a. The unit also includes an opening 208 in the second side 206.
It will be appreciated that opening 208 may be positioned at nearly any location on the second side 206. Because opening 208 is located in the second side 206, a more densely packed cluster system is possible, as described hereinafter.
As shown in FIG. 4, the unit 200 includes a robot 210, a gripper 212 and a bake unit 214. In one embodiment, the unit 200 also includes a buffer position 216. In one embodiment, the unit 200 includes an isolation element 218.
Robot 210 includes an actuation mechanism that allows the wafer to be transferred to various positions within the enclosure 202. It will be appreciated that the unit 200 may include more than one robot and/or grippers.
Gripper 212 may include a holder for supporting the wafer, connected to the robot 210. The gripper 212 may also include chill functionality. For example, the gripper 212 may be water-cooled and controlled.
Bake unit 214 may be any conventional bake unit as known to those of skill in the art. Bake unit 214 should be located at a sufficient distance from a chill position of the gripper 212.
Buffer position 216 is located at or near the opening 208 in second side 206 of the unit. The buffer position 216 may contain chilling.
Isolation element 218 is used to isolate the bake unit 214 from the buffer position 216. In one embodiment, the isolation element isolates the bake unit 214 at all sides of the bake unit 214.
In use, a wafer is placed in opening 208 at the second side 206 of the unit 200. The wafer is located at the buffer position 216. The robot 210 takes the wafer with gripper 212 from the buffer position 216 to the bake unit 214. When the desired bake process is completed at the bake unit 214, the robot 210 moves the wafer away from the bake unit 214 with gripper 212. The chill functionality of the gripper 212 is activated to chill the wafer. When the chill process is completed, the robot 210 returns the wafer to the buffer position 216. When the wafer is returned to the buffer position 216, another robot (not shown) may be used to remove the wafer from the unit 200.
FIGS. 5-7 illustrate a interface 300 for a wafer lithography cluster.
In one embodiment, the interface 300 is used in the wafer lithography cluster 10, described above with reference to FIG. 1. In one embodiment, the interface 300 is the interface 16, described above with reference to FIGS. 1 and 2, respectively.
As shown in FIG. 5, the interface 300 includes a first guide 302, a first robot 304 and a first gripper 306. The interface 300 may also include a second guide 308, a second robot 310 and a second gripper 312. It will be appreciated that the interface 300 may include more than two robots and more than two grippers. For example, the interface 300 may include three, four or five robots. It will be appreciated that more than five robots may be used. Similarly, the interface 300 may include three, four, five, or even more grippers.
The interface 300 also includes a plurality of units arranged around the robot 310. In the illustrated embodiment, the plurality of units include a plurality of processing units, such as, for example, soak units 314 and post expose bake units 316. Chill plates may also be included in the post exposure bake unit 316. In such an embodiment, the post expose bake unit 316 may be a combined post expose bake and chill unit 200, described above with reference to FIGS. 3 and 4. Chill plates may additionally or alternatively be included in the gripper 306 and/or gripper 312. The interface 300 may optionally include input and/or output buffers 318. It will be appreciated that interface 300 may include any number or type of units, depending on the processing recipe and design constraints.
The plurality of units are arranged around the robots 304 and 310, such that the openings of each of the units can be accessed by the robot 304 and/or robot 310. As described above, the post expose bake unit 316 may be the combined post expose bake and chill unit 200 described above with reference to FIGS. 3 and 4. Because the opening of the illustrated unit 316 is located at its longest side, the plurality of units can be more densely arranged. That is, a larger number of units can be incorporated into the interface 300. As shown in FIGS. 6 and 7, portions of the unit 316 that do not include the opening can be positioned behind other units, such as other post expose bake units 316, soak units 314 or output buffers 318.
The robot 304 and/or robot 310 collect the wafers from the lithographic tool (not shown) or the transfer device (not shown) and transport the wafers within the interface 300. In one embodiment, the one or more robots transport the wafers between the output buffers of the lithographic tool (not shown) and post expose dry units (i.e., soak units) 314. In one embodiment, the one or more robots transfer the wafers between the soak units 314 and the PEB units 316. In one embodiment, the one or more robots transfer the wafers between the PEB units 316 and the output buffer 318 of the interface 300. The one or more robots may include chill plates to cool the wafers after removal from the PEB units 316 and/or the PEB units 316 may be a combined unit having chill plates therein, as described hereinabove. Each robot picks a wafer from the scanner in turn, allowing for multiplexing the time for all internal handling. In one embodiment, robot 306 transfers wafers between the lithographic tool and the soak units 314. In one embodiment, the second robot 310 transfers the wafers between the soak units 314 and the PEB units 316 and, optionally, between the PEB units 316 and the output buffers 318, as discussed above. In one embodiment, the second robot 310 also transfers the wafers to the output interface 322 for transfer to another part of the cluster, such as transfer device 20, and/or interface 16.
As shown in FIG. 6, a dedicated robot 320, which takes care of the input path of all wafers, may also be provided. This reduces the tasks of the robots 304 and 310. In one embodiment, the input path is positioned below the output path.
Each of the robots may be individually controlled and integrated with the lithography tool control to resolve various timing conflicts commonly found with conventional track interfaces.
In use, the gripper 306 picks up wafers via robot 304, and moves the wafers to the post-expose SOAK units 314 or directly to the PEB units 316. After soak, the wafer moves to the PEB units 316 via robot 310 and gripper 312. After the wafer has been chilled, the wafers are moved to an output buffer 318 or to the input/output interface 322.
The foregoing description with attached drawings is only illustrative of possible embodiments of the described method and should only be construed as such. Other persons of ordinary skill in the art will realize that many other specific embodiments are possible that fall within the scope and spirit of the present idea. The scope of the invention is indicated by the following claims rather than by the foregoing description. Any and all modifications which come within the meaning and range of equivalency of the following claims are to be considered within their scope.

Claims (21)

The invention claimed is:
1. A wafer processing system comprising:
a lithography tool;
a local track connected with the lithography tool;
a transfer device handler configured and arranged to handle a transfer device and transfer wafers from and to the transfer device;
an interface unit configured and arranged to transfer wafers between one or more of the transfer device and the lithography tool, the lithography tool and the local track, and the local track and transfer device, wherein the interface unit comprises:
a plurality of processing units each having a first side with an access opening, arranged about a common fixed axis such that the first side of each unit faces the axis, wherein each of the processing units comprise one or more of: a soak unit, a post expose bake unit, a post expose bake and chill unit, and an input/output buffer, and wherein the plurality of processing units are densely arranged with portions of some units positioned behind other units while allowing access to all openings; and
two or more robots centrally located with respect to, and external to, the plurality of processing units and configured to move vertically on respective guides co-located along a single, common, axis that is permanently fixed relative to the plurality of processing units;
a distant track separated from the lithography tool and the local track, wherein the transfer device handler is configured to transfer wafers between the distant track and the local track; and
a controller configured and arranged to schedule processing by the lithography tool, local track, distant track, interface unit and transfer device handler, such that the local track is used for time critical processes and the distant track is used for non-critical processes, wherein the length of time that elapses before the wafer begins the non-critical process does not affect the outcome of the non-critical process.
2. The wafer processing system of claim 1, wherein the transfer device handler is configured and arranged to handle the transfer device manually or automatically.
3. The wafer processing system of claim 1, wherein the local track is configured and arranged to perform processing including any of temperature stabilization, inspection, dry (after expose), post expose bake, chill, develop and combinations thereof.
4. The wafer processing system of claim 1, wherein the interface unit connects the lithography tool to the local track.
5. The wafer processing system of claim 1, wherein the interface unit connects the transfer device handler to one or more from either or both of the lithography tool and the local track.
6. The wafer processing system of claim 1, wherein the interface unit is to connect the local track with either or both of the lithography tool and interface.
7. The wafer processing system of claim 1, wherein the transfer device is a Front Opening Unified Pod (FOUP), open cassette or Standard Mechanical Interface (SMIF) pod.
8. A method for processing wars comprising:
providing an interface unit comprising:
a plurality of processing units each having a first side with an access opening, arranged about a common fixed axis such that the first side of each unit faces the axis, wherein each of the processing units comprise one or more of; a soak unit, a post expose bake unit, a post expose bake and chill unit, and an input/output buffer, and wherein the plurality of processing units are densely arranged with portions of some units positioned behind other units while allowing access to all openings; and
two or more robots centrally located with respect to, and external to, the plurality of processing units and configured to move vertically on respective guides co-located along a single, common, axis that is permanently fixed relative to the plurality of processing units;
transferring a wafer between a transfer device and a lithography tool;
transferring the wafer between the lithography tool and a local track connected to the lithography tool to perform time critical processes and transferring the wafer between the lithography tool and a distant track separate from the lithography tool to perform non-critical processes, wherein the length of time that elapses before the wafer begins the non-critical process does not affect the outcome of the non-critical process; and
transferring, using an interface unit, the wafer between the local track and the transfer device or the distant track.
9. The method of claim 8, further comprising one or more selected from the group consisting of stabilizing a temperature of the wafer, drying (after expose) the wafer, post expose baking, chilling, developing, cleaning and inspection in the local track.
10. The method of claim 8, further comprising scheduling the transfer and processing of the wafer.
11. A processing unit that is part of an interface unit and is a combined post expose bake and chill unit, comprising:
first and second opposing sides having a first length, and third and fourth opposing sides having a second length, the first length being greater than the second length, and further comprising an opening in the first opposing side to receive a wafer;
a bake unit internally located within the processing unit;
a chill unit internally located within the processing unit; and
a robot internally located within the processing unit and configured to transfer the wafer between the bake unit and the chill unit,
wherein the processing unit is part of an interface unit comprising:
a plurality of processing units each having a first side with an access opening, arranged about a common fixed axis such that the first side of each unit faces the axis, wherein each of the processing units comprise one or more of: a soak unit, a post expose bake unit, a post expose bake and chill unit, and an input/output buffer, and wherein the plurality of processing units are densely arranged with portions of some units positioned behind other units while allowing access to all openings; and
two or more robots centrally located with respect to, and external to, the plurality of processing units and configured to move vertically on respective guides co-located along a single, common, axis that is permanently fixed relative to the plurality of processing units.
12. The processing unit of claim 11, wherein the chill unit comprises at least one gripper.
13. The processing unit of claim 11, wherein the bake unit is isolated within the processing unit.
14. The processing unit of claim 11, further comprising at least one additional robot in the processing unit to transfer the wafer within the enclosure.
15. The processing unit of claim 11, further comprising a transfer device in the processing unit to transfer the wafer within the processing unit.
16. An interface for a wafer processing system comprising:
a plurality of processing units each having a first side with an access opening, arranged about a common fixed axis such that the first side of each unit faces the axis, wherein each of the processing units comprise one or more of: a soak unit, a post expose bake unit, a post expose bake and chill unit, and an input/output buffer, and wherein the plurality of processing units al e densely arranged with portions of some units positioned behind other units while allowing access to all openings;
two or more robots centrally located with respect to, and external to, the plurality of processing units and configured to move vertically on respective guides co-located along a single, common, axis that is permanently fixed relative to the plurality of processing units; and
wherein one of the plurality of processing units comprises:
an integrated bake unit,
an integrated chill unit, and
a, robot internally located within the processing unit and configured to transfer a wafer between the integrated bake unit and the integrated chill unit.
17. The interface of claim 16, wherein at least one of the plurality of processing units is a soak unit.
18. The interface of claim 17, wherein the two or more robots transfer the wafers between the lithographic tool, the soak unit and the one of the plurality of processing units.
19. The interface of claim 16, wherein the two or more robots collect one or more wafers from a lithographic tool and transfers the one or more wafers to the one of the plurality of processing units.
20. The interface of claim 16, further comprising a plurality of second processing units arranged around at least one of the two or more robots, each second processing unit having first and second opposing sides having a first length, and third and fourth opposing sides having a second length, the first length being greater than the second length, each second processing unit having an opening in the third opposing side to receive a wafer, the opening facing the two or more robots.
21. The interface of claim 16, further comprising a separate robot to transfer wafers from an external interface connected to the integrated track to an exposure unit.
US11/810,709 2007-06-06 2007-06-06 Integrated post-exposure bake track Active 2029-06-20 US8636458B2 (en)

Priority Applications (6)

Application Number Priority Date Filing Date Title
US11/810,709 US8636458B2 (en) 2007-06-06 2007-06-06 Integrated post-exposure bake track
KR1020080053369A KR100965615B1 (en) 2007-06-06 2008-06-05 Integrated post-exposure bake track
JP2008148196A JP4832470B2 (en) 2007-06-06 2008-06-05 Integrated post-exposure bake truck
TW097120974A TWI457724B (en) 2007-06-06 2008-06-05 Integrated post-exposure bake track
CN2008102154297A CN101354541B (en) 2007-06-06 2008-06-06 Integrated post-exposure bake track
CN2010101639531A CN101846891B (en) 2007-06-06 2008-06-06 Integrated post-exposure bake track

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/810,709 US8636458B2 (en) 2007-06-06 2007-06-06 Integrated post-exposure bake track

Publications (2)

Publication Number Publication Date
US20080304940A1 US20080304940A1 (en) 2008-12-11
US8636458B2 true US8636458B2 (en) 2014-01-28

Family

ID=40096034

Family Applications (1)

Application Number Title Priority Date Filing Date
US11/810,709 Active 2029-06-20 US8636458B2 (en) 2007-06-06 2007-06-06 Integrated post-exposure bake track

Country Status (5)

Country Link
US (1) US8636458B2 (en)
JP (1) JP4832470B2 (en)
KR (1) KR100965615B1 (en)
CN (2) CN101846891B (en)
TW (1) TWI457724B (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10809631B2 (en) * 2017-04-25 2020-10-20 Asml Netherlands B.V. Method of monitoring and device manufacturing method
US11234442B2 (en) 2016-12-07 2022-02-01 R. Weiss Verpackungstechnik Gmbh & Co. Kg Device for storing, baking, and discharging bakery goods

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5006122B2 (en) 2007-06-29 2012-08-22 株式会社Sokudo Substrate processing equipment
JP5128918B2 (en) 2007-11-30 2013-01-23 株式会社Sokudo Substrate processing equipment
JP5179170B2 (en) 2007-12-28 2013-04-10 株式会社Sokudo Substrate processing equipment
JP5001828B2 (en) 2007-12-28 2012-08-15 株式会社Sokudo Substrate processing equipment
TW201027784A (en) * 2008-10-07 2010-07-16 Applied Materials Inc Advanced platform for processing crystalline silicon solar cells
RU2573398C2 (en) * 2011-04-22 2016-01-20 МЭППЕР ЛИТОГРАФИ АйПи Б.В. Network architecture and protocol for cluster of patterning machines
US10095114B2 (en) 2014-11-14 2018-10-09 Applied Materials, Inc. Process chamber for field guided exposure and method for implementing the process chamber
JP6503280B2 (en) * 2015-11-12 2019-04-17 株式会社Screenホールディングス Substrate processing equipment
US10203604B2 (en) 2015-11-30 2019-02-12 Applied Materials, Inc. Method and apparatus for post exposure processing of photoresist wafers
US9958782B2 (en) 2016-06-29 2018-05-01 Applied Materials, Inc. Apparatus for post exposure bake
US9964863B1 (en) 2016-12-20 2018-05-08 Applied Materials, Inc. Post exposure processing apparatus
US10615058B2 (en) 2016-12-29 2020-04-07 Applied Materials, Inc. Apparatus for field guided acid profile control in a photoresist layer
CN108227411B (en) * 2018-01-18 2020-10-30 武汉华星光电技术有限公司 Photoresistance prebaking cooling system
CN113196452A (en) 2019-01-18 2021-07-30 应用材料公司 Film structure for electric field guided photoresist patterning process
US11429026B2 (en) 2020-03-20 2022-08-30 Applied Materials, Inc. Lithography process window enhancement for photoresist patterning

Citations (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4775281A (en) * 1986-12-02 1988-10-04 Teradyne, Inc. Apparatus and method for loading and unloading wafers
JPH03154324A (en) 1989-11-13 1991-07-02 Hitachi Ltd Device and method for pattern exposure
JPH04239720A (en) 1991-01-23 1992-08-27 Hitachi Ltd Aligner
JPH07142356A (en) 1993-11-19 1995-06-02 Sony Corp Resist pattern forming method and resist pattern forming system used therefor
JPH0974126A (en) 1995-09-04 1997-03-18 Dainippon Screen Mfg Co Ltd Carrying apparatus for substrate
US5788868A (en) 1995-09-04 1998-08-04 Dainippon Screen Mfg. Co., Ltd. Substrate transfer method and interface apparatus
JPH10284413A (en) 1997-04-10 1998-10-23 Dainippon Screen Mfg Co Ltd Substrate processor and substrate processing aligner
JPH11154637A (en) 1997-11-20 1999-06-08 Nikon Corp Lithography device, projecting aligner and coater developer
US6022672A (en) 1993-11-19 2000-02-08 Sony Corporation Method of manufacturing semiconductors having improved temperature control
JP2001308005A (en) 2000-02-16 2001-11-02 Tokyo Electron Ltd Substrate-processing method and apparatus
CN1330292A (en) 2000-06-15 2002-01-09 株式会社尼康 Exposure device, substrate processing unit and photoetching system and device manufacture method
US6632281B2 (en) 2000-02-01 2003-10-14 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
JP2004193597A (en) 2002-11-28 2004-07-08 Tokyo Electron Ltd Substrate treatment system, and coating and developing apparatus
JP2004253507A (en) 2003-02-19 2004-09-09 Hitachi High-Tech Electronics Engineering Co Ltd Locally cleaned wafer processing apparatus
JP2004342654A (en) 2003-05-13 2004-12-02 Dainippon Screen Mfg Co Ltd Substrate processing apparatus
JP2005057294A (en) 2003-08-07 2005-03-03 Asml Netherlands Bv Interface unit, lithographic projector equipped with interface, and method of manufacturing device
JP2005101058A (en) 2003-09-22 2005-04-14 Dainippon Screen Mfg Co Ltd Substrate-treating device
JP2005175310A (en) 2003-12-12 2005-06-30 Tokyo Electron Ltd Substrate treatment apparatus
US20050287821A1 (en) 2002-11-28 2005-12-29 Makio Higashi Wafer processing system, coating/developing apparatus, and wafer, processing apparatus
US20060120716A1 (en) 2004-12-06 2006-06-08 Dainippon Screen Mfg. Co., Ltd. Substrate processing apparatus
US20060130750A1 (en) 2004-12-22 2006-06-22 Applied Materials, Inc. Cluster tool architecture for processing a substrate
US20060130751A1 (en) 2004-12-22 2006-06-22 Applied Materials, Inc. Cluster tool substrate throughput optimization
JP2006222398A (en) 2005-02-14 2006-08-24 Tokyo Electron Ltd Coating and developing apparatus and coating and developing method
KR20060098339A (en) 2005-03-11 2006-09-18 동경 엘렉트론 주식회사 Coating and developing system
US20070058147A1 (en) 2005-09-14 2007-03-15 Tetsuya Hamada Apparatus for and method of processing substrate subjected to exposure process
JP2007081121A (en) 2005-09-14 2007-03-29 Dainippon Screen Mfg Co Ltd Substrate treatment apparatus
JP2007095893A (en) 2005-09-28 2007-04-12 Dainippon Screen Mfg Co Ltd Substrate treatment apparatus
US20070144439A1 (en) * 2004-12-22 2007-06-28 Applied Materials, Inc. Cartesian cluster tool configuration for lithography type processes
JP2008526032A (en) 2004-12-22 2008-07-17 アプライド マテリアルズ インコーポレイテッド Cluster tool architecture for processing substrates
JP2008526030A (en) 2004-12-22 2008-07-17 株式会社Sokudo Integrated heat unit
JP2008526033A (en) 2004-12-22 2008-07-17 株式会社Sokudo Coat / development module with shared distribution
JP2008538654A (en) 2005-04-22 2008-10-30 アプライド マテリアルズ インコーポレイテッド Cartesian robot cluster tool construction
JP2008288498A (en) 2007-05-21 2008-11-27 Dainippon Screen Mfg Co Ltd Substrate processing equipment
TWI323009B (en) 2005-05-09 2010-04-01 Tokyo Electron Ltd Heating device, coating and developing apparatus, and heating method

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100688726B1 (en) * 2004-12-27 2007-03-02 동부일렉트로닉스 주식회사 System and method for photolithography
KR100637717B1 (en) * 2005-09-28 2006-10-25 세메스 주식회사 Bake unit, method for cooling a heating plate used in the unit, apparatus and method for treating substrates with the unit

Patent Citations (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4775281A (en) * 1986-12-02 1988-10-04 Teradyne, Inc. Apparatus and method for loading and unloading wafers
JPH03154324A (en) 1989-11-13 1991-07-02 Hitachi Ltd Device and method for pattern exposure
JPH04239720A (en) 1991-01-23 1992-08-27 Hitachi Ltd Aligner
JPH07142356A (en) 1993-11-19 1995-06-02 Sony Corp Resist pattern forming method and resist pattern forming system used therefor
US6022672A (en) 1993-11-19 2000-02-08 Sony Corporation Method of manufacturing semiconductors having improved temperature control
JPH0974126A (en) 1995-09-04 1997-03-18 Dainippon Screen Mfg Co Ltd Carrying apparatus for substrate
US5788868A (en) 1995-09-04 1998-08-04 Dainippon Screen Mfg. Co., Ltd. Substrate transfer method and interface apparatus
JPH10284413A (en) 1997-04-10 1998-10-23 Dainippon Screen Mfg Co Ltd Substrate processor and substrate processing aligner
JPH11154637A (en) 1997-11-20 1999-06-08 Nikon Corp Lithography device, projecting aligner and coater developer
US6632281B2 (en) 2000-02-01 2003-10-14 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
JP2001308005A (en) 2000-02-16 2001-11-02 Tokyo Electron Ltd Substrate-processing method and apparatus
US6698944B2 (en) 2000-06-15 2004-03-02 Nikon Corporation Exposure apparatus, substrate processing unit and lithographic system, and device manufacturing method
CN1330292A (en) 2000-06-15 2002-01-09 株式会社尼康 Exposure device, substrate processing unit and photoetching system and device manufacture method
JP2004193597A (en) 2002-11-28 2004-07-08 Tokyo Electron Ltd Substrate treatment system, and coating and developing apparatus
US7379785B2 (en) 2002-11-28 2008-05-27 Tokyo Electron Limited Substrate processing system, coating/developing apparatus, and substrate processing apparatus
US20050287821A1 (en) 2002-11-28 2005-12-29 Makio Higashi Wafer processing system, coating/developing apparatus, and wafer, processing apparatus
JP2004253507A (en) 2003-02-19 2004-09-09 Hitachi High-Tech Electronics Engineering Co Ltd Locally cleaned wafer processing apparatus
JP2004342654A (en) 2003-05-13 2004-12-02 Dainippon Screen Mfg Co Ltd Substrate processing apparatus
US7364376B2 (en) 2003-05-13 2008-04-29 Dainippon Screen Mfg. Co., Ltd. Substrate processing apparatus
US7145643B2 (en) 2003-08-07 2006-12-05 Asml Netherlands B.V. Interface unit, lithographic projection apparatus comprising such an interface unit and a device manufacturing method
JP2005057294A (en) 2003-08-07 2005-03-03 Asml Netherlands Bv Interface unit, lithographic projector equipped with interface, and method of manufacturing device
US7563323B2 (en) 2003-09-22 2009-07-21 Dainippon Screen Mfg. Co. Ltd. Substrate treating apparatus
JP2005101058A (en) 2003-09-22 2005-04-14 Dainippon Screen Mfg Co Ltd Substrate-treating device
JP2005175310A (en) 2003-12-12 2005-06-30 Tokyo Electron Ltd Substrate treatment apparatus
US20070117400A1 (en) 2003-12-12 2007-05-24 Tokyo Electron Limited Substrate treating apparatus
US20060120716A1 (en) 2004-12-06 2006-06-08 Dainippon Screen Mfg. Co., Ltd. Substrate processing apparatus
US20060130751A1 (en) 2004-12-22 2006-06-22 Applied Materials, Inc. Cluster tool substrate throughput optimization
US20060130750A1 (en) 2004-12-22 2006-06-22 Applied Materials, Inc. Cluster tool architecture for processing a substrate
JP2008526033A (en) 2004-12-22 2008-07-17 株式会社Sokudo Coat / development module with shared distribution
JP2008526030A (en) 2004-12-22 2008-07-17 株式会社Sokudo Integrated heat unit
JP2008526032A (en) 2004-12-22 2008-07-17 アプライド マテリアルズ インコーポレイテッド Cluster tool architecture for processing substrates
US20070144439A1 (en) * 2004-12-22 2007-06-28 Applied Materials, Inc. Cartesian cluster tool configuration for lithography type processes
US7255747B2 (en) 2004-12-22 2007-08-14 Sokudo Co., Ltd. Coat/develop module with independent stations
US7262829B2 (en) 2005-02-14 2007-08-28 Tokyo Electron Limited Coating and developing apparatus and coating and developing method
JP2006222398A (en) 2005-02-14 2006-08-24 Tokyo Electron Ltd Coating and developing apparatus and coating and developing method
JP2006287178A (en) 2005-03-11 2006-10-19 Tokyo Electron Ltd Application/development device
KR20060098339A (en) 2005-03-11 2006-09-18 동경 엘렉트론 주식회사 Coating and developing system
US7474377B2 (en) 2005-03-11 2009-01-06 Tokyo Electron Limited Coating and developing system
JP2008538654A (en) 2005-04-22 2008-10-30 アプライド マテリアルズ インコーポレイテッド Cartesian robot cluster tool construction
TWI323009B (en) 2005-05-09 2010-04-01 Tokyo Electron Ltd Heating device, coating and developing apparatus, and heating method
US7780438B2 (en) 2005-05-09 2010-08-24 Tokyo Electron Limited Substrate heating apparatus and method and coating and developing system
US20070058147A1 (en) 2005-09-14 2007-03-15 Tetsuya Hamada Apparatus for and method of processing substrate subjected to exposure process
US20070077171A1 (en) 2005-09-14 2007-04-05 Tetsuya Hamada Apparatus for and method of processing substrate subjected to exposure process
JP2007081121A (en) 2005-09-14 2007-03-29 Dainippon Screen Mfg Co Ltd Substrate treatment apparatus
JP2007081117A (en) 2005-09-14 2007-03-29 Dainippon Screen Mfg Co Ltd Substrate treatment apparatus and substrate treatment method
JP2007095893A (en) 2005-09-28 2007-04-12 Dainippon Screen Mfg Co Ltd Substrate treatment apparatus
JP2008288498A (en) 2007-05-21 2008-11-27 Dainippon Screen Mfg Co Ltd Substrate processing equipment

Non-Patent Citations (22)

* Cited by examiner, † Cited by third party
Title
English language translation of the First Office Action directed toward related CN Application No. 201010163953.1, dated Sep. 28, 2011 from the State Intellectual Property Office of People's Republic of China, Beijing, P.R. China; 3 pages.
English Translation of Notice of Reasons for Rejection directed to related Japanese Patent Application No. 2008-148196, mailed on Jan. 21, 2011, from the Japanese Patent Office; 5 pages.
English-Language Abstract for JP 03-154324 A, published Jul. 2, 1991; 1 page.
English-Language Abstract for JP 04-239720 A, published Aug. 27, 1992; 1 page.
English-Language Abstract for JP 07-142356 A, published Jun. 2, 1995; 1 page.
English-Language Abstract for JP 09-074126 A, published Mar. 18, 1997; 1 page.
English-Language Abstract for JP 10-284413 A, published Oct. 23, 1998; 1 page.
English-Language Abstract for JP 11-154637 A, published Jun. 8, 1999; 1 page.
English-Language Abstract for JP 2001-308005 A, published Nov. 2, 2001; 1 page.
English-Language Abstract for JP 2004-193597 A, published Jul. 8, 2004; 1 page.
English-Language Abstract for JP 2004-253507 A, published Sep. 9, 2004; 1 page.
English-Language Abstract for JP 2004-342654 A, published Dec. 2, 2004; 1 page.
English-Language Abstract for JP 2005-057294 A, published Mar. 3, 2005; 1 page.
English-Language Abstract for JP 2005-101058 A, published Apr. 14, 2005; 1 page.
English-Language Abstract for JP 2005-175310 A, published Jun. 30, 2005; 1 page.
English-Language Abstract for JP 2006-222398 A, published Aug. 24, 2005; 1 page.
English-Language Abstract for JP 2006-287178 A, published Oct. 19, 2006; 1 page.
English-Language Abstract for JP 2007-081117 A, published Mar. 29, 2007; 1 page.
English-Language Abstract for JP 2007-081121 A, published Mar. 29, 2007; 1 page.
English-Language Abstract for JP 2007-095893 A, published Apr. 12, 2007; 1 page.
English-Language Abstract for JP 2008-288498 A, published Nov. 27, 2008; 1 page.
Translation of Notification of Reasons for Refusal mailed Jan. 27, 2010 for Korean Patent Application No. 10-2008-0053369, 4 pgs.

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11234442B2 (en) 2016-12-07 2022-02-01 R. Weiss Verpackungstechnik Gmbh & Co. Kg Device for storing, baking, and discharging bakery goods
US10809631B2 (en) * 2017-04-25 2020-10-20 Asml Netherlands B.V. Method of monitoring and device manufacturing method

Also Published As

Publication number Publication date
KR20080107317A (en) 2008-12-10
TW200905419A (en) 2009-02-01
JP2009044131A (en) 2009-02-26
CN101846891B (en) 2012-12-26
CN101354541B (en) 2013-05-08
KR100965615B1 (en) 2010-06-23
CN101846891A (en) 2010-09-29
CN101354541A (en) 2009-01-28
JP4832470B2 (en) 2011-12-07
US20080304940A1 (en) 2008-12-11
TWI457724B (en) 2014-10-21

Similar Documents

Publication Publication Date Title
US8636458B2 (en) Integrated post-exposure bake track
TWI401761B (en) Apparatus for treating substrate and method for transferring substrate using the same
US7379785B2 (en) Substrate processing system, coating/developing apparatus, and substrate processing apparatus
US8206076B2 (en) Substrate processing system
US7819079B2 (en) Cartesian cluster tool configuration for lithography type processes
US8019467B2 (en) Scheduling method for processing equipment
US7522968B2 (en) Scheduling method for processing equipment
JP2004193597A (en) Substrate treatment system, and coating and developing apparatus
US7597492B2 (en) Coating and developing system, coating and developing method and storage medium
US7004708B2 (en) Apparatus for processing wafers
US20100192844A1 (en) Apparatus and method for treating substrate
US7563043B2 (en) Coating/developing apparatus and substrate transfer method
WO2005101485A1 (en) Substrate processing equipment and substrate processing method
KR101161467B1 (en) Coating and developing apparatus, control method of coating and developing apparatus and recording medium
US20090021704A1 (en) Coating/developing apparatus and operation method thereof
JP4018965B2 (en) Substrate processing equipment
US20070003842A1 (en) Software sequencer to dynamically adjust wafer transfer decision
KR20060048322A (en) Small lot size lithography bays
JP6121832B2 (en) Substrate processing apparatus, substrate processing method, and substrate processing system
JP6949511B2 (en) Board processing system and board processing equipment
JP4869919B2 (en) Method and system for compensating timing variations of a scanner system in a semiconductor wafer manufacturing system
JP2007005334A (en) Substrate processing system and substrate processing method
JPH10335220A (en) Processing device
TW202409959A (en) Payload transportation system and method
JP2004087878A (en) Method for controlling substrate processing device

Legal Events

Date Code Title Description
AS Assignment

Owner name: ASML, NETHERLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:AUER-JONGEPIER, SUZAN L.;ONVLEE, JOHANNES;BARTRAY, PETRUS R.;AND OTHERS;REEL/FRAME:019594/0971;SIGNING DATES FROM 20070508 TO 20070613

Owner name: ASML, NETHERLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:AUER-JONGEPIER, SUZAN L.;ONVLEE, JOHANNES;BARTRAY, PETRUS R.;AND OTHERS;SIGNING DATES FROM 20070508 TO 20070613;REEL/FRAME:019594/0971

AS Assignment

Owner name: ASML NETHERLANDS B.V., NETHERLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:ONVLEE, JOHANNES;BARTRAY, PETRUS RUTGERUS;LUTTIKHUIS, BERNARDUS ANTONIUS JOHANNES;AND OTHERS;REEL/FRAME:021521/0471;SIGNING DATES FROM 20080626 TO 20080721

Owner name: ASML NETHERLANDS B.V., NETHERLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:ONVLEE, JOHANNES;BARTRAY, PETRUS RUTGERUS;LUTTIKHUIS, BERNARDUS ANTONIUS JOHANNES;AND OTHERS;SIGNING DATES FROM 20080626 TO 20080721;REEL/FRAME:021521/0471

FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

STCF Information on status: patent grant

Free format text: PATENTED CASE

CC Certificate of correction
FPAY Fee payment

Year of fee payment: 4

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 8TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1552); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 8