US7659181B2 - Sub-micron space liner and filler process - Google Patents

Sub-micron space liner and filler process Download PDF

Info

Publication number
US7659181B2
US7659181B2 US11/557,014 US55701406A US7659181B2 US 7659181 B2 US7659181 B2 US 7659181B2 US 55701406 A US55701406 A US 55701406A US 7659181 B2 US7659181 B2 US 7659181B2
Authority
US
United States
Prior art keywords
liner
depositing
substrate
trench
recess
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active, expires
Application number
US11/557,014
Other versions
US20070059899A1 (en
Inventor
John A. Smythe, III
Jigish D. Trivedi
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
US Bank NA
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Priority to US11/557,014 priority Critical patent/US7659181B2/en
Publication of US20070059899A1 publication Critical patent/US20070059899A1/en
Application granted granted Critical
Publication of US7659181B2 publication Critical patent/US7659181B2/en
Assigned to U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT reassignment U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT SECURITY INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: MICRON TECHNOLOGY, INC.
Assigned to MORGAN STANLEY SENIOR FUNDING, INC., AS COLLATERAL AGENT reassignment MORGAN STANLEY SENIOR FUNDING, INC., AS COLLATERAL AGENT PATENT SECURITY AGREEMENT Assignors: MICRON TECHNOLOGY, INC.
Assigned to U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT reassignment U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT CORRECTIVE ASSIGNMENT TO CORRECT THE REPLACE ERRONEOUSLY FILED PATENT #7358718 WITH THE CORRECT PATENT #7358178 PREVIOUSLY RECORDED ON REEL 038669 FRAME 0001. ASSIGNOR(S) HEREBY CONFIRMS THE SECURITY INTEREST. Assignors: MICRON TECHNOLOGY, INC.
Assigned to JPMORGAN CHASE BANK, N.A., AS COLLATERAL AGENT reassignment JPMORGAN CHASE BANK, N.A., AS COLLATERAL AGENT SECURITY INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: MICRON SEMICONDUCTOR PRODUCTS, INC., MICRON TECHNOLOGY, INC.
Assigned to MICRON TECHNOLOGY, INC. reassignment MICRON TECHNOLOGY, INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT
Assigned to MICRON TECHNOLOGY, INC. reassignment MICRON TECHNOLOGY, INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: MORGAN STANLEY SENIOR FUNDING, INC., AS COLLATERAL AGENT
Assigned to MICRON TECHNOLOGY, INC., MICRON SEMICONDUCTOR PRODUCTS, INC. reassignment MICRON TECHNOLOGY, INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: JPMORGAN CHASE BANK, N.A., AS COLLATERAL AGENT
Active legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials

Definitions

  • This invention relates generally to the field of integrated circuit fabrication, and more specifically to the deposition and processing of spin-on dielectric materials.
  • Trench isolation is the practice of creating trenches in the substrate in order to separate electrical components on the chip. The trenches are filled with an insulator that will prevent cross-talk between transistors.
  • Shallow Trench Isolation is becoming more prevalent in the design of integrated circuits.
  • the trench width is becoming increasingly smaller with successive generations. The size can vary, but a trench less than a micron wide has become quite common.
  • STI shrinks the area needed to isolate transistors from each other.
  • STI also offers smaller channel width encroachment and better planarity than technologies used for larger process nodes.
  • STI trenches are typically filled with oxide, but how that is done varies. They can be filled by chemical vapor deposition (CVD), such as high-density plasma chemical vapor deposition (HDP CVD) using tetraethyl orthosilicate (TEOS) as a precursor with or without ozone.
  • CVD chemical vapor deposition
  • HDP CVD high-density plasma chemical vapor deposition
  • TEOS tetraethyl orthosilicate
  • CVD deposits the material from the outside of the trench inwards, leading to pinching at the upper corners. This leads to problems such as the creation of voids, areas where the filler does not accumulate, or to seams where the growth from the sides of the edges meets. Such seams can create inconsistencies in subsequent processing, such as planarization or other etch steps.
  • HDP CVD methods can also result in undesired erosion of underlying features.
  • spin-on deposition entails dripping a liquid precursor onto the wafer surface in a predetermined amount.
  • the wafer is subjected to rapid spinning (e.g., up to 6000 rpm).
  • the spinning uniformly distributes the liquid on the surface by centrifugal forces.
  • the liquid fills low points and automatically planarizes the surface.
  • the coating is baked in order to solidify the material. While spin-on can be more expensive and difficult to implement, it is seen as the long-range solution for the deposition of dielectric materials because of its ability to fill with no seam, void, or erosion problems.
  • a method for creating a shallow trench comprises etching a trench on a wafer.
  • the method comprises depositing a liner on surfaces of the trench, filling the trench with a dielectric material, and densifying the dielectric material with a process that will cause the liner to expand.
  • a method of densifying liquid dielectric material begins with curing a substrate in a curing chamber in a steam ambient environment. While the substrate is in the chamber, the temperature of the chamber is ramped up to a target temperature. The substrate is then annealed at a temperature within a range of the target temperature.
  • a amorphous silicon layer lines a trench.
  • the trench is filled with a dielectric filler.
  • the liner is then expanded while the filler is contracted.
  • an amorphous silicon layer lines a trench.
  • the trench is filled with a dielectric filler.
  • the liner is then expanded while the filler is contracted.
  • FIG. 1 is a schematic, cross-sectional side view of the substrate with a thin “pad oxide” grown over the surface of the substrate, a thicker layer of silicon nitride (Si 3 N 4 ), and a photoresist mask in accordance with a starting point for preferred embodiments of the present invention.
  • FIG. 2 is a schematic, cross-sectional side view of the substrate of FIG. 1 after a trench has been formed with a reactive ion etch (RIE).
  • RIE reactive ion etch
  • FIG. 3 is a schematic, cross-sectional side view of the substrate of FIG. 2 with thin oxide, nitride, and amorphous silicon layers lining the trench.
  • FIG. 4 is a schematic, cross-sectional side view of the substrate of FIG. 3 with a layer of spin-on dielectric material filling the trench.
  • FIG. 5 is a schematic, cross-sectional side view of the substrate of FIG. 4 after a curing and densification process.
  • FIG. 6 is a schematic, cross-sectional side view of the substrate of FIG. 5 after chemical mechanical polishing (CMP) the oxide down to the top nitride surface.
  • CMP chemical mechanical polishing
  • FIG. 7 is a flow chart of a process for shallow trench isolation in accordance with the preferred embodiment.
  • FIG. 8 is a flow chart of a process for densification of spin-on dielectric in accordance with the preferred embodiment of the present invention.
  • SOD spin-on dielectric
  • a liner material that expands irreversibly when oxidized.
  • a nitride layer is deposited to protect the active area of the trench.
  • An expandable liner is deposited over the nitride liner before the trench is filled with a dielectric material. When the dielectric material is densified, the liner expands. The dielectric material reduces in size significantly as the material is densified. The expanding liner serves to evenly compress the dielectric material. In this manner, the combination of the liner and the densification process yields excellent bulk density in sub-micron spaces.
  • the liner material is preferably easily deposited in small spaces and irreversibly expanded when oxidized.
  • the liner is amorphous silicon. As amorphous silicon oxidizes into silicon oxide, it expands. Other materials that expand upon oxidation, such as polysilicon, could also be used.
  • the densification of the materials within sub-micron spaces is also helpful to the proper filling of the space.
  • the wafer is cured in a steam chamber. While the wafer is in the chamber, the temperature is increased. After the temperature reaches the target, the wafer anneal continues at a temperature plateau.
  • the densification process has the benefit of densifying the spin-on material sufficiently without damaging any of the surrounding materials. A beneficial result of this densification process is the matching of wet etch resistance in small and large features.
  • An introductory step is the creation of a sub-micron space, such as a trench for shallow trench isolation (STI).
  • a semiconductor substrate 10 e.g., a silicon wafer
  • a thin “pad” oxide 12 is thermally grown on the substrate.
  • a thick layer of a silicon nitride 14 preferably Si 3 N 4
  • the nitride 14 is preferably formed by chemical vapor deposition (CVD).
  • This nitride layer 14 acts as a stop for the chemical mechanical polishing (CMP) process.
  • Exemplary thickness ranges are between about 30 ⁇ and 100 ⁇ for the pad oxide 12 and between about 200 ⁇ and 1500 ⁇ for the nitride layer 14 .
  • a photoresist mask 16 is applied to the substrate 10 in order to etch the trench.
  • Photoresist is applied on the surface of the wafer.
  • a reticle that blocks ultraviolet (UV) radiation is then placed over the wafer.
  • the photoresist is then selectively exposed to UV radiation.
  • the developing solution washes away either exposed or unexposed regions.
  • the photoresist mask 16 of FIG. 2 is removed by conventional resist strip process.
  • a trench can be etched in two primary ways, isotropically or anisotropically.
  • the anisotropic method is directional and produces relatively straight, vertical sidewalls.
  • One type of anisotropic etch is known as reactive ion etch (RIE).
  • RIE reactive ion etch
  • FIG. 2 this method is quite accurate and straight; however, it damages sidewalls 18 of the trench, which define edges of transistor active areas.
  • the sidewalls 18 are preferably oxidized, forming a thin oxide layer 24 , in order to repair the damage from the prior RIE.
  • an insulating oxygen barrier in the illustrated embodiment comprising another nitride layer 20 , is deposited in the trench, preferably by CVD.
  • This layer can range in thickness of preferably between about 10 angstroms ( ⁇ ) and 300 ⁇ , more preferably between about 20 ⁇ and 200 ⁇ , and most preferably between about 30 ⁇ and 150 ⁇ .
  • the nitride layer 20 both protects the active area and acts as an oxygen barrier between the semiconductor layer and the filler materials.
  • a thin expandable liner layer 22 is formed in the trench.
  • This liner layer 22 can be formed in several ways, but the layer is preferably deposited using CVD.
  • the liner material preferably expands during a densification process described below.
  • the liner preferably comprises amorphous silicon with a thickness of between about 20 ⁇ and 200 ⁇ , more preferably between 25 ⁇ and 150 ⁇ , most preferably between 50 ⁇ and 100 ⁇ .
  • the liner is completely oxidized during the densification process described below. Because amorphous silicon is easy to apply using CVD and expands relatively uniformly upon oxidation, it makes an excellent liner layer 22 . Additionally, amorphous silicon makes a high quality oxide when oxidized.
  • a spin-on deposition process is preferably used to deposit a dielectric material 26 into the remaining space in the trench, as shown in FIG. 4 .
  • the thickness of this layer 26 will vary based upon the size of the trench, but in the illustrated embodiment the thickness of the material is preferably between 2500 ⁇ and 5500 ⁇ , more preferably between 3000 ⁇ and 4500 ⁇ .
  • Spin-on deposition uses liquid materials placed on a wafer. The wafer is then rapidly spun, which spreads the liquid uniformly over the surface of the wafer after filling the low points on the wafer.
  • An example of a spin-on material is SpinfilTM made by Clariant (Japan) K.K.—Life Science & Electronic Chemicals of Tokyo, Japan. However, the skilled practitioner will appreciate that many dielectric materials can be used for these purposes.
  • TEOS CVD TEOS
  • amorphous silicon liner could also be beneficial for this process.
  • the amorphous silicon liner would expand upon oxidation, compressing the TEOS filler. Skilled practitioners will appreciate that several deposition processes could be used to fill the trench.
  • Clariant the manufacturer of the spin-on dielectric (SOD) material, was found unsatisfactory for the purposes of such small spaces.
  • Clariant's SpinfilTM SOD material based upon perhydrosilazane (SiH 2 NH), has a recommended baking recipe as follows:
  • the densification process must also be balanced by the need for the densification process to be mild enough to avoid oxidizing the nitride layer in addition to the SOD material and the amorphous silicon layer. If the nitride layer is oxidized, the semiconductor sidewalls that define the edges of transistor active areas could also be subsequently oxidized, thereby consuming critical transistor real estate. Also, preferably, the materials within the trench should not significantly shrink away from the walls much.
  • a preferred embodiment of a densification process is shown in flow chart form in FIG. 8 .
  • 200 a prepared wafer is placed in a chamber.
  • the wafer is preferably heated 210 to an initial temperature of between about 200° C. and 600° C., more preferably between 300° C. and 500° C., most preferably between 350° C. and 450° C. in the chamber.
  • steam is then turned on 220 in the chamber.
  • the heat ramps 230 up to a target temperature between approximately 800° C. and 1200° C., more preferably between 900° C. and 1100° C., and most preferably between 950° C. and 1050° C.
  • the increase of the temperature in the chamber is stopped 240 when it gets to this target temperature.
  • the temperature can increase approximately between about 3° C. per minute to 25° C. per minute, more preferably between about 8° C. and 20° C.
  • the wafer is in an oxidizing environment, preferably an ambient steam environment.
  • the wafer is annealed 250 for approximately 10 to 40 minutes, more preferably between 15 min and 35 min, at the temperature plateau on steady state.
  • the wafer is annealed in a second oxidizing environment, preferably in a dry oxygen (O 2 ) environment.
  • O 2 dry oxygen
  • FIG. 5 shows the trench and surrounding area after the densification process.
  • a linear volume decrease of preferably about 7% to 25%, or more preferably between about 12% and 18%, takes place.
  • the volume of the spin-on dielectric material will shrink linearly by approximately 15% as it turns into a layer of silicon oxide 32 .
  • the process will oxidize both the SOD material and the amorphous silicon liner. As the SOD material oxidizes and shrinks, the preferred amorphous silicon layer will expand as it turns into a layer of liner silicon oxide 30 . If the thickness of the amorphous silicon layer is selected properly, the entirety of the layer will be consumed during the oxidation.
  • the nitride liner 20 below the liner will not be oxidized because the oxidation process is not that aggressive, and furthermore the liner 20 preferably getters excess oxidant. Both the SOD material and the liner will become forms of silicon oxide in the densification process. However, the filler silicon oxide 32 that was the SOD material may etch faster than the liner silicon oxide 30 that was the amorphous silicon liner.
  • the expandable liner 22 of FIG. 4 serves to compress the dielectric material evenly.
  • the liner's functions include compressing the dielectric materials evenly and acting as a getter of oxygen for the dielectric material during the densification process.
  • a CMP or other etchback process can be used to remove undesired materials on top of the wafer.
  • the consistent and slow etch rates in the layers of silicon oxide 30 , 32 substantially reduces any recess formed at the top of the trench.
  • the nitride layer 20 in the trench remains in the trench after the densification process to protect the active areas adjacent to the trench.
  • the liner and the densification process yield two silicon oxide layers that have a neglible vertical wet etch rate gradient.
  • the wet etch rate is substantially consistent from the top to the bottom of the trench in each of the layer.
  • a narrower trench will have a bigger gradient.
  • the even compression by the liner creates substantial uniformity of wet etch rate gradients in the densified dielectric material from trench to trench, even when one trench is significantly narrower.
  • a trench with a width of w will have a substantially similar wet etch rate gradient in the filler silicon oxide 32 as a trench with a width of 3 w, 5 w, or even 10 w.
  • the vertical etch rate gradient of two trenches of widths varying by an order of magnitude is within 5%, more preferably within 2%. This consistency is helpful when performing etches, CMPs, and wet cleans across an entire wafer.
  • the filler material does not have a seam as would result if the trench were filled using CVD process such as ozone TEOS. This avoids problems with subsequent processing, including planarizations and etches.
  • FIG. 6 shows the structure after the process is completed and the wafer has been through etching and a wet clean. It can be seen that there a substantially reduced recess on the top of the shallow trench.
  • the nitride liner 20 is not oxidized beneath the silicon oxide.
  • the first, thinner liner oxide layer 30 is a thermal oxide formed from the amorphous silicon liner.
  • the second filler oxide layer 32 is the thicker layer of silicon oxide, which is a spin-on dielectric. It typically has a higher wet etch rate than that of the thermal oxide in small features.

Abstract

A method of depositing dielectric material into sub-micron spaces and resultant structures is provided. After a trench is etched in the surface of a wafer, an oxygen barrier is deposited into the trench. An expandable, oxidizable liner, preferably amorphous silicon, is then deposited. The trench is then filled with a spin-on dielectric (SOD) material. A densification process is then applied, whereby the SOD material contracts and the oxidizable liner expands. Preferably, the temperature is ramped up while oxidizing during at least part of the densification process. The resulting trench has a negligible vertical wet etch rate gradient and a negligible recess at the top of the trench.

Description

CROSS-REFERENCE TO RELATED APPLICATIONS
This application is a continuation of U.S. patent application Ser. No. 11/497,665, filed Aug. 1, 2006, which is a divisional of U.S. patent application Ser. No. 10/782,997, filed Feb. 19, 2004, now U.S. Pat. No. 7,112,513, issued Sep. 26, 2006, which are entirely incorporated herein by reference.
This application is also related to U.S. patent application Ser. No. 11/470,150, filed Sep. 5, 2006, which is a divisional of application Ser. No. 10/925,715, filed Aug. 24, 2004; and Ser. No. 11/009,665, filed Dec. 4, 2004.
BACKGROUND OF THE INVENTION
1. Field of the Invention
This invention relates generally to the field of integrated circuit fabrication, and more specifically to the deposition and processing of spin-on dielectric materials.
2. Description of the Related Art
Integrated circuit manufacturers increasingly face difficulties with scaling. According to Moore's Law, the number of transistors per unit of area grows exponentially. For this to continue, several major changes in semiconductor manufacturing are expected. Transistors are not the only devices that must get smaller on an integrated circuit. Even though packing transistors closer is important, they must still be electrically separated from each other. One method of keeping transistors separate from each other is known as trench isolation. Trench isolation is the practice of creating trenches in the substrate in order to separate electrical components on the chip. The trenches are filled with an insulator that will prevent cross-talk between transistors.
Shallow Trench Isolation (STI) is becoming more prevalent in the design of integrated circuits. In STI, the trench width is becoming increasingly smaller with successive generations. The size can vary, but a trench less than a micron wide has become quite common. STI shrinks the area needed to isolate transistors from each other. STI also offers smaller channel width encroachment and better planarity than technologies used for larger process nodes.
STI trenches are typically filled with oxide, but how that is done varies. They can be filled by chemical vapor deposition (CVD), such as high-density plasma chemical vapor deposition (HDP CVD) using tetraethyl orthosilicate (TEOS) as a precursor with or without ozone. However, filling these trenches with oxide gets more challenging as the width of the trenches gets smaller and aspect ratios thus increase. CVD deposits the material from the outside of the trench inwards, leading to pinching at the upper corners. This leads to problems such as the creation of voids, areas where the filler does not accumulate, or to seams where the growth from the sides of the edges meets. Such seams can create inconsistencies in subsequent processing, such as planarization or other etch steps. HDP CVD methods can also result in undesired erosion of underlying features.
Another major method for filling isolation trenches is known as spin-on deposition. Spin-on deposition entails dripping a liquid precursor onto the wafer surface in a predetermined amount. The wafer is subjected to rapid spinning (e.g., up to 6000 rpm). The spinning uniformly distributes the liquid on the surface by centrifugal forces. The liquid fills low points and automatically planarizes the surface. Finally, the coating is baked in order to solidify the material. While spin-on can be more expensive and difficult to implement, it is seen as the long-range solution for the deposition of dielectric materials because of its ability to fill with no seam, void, or erosion problems.
However, there have been many problems with the implementation of trench-fill systems. For the spin-on system, one of these problems has been achieving acceptable bulk density in sub-micron STI trenches. There are some methods of achieving density in these sub-micron spaces, including the use of electron-beam and steam oxidation curing. However, available methods do not provide a full solution to the problems faced in this arena. For example, the inventors have found that known methods of densification can lead to material that is too vulnerable to recess at the top of the trench during polishing and wet cleans. Thus, the available solutions do not fully address the problems related to this area.
SUMMARY OF THE INVENTION
In accordance with one aspect of the invention, a method is provided for creating a shallow trench comprises etching a trench on a wafer. The method comprises depositing a liner on surfaces of the trench, filling the trench with a dielectric material, and densifying the dielectric material with a process that will cause the liner to expand.
In another aspect of the invention, a method of densifying liquid dielectric material begins with curing a substrate in a curing chamber in a steam ambient environment. While the substrate is in the chamber, the temperature of the chamber is ramped up to a target temperature. The substrate is then annealed at a temperature within a range of the target temperature.
In another aspect of the invention, a amorphous silicon layer lines a trench. The trench is filled with a dielectric filler. The liner is then expanded while the filler is contracted.
In another aspect of the invention, an amorphous silicon layer lines a trench. The trench is filled with a dielectric filler. The liner is then expanded while the filler is contracted.
BRIEF DESCRIPTION OF THE DRAWINGS
These and other aspects of the invention will be better understood from the Detailed Description of the Preferred Embodiments and from the appended drawings, which are meant to illustrate and not to limit the invention, and wherein:
FIG. 1 is a schematic, cross-sectional side view of the substrate with a thin “pad oxide” grown over the surface of the substrate, a thicker layer of silicon nitride (Si3N4), and a photoresist mask in accordance with a starting point for preferred embodiments of the present invention.
FIG. 2 is a schematic, cross-sectional side view of the substrate of FIG. 1 after a trench has been formed with a reactive ion etch (RIE).
FIG. 3 is a schematic, cross-sectional side view of the substrate of FIG. 2 with thin oxide, nitride, and amorphous silicon layers lining the trench.
FIG. 4 is a schematic, cross-sectional side view of the substrate of FIG. 3 with a layer of spin-on dielectric material filling the trench.
FIG. 5 is a schematic, cross-sectional side view of the substrate of FIG. 4 after a curing and densification process.
FIG. 6 is a schematic, cross-sectional side view of the substrate of FIG. 5 after chemical mechanical polishing (CMP) the oxide down to the top nitride surface.
FIG. 7 is a flow chart of a process for shallow trench isolation in accordance with the preferred embodiment.
FIG. 8 is a flow chart of a process for densification of spin-on dielectric in accordance with the preferred embodiment of the present invention.
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT
Bulk density of spin-on dielectric (SOD) materials can be achieved in sub-micron spaces, such as isolation trenches, through the use of a liner material that expands irreversibly when oxidized. In the illustrated embodiments described below, after a trench is formed, the sidewalls of the trench are oxidized, and a nitride layer is deposited to protect the active area of the trench. An expandable liner is deposited over the nitride liner before the trench is filled with a dielectric material. When the dielectric material is densified, the liner expands. The dielectric material reduces in size significantly as the material is densified. The expanding liner serves to evenly compress the dielectric material. In this manner, the combination of the liner and the densification process yields excellent bulk density in sub-micron spaces.
The liner material is preferably easily deposited in small spaces and irreversibly expanded when oxidized. In a preferred embodiment, the liner is amorphous silicon. As amorphous silicon oxidizes into silicon oxide, it expands. Other materials that expand upon oxidation, such as polysilicon, could also be used.
The densification of the materials within sub-micron spaces is also helpful to the proper filling of the space. In a preferred embodiment, the wafer is cured in a steam chamber. While the wafer is in the chamber, the temperature is increased. After the temperature reaches the target, the wafer anneal continues at a temperature plateau. The densification process has the benefit of densifying the spin-on material sufficiently without damaging any of the surrounding materials. A beneficial result of this densification process is the matching of wet etch resistance in small and large features.
In order to attain better bulk density in small spaces, such as spaces smaller than a micron in width, improvements are made to the process of depositing these materials. Spin-on deposition is preferably used in order to avoid creating a seam in the deposited material. The skilled artisan, however, will readily find application for the principles and advantages taught herein to the use of other filler materials within trenches in a variety of fabrication contexts.
Creating the Sub-micron Space
An introductory step is the creation of a sub-micron space, such as a trench for shallow trench isolation (STI). As shown in FIG. 1, a semiconductor substrate 10, e.g., a silicon wafer, is provided and a thin “pad” oxide 12 is thermally grown on the substrate. Afterward a thick layer of a silicon nitride 14, preferably Si3N4, is formed. The nitride 14 is preferably formed by chemical vapor deposition (CVD). This nitride layer 14 acts as a stop for the chemical mechanical polishing (CMP) process. Exemplary thickness ranges are between about 30 Å and 100 Å for the pad oxide 12 and between about 200 Å and 1500 Å for the nitride layer 14.
As shown in FIG. 1, a photoresist mask 16 is applied to the substrate 10 in order to etch the trench. Photoresist is applied on the surface of the wafer. A reticle that blocks ultraviolet (UV) radiation is then placed over the wafer. The photoresist is then selectively exposed to UV radiation. Depending upon whether positive or negative resist is employed, the developing solution washes away either exposed or unexposed regions. After the trench is etched, the photoresist mask 16 of FIG. 2 is removed by conventional resist strip process.
A trench can be etched in two primary ways, isotropically or anisotropically. The anisotropic method is directional and produces relatively straight, vertical sidewalls. One type of anisotropic etch is known as reactive ion etch (RIE). As shown in FIG. 2, this method is quite accurate and straight; however, it damages sidewalls 18 of the trench, which define edges of transistor active areas. As shown in FIG. 3, the sidewalls 18 are preferably oxidized, forming a thin oxide layer 24, in order to repair the damage from the prior RIE.
Filling the Trench
In order to protect the active areas adjacent to the trench from subsequent processing, an insulating oxygen barrier, in the illustrated embodiment comprising another nitride layer 20, is deposited in the trench, preferably by CVD. This layer can range in thickness of preferably between about 10 angstroms (Å) and 300 Å, more preferably between about 20 Å and 200 Å, and most preferably between about 30 Å and 150 Å. The nitride layer 20 both protects the active area and acts as an oxygen barrier between the semiconductor layer and the filler materials.
As shown in FIG. 3, a thin expandable liner layer 22 is formed in the trench. This liner layer 22 can be formed in several ways, but the layer is preferably deposited using CVD. The liner material preferably expands during a densification process described below. In a preferred embodiment, the liner preferably comprises amorphous silicon with a thickness of between about 20 Å and 200 Å, more preferably between 25 Å and 150 Å, most preferably between 50 Å and 100 Å. Preferably, the liner is completely oxidized during the densification process described below. Because amorphous silicon is easy to apply using CVD and expands relatively uniformly upon oxidation, it makes an excellent liner layer 22. Additionally, amorphous silicon makes a high quality oxide when oxidized.
A spin-on deposition process is preferably used to deposit a dielectric material 26 into the remaining space in the trench, as shown in FIG. 4. The thickness of this layer 26 will vary based upon the size of the trench, but in the illustrated embodiment the thickness of the material is preferably between 2500 Å and 5500 Å, more preferably between 3000 Å and 4500 Å. Spin-on deposition uses liquid materials placed on a wafer. The wafer is then rapidly spun, which spreads the liquid uniformly over the surface of the wafer after filling the low points on the wafer. An example of a spin-on material is Spinfil™ made by Clariant (Japan) K.K.—Life Science & Electronic Chemicals of Tokyo, Japan. However, the skilled practitioner will appreciate that many dielectric materials can be used for these purposes.
Other processes, such as TEOS CVD, can also be used to fill the trench. While filling by CVD would not yield the best possible results, the amorphous silicon liner could also be beneficial for this process. The amorphous silicon liner would expand upon oxidation, compressing the TEOS filler. Skilled practitioners will appreciate that several deposition processes could be used to fill the trench.
Densification Process
The densification process recommended by Clariant, the manufacturer of the spin-on dielectric (SOD) material, was found unsatisfactory for the purposes of such small spaces. Clariant's Spinfil™ SOD material, based upon perhydrosilazane (SiH2NH), has a recommended baking recipe as follows:
1) 3 min of hot plate baking at 150° C.,
2) 30 min at 700-800° C. in steam ambient
3) Annealing for STI at 800-1000° C. in dry oxygen.
However, this process was found problematic for trenches that are very small, particularly where trenches of a variety of widths across the substrate are to be filled. With this process, during the subsequent etchings, CMP, and wet cleans, the trench-fill material has been found to recess too much. Also, the wet etch rates and density of the material within the trench after the densification was not consistent from the top to the bottom as in larger features. A new process was needed in order to correct the problems. The process preferably densifies the material enough so that it does not recess excessively when being planarized, etched, or wet cleaned. This preference must also be balanced by the need for the densification process to be mild enough to avoid oxidizing the nitride layer in addition to the SOD material and the amorphous silicon layer. If the nitride layer is oxidized, the semiconductor sidewalls that define the edges of transistor active areas could also be subsequently oxidized, thereby consuming critical transistor real estate. Also, preferably, the materials within the trench should not significantly shrink away from the walls much.
A preferred embodiment of a densification process is shown in flow chart form in FIG. 8. Preferably, 200 a prepared wafer is placed in a chamber. The wafer is preferably heated 210 to an initial temperature of between about 200° C. and 600° C., more preferably between 300° C. and 500° C., most preferably between 350° C. and 450° C. in the chamber. Preferably, steam is then turned on 220 in the chamber. From the initial temperature, the heat ramps 230 up to a target temperature between approximately 800° C. and 1200° C., more preferably between 900° C. and 1100° C., and most preferably between 950° C. and 1050° C. The increase of the temperature in the chamber is stopped 240 when it gets to this target temperature. The temperature can increase approximately between about 3° C. per minute to 25° C. per minute, more preferably between about 8° C. and 20° C. During the escalation of the temperature, the wafer is in an oxidizing environment, preferably an ambient steam environment. After the temperature is ramped up, the wafer is annealed 250 for approximately 10 to 40 minutes, more preferably between 15 min and 35 min, at the temperature plateau on steady state. In the preferred embodiment, the wafer is annealed in a second oxidizing environment, preferably in a dry oxygen (O2) environment. Finally, after the process is done, the wafer is removed 260 from the chamber.
In this process the steam reacts with the polysilizane on the heated substrate. As the temperature rises, the reaction begins to increase the rate of oxidation. The chemical reaction associated with the densifying process of the preferred spin-on dielectric, polysilizane, is shown below:
SixNyHz+H2O→SiO2+H2+NH3
FIG. 5 shows the trench and surrounding area after the densification process. During the densification process, a linear volume decrease of preferably about 7% to 25%, or more preferably between about 12% and 18%, takes place. In other words, the volume of the spin-on dielectric material will shrink linearly by approximately 15% as it turns into a layer of silicon oxide 32. In the preferred embodiment, the process will oxidize both the SOD material and the amorphous silicon liner. As the SOD material oxidizes and shrinks, the preferred amorphous silicon layer will expand as it turns into a layer of liner silicon oxide 30. If the thickness of the amorphous silicon layer is selected properly, the entirety of the layer will be consumed during the oxidation. The nitride liner 20 below the liner will not be oxidized because the oxidation process is not that aggressive, and furthermore the liner 20 preferably getters excess oxidant. Both the SOD material and the liner will become forms of silicon oxide in the densification process. However, the filler silicon oxide 32 that was the SOD material may etch faster than the liner silicon oxide 30 that was the amorphous silicon liner.
The expandable liner 22 of FIG. 4 serves to compress the dielectric material evenly. The liner's functions include compressing the dielectric materials evenly and acting as a getter of oxygen for the dielectric material during the densification process. As the preferred amorphous silicon layer 22 is oxidized, it expands uniformly from its position along the sidewalls of the trench, evenly compressing the dielectric material. This reinforces the constant wet etch rate in each of the sections of silicon oxide from the top of the trench to the bottom of the trench.
Structure
As shown in FIG. 6, a CMP or other etchback process can be used to remove undesired materials on top of the wafer. The consistent and slow etch rates in the layers of silicon oxide 30, 32 substantially reduces any recess formed at the top of the trench. The nitride layer 20 in the trench remains in the trench after the densification process to protect the active areas adjacent to the trench.
When used in combination, the liner and the densification process yield two silicon oxide layers that have a neglible vertical wet etch rate gradient. In other words, the wet etch rate is substantially consistent from the top to the bottom of the trench in each of the layer. Typically, a narrower trench will have a bigger gradient. However, this was not the case for the preferred process described above when both the amorphous silicon liner and the densification process were used. The even compression by the liner creates substantial uniformity of wet etch rate gradients in the densified dielectric material from trench to trench, even when one trench is significantly narrower. For example, a trench with a width of w will have a substantially similar wet etch rate gradient in the filler silicon oxide 32 as a trench with a width of 3 w, 5 w, or even 10 w. In a preferred embodiment, the vertical etch rate gradient of two trenches of widths varying by an order of magnitude is within 5%, more preferably within 2%. This consistency is helpful when performing etches, CMPs, and wet cleans across an entire wafer.
Additionally, because the SOD system is used, the filler material does not have a seam as would result if the trench were filled using CVD process such as ozone TEOS. This avoids problems with subsequent processing, including planarizations and etches.
FIG. 6 shows the structure after the process is completed and the wafer has been through etching and a wet clean. It can be seen that there a substantially reduced recess on the top of the shallow trench. The nitride liner 20 is not oxidized beneath the silicon oxide. There are two identifiable layers of silicon oxide in the trench. The first, thinner liner oxide layer 30 is a thermal oxide formed from the amorphous silicon liner. The second filler oxide layer 32 is the thicker layer of silicon oxide, which is a spin-on dielectric. It typically has a higher wet etch rate than that of the thermal oxide in small features.
If the liner 22 is used without the improved densification process or the densification process is used without the liner 22, benefits are still obtained. The problems of wet etch rate gradient and recessing at the top of the trench will still be less than that if neither improvement were used. In a preferred embodiment shown in FIG. 7, both of these improvements are used in order to maximize the benefit gained from the improvements.
It will be appreciated by those skilled in the art that various omissions, additions and modifications may be made to the methods and structures described above without departing from the scope of the invention. All such modifications and changes are intended to fall within the scope of the invention, as defined by the appended claims.

Claims (23)

1. A method of semiconductor fabrication, the method comprising
forming a recess in a substrate;
depositing a liner within the recess to define a cavity within the recess;
depositing a filler material within the cavity; and
contracting the filler material and growing the liner inwardly toward the contracting filler material, including introducing a reactant to the substrate at a temperature between about 200° C. and 600° C., wherein growing the liner comprises oxidizing the liner, contracting comprises curing the filler material in a steam ambient environment in a curing chamber and curing comprises ramping the substrate temperature from an initial temperature of between about 200° C. and 600° C. to a higher temperature.
2. The method of claim 1, wherein curing comprises temperature ramping at a rate of between about 3° C. and 25° C. per minute.
3. The method of claim 1, wherein curing comprises temperature ramping at a rate of between about 8° C. and 20° C. per minute.
4. The method of claim 1, wherein oxidizing further comprises annealing the substrate for between 10 and 40 minutes at between 800° C. and 1200° C.
5. The method of claim 4, wherein annealing comprises exposing the substrate to an oxygen environment.
6. The method of claim 1, further comprising depositing a nitride layer to a thickness between about 10 Å and 300 Å on the substrate before depositing the liner and after forming the recess.
7. The method of claim 6, wherein depositing the nitride layer comprises forming the thickness to between about 30 Å and 150 Å thick.
8. The method of claim 1, further comprising depositing an insulating oxygen barrier layer before depositing the liner and after forming the recess.
9. The method of claim 1, wherein depositing the liner comprises depositing amorphous silicon.
10. The method of claim 9, wherein depositing the amorphous silicon liner comprises forming a thickness between 2 Å and 200 Å thick.
11. The method of claim 9, wherein depositing the amorphous silicon liner comprises forming a thickness between 50 Å and 100 Å thick.
12. The method of claim 1, wherein depositing the filler material comprises applying a liquid to the substrate.
13. The method of claim 1, wherein depositing the filler material comprises using a spin-on deposition process.
14. The method of claim 1, wherein contracting the filler material comprises linearly decreasing the dielectric filler by between about 7% and 25%.
15. The method of claim 14, wherein contracting the filler material comprises linearly decreasing the dielectric filler between about 12% and 18%.
16. The method of claim 1, wherein forming the recess comprises defining a trench for a shallow trench isolation.
17. A method of isolating electrical components on an integrated circuit, comprising:
forming a recess on a semiconductor substrate;
lining the recess with an oxygen barrier layer having a thickness between about 10 Å and 300 Å;
subsequently lining the recess with an expandable liner;
filling the recess with a dielectric filler; and
expanding the liner while contracting the filler, wherein expanding the liner while contracting the filler comprises oxidizing and oxidizing comprises curing the dielectric filler in a steam ambient environment at an initial substrate temperature of between about 200° C. and 600° C. and ramping the substrate temperature to between about 800° C. and 1200° C. at a rate of between about 3° C. and 25° C. per minute.
18. The method of claim 17, wherein oxidizing further comprises annealing at a temperature of between about 800° C. and 1200° C. for approximately 10 to 40 minutes.
19. The method of claim 18, wherein annealing comprises exposing the substrate to an oxidizing environment.
20. The method of claim 19, wherein annealing comprises exposing the substrate to a dry oxygen environment.
21. The method of claim 17, wherein contracting the dielectric filler comprises linearly decreasing the dielectric filler by between about 7% and 25%.
22. The method of claim 21, wherein contracting the dielectric filler comprises linearly decreasing the dielectric filler between about 12% and 18%.
23. The method of claim 22, wherein forming the recess comprises defining a trench for a shallow trench isolation.
US11/557,014 2004-02-19 2006-11-06 Sub-micron space liner and filler process Active 2025-05-22 US7659181B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/557,014 US7659181B2 (en) 2004-02-19 2006-11-06 Sub-micron space liner and filler process

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/782,997 US7112513B2 (en) 2004-02-19 2004-02-19 Sub-micron space liner and densification process
US11/497,665 US7622769B2 (en) 2004-02-19 2006-08-01 Isolation trench
US11/557,014 US7659181B2 (en) 2004-02-19 2006-11-06 Sub-micron space liner and filler process

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US11/497,665 Continuation US7622769B2 (en) 2004-02-19 2006-08-01 Isolation trench

Publications (2)

Publication Number Publication Date
US20070059899A1 US20070059899A1 (en) 2007-03-15
US7659181B2 true US7659181B2 (en) 2010-02-09

Family

ID=34861117

Family Applications (3)

Application Number Title Priority Date Filing Date
US10/782,997 Active 2024-07-20 US7112513B2 (en) 2004-02-19 2004-02-19 Sub-micron space liner and densification process
US11/497,665 Active 2024-08-03 US7622769B2 (en) 2004-02-19 2006-08-01 Isolation trench
US11/557,014 Active 2025-05-22 US7659181B2 (en) 2004-02-19 2006-11-06 Sub-micron space liner and filler process

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US10/782,997 Active 2024-07-20 US7112513B2 (en) 2004-02-19 2004-02-19 Sub-micron space liner and densification process
US11/497,665 Active 2024-08-03 US7622769B2 (en) 2004-02-19 2006-08-01 Isolation trench

Country Status (1)

Country Link
US (3) US7112513B2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150014807A1 (en) * 2013-07-10 2015-01-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a shallow trench isolation structure

Families Citing this family (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7141483B2 (en) 2002-09-19 2006-11-28 Applied Materials, Inc. Nitrous oxide anneal of TEOS/ozone CVD for improved gapfill
US7456116B2 (en) * 2002-09-19 2008-11-25 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
US7431967B2 (en) * 2002-09-19 2008-10-07 Applied Materials, Inc. Limited thermal budget formation of PMD layers
US7101785B2 (en) * 2003-07-22 2006-09-05 Infineon Technologies Ag Formation of a contact in a device, and the device including the contact
US20070212847A1 (en) * 2004-08-04 2007-09-13 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
TWI238489B (en) * 2004-12-09 2005-08-21 Promos Technologies Inc A method for forming a shallow trench isolation structure with reduced stress
US7273796B2 (en) * 2005-03-23 2007-09-25 Micron Technology, Inc. Methods of forming trench isolation in the fabrication of integrated circuitry and methods of fabricating integrated circuitry
DE102005039667A1 (en) * 2005-08-22 2007-03-01 Infineon Technologies Ag Producing a low aspect ratio structure and buried strap for a trench DRAM forms and fills trench in semiconductor substrate with initial and sacrificial layers and selectively removes especially at sidewalls
US7375004B2 (en) * 2006-03-10 2008-05-20 Micron Technology, Inc. Method of making an isolation trench and resulting isolation trench
US20070224772A1 (en) * 2006-03-21 2007-09-27 Freescale Semiconductor, Inc. Method for forming a stressor structure
CN101079391B (en) * 2006-05-26 2012-01-25 中芯国际集成电路制造(上海)有限公司 Method for semiconductor part with high clearance filling capability
US20070298583A1 (en) * 2006-06-27 2007-12-27 Macronix International Co., Ltd. Method for forming a shallow trench isolation region
US7968425B2 (en) 2006-07-14 2011-06-28 Micron Technology, Inc. Isolation regions
US7919800B2 (en) 2007-02-26 2011-04-05 Micron Technology, Inc. Capacitor-less memory cells and cell arrays
US20080227267A1 (en) * 2007-03-14 2008-09-18 Theodorus Gerardus Maria Oosterlaken Stop mechanism for trench reshaping process
KR100972675B1 (en) * 2008-01-10 2010-07-27 주식회사 하이닉스반도체 Method of forming isolation layer in semiconductor device
KR100945927B1 (en) * 2008-03-05 2010-03-05 주식회사 하이닉스반도체 Method for fabricating semiconductor memory device
KR101436564B1 (en) * 2008-05-07 2014-09-02 한국에이에스엠지니텍 주식회사 Forming method of amorphous silicone thin film
US7655532B1 (en) * 2008-07-25 2010-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. STI film property using SOD post-treatment
US20110115018A1 (en) * 2009-11-13 2011-05-19 Maxim Integrated Products, Inc. Mos power transistor
US8969958B1 (en) 2009-11-13 2015-03-03 Maxim Integrated Products, Inc. Integrated MOS power transistor with body extension region for poly field plate depletion assist
US8963241B1 (en) 2009-11-13 2015-02-24 Maxim Integrated Products, Inc. Integrated MOS power transistor with poly field plate extension for depletion assist
US20110115019A1 (en) * 2009-11-13 2011-05-19 Maxim Integrated Products, Inc. Cmos compatible low gate charge lateral mosfet
US8987818B1 (en) 2009-11-13 2015-03-24 Maxim Integrated Products, Inc. Integrated MOS power transistor with thin gate oxide and low gate charge
US8946851B1 (en) 2009-11-13 2015-02-03 Maxim Integrated Products, Inc. Integrated MOS power transistor with thin gate oxide and low gate charge
US8048755B2 (en) 2010-02-08 2011-11-01 Micron Technology, Inc. Resistive memory and methods of processing resistive memory
US8349653B2 (en) 2010-06-02 2013-01-08 Maxim Integrated Products, Inc. Use of device assembly for a generalization of three-dimensional metal interconnect technologies
US10672748B1 (en) 2010-06-02 2020-06-02 Maxim Integrated Products, Inc. Use of device assembly for a generalization of three-dimensional heterogeneous technologies integration
JP5490753B2 (en) * 2010-07-29 2014-05-14 東京エレクトロン株式会社 Trench filling method and film forming system
US7947551B1 (en) * 2010-09-28 2011-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a shallow trench isolation structure
JP5675331B2 (en) * 2010-12-27 2015-02-25 東京エレクトロン株式会社 How to fill trench
JP5977002B2 (en) * 2011-08-25 2016-08-24 東京エレクトロン株式会社 Trench filling method and semiconductor integrated circuit device manufacturing method
KR102002782B1 (en) 2012-09-10 2019-07-23 삼성전자주식회사 Method of manufacturing for Semiconductor device using expandable material
US9117878B2 (en) * 2012-12-11 2015-08-25 United Microelectronics Corp. Method for manufacturing shallow trench isolation
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20150064929A1 (en) * 2013-09-05 2015-03-05 United Microelectronics Corp. Method of gap filling
US9209040B2 (en) * 2013-10-11 2015-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. Amorphorus silicon insertion for STI-CMP planarity improvement
US9406544B1 (en) * 2015-06-12 2016-08-02 Lam Research Corporation Systems and methods for eliminating seams in atomic layer deposition of silicon dioxide film in gap fill applications
KR102404642B1 (en) * 2015-07-17 2022-06-03 삼성전자주식회사 Semiconductor Device and Method of fabricating the same
US9871100B2 (en) * 2015-07-29 2018-01-16 Taiwan Semiconductor Manufacturing Co., Ltd. Trench structure of semiconductor device having uneven nitrogen distribution liner
US9786496B2 (en) 2015-08-17 2017-10-10 Lam Research Corporation Method of densifying films in semiconductor device
US9935000B2 (en) 2016-02-29 2018-04-03 Intel Corporation Slit stress modulation in semiconductor substrates
CN110246842A (en) * 2018-03-08 2019-09-17 联华电子股份有限公司 A method of making semiconductor element
CN110707045B (en) * 2018-10-09 2023-05-12 联华电子股份有限公司 Method for manufacturing semiconductor element
CN111354676A (en) * 2018-12-24 2020-06-30 夏泰鑫半导体(青岛)有限公司 Method for forming oxide structure
CN115863413A (en) * 2023-03-01 2023-03-28 通威微电子有限公司 Method for manufacturing trench oxide layer and semiconductor device

Citations (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4855804A (en) 1987-11-17 1989-08-08 Motorola, Inc. Multilayer trench isolation process and structure
US5087586A (en) 1991-07-03 1992-02-11 Micron Technology, Inc. Process for creating fully-recessed field isolation regions by oxidizing a selectively-grown epitaxial silicon layer
US5190889A (en) 1991-12-09 1993-03-02 Motorola, Inc. Method of forming trench isolation structure with germanium silicate filling
US5387540A (en) 1993-09-30 1995-02-07 Motorola Inc. Method of forming trench isolation structure in an integrated circuit
US5447884A (en) 1994-06-29 1995-09-05 International Business Machines Corporation Shallow trench isolation with thin nitride liner
US5492858A (en) 1994-04-20 1996-02-20 Digital Equipment Corporation Shallow trench isolation process for high aspect ratio trenches
US5702976A (en) 1995-10-24 1997-12-30 Micron Technology, Inc. Shallow trench isolation using low dielectric constant insulator
US5869384A (en) 1997-03-17 1999-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Trench filling method employing silicon liner layer and gap filling silicon oxide trench fill layer
US5926717A (en) 1996-12-10 1999-07-20 Advanced Micro Devices, Inc. Method of making an integrated circuit with oxidizable trench liner
US5943585A (en) 1997-12-19 1999-08-24 Advanced Micro Devices, Inc. Trench isolation structure having low K dielectric spacers arranged upon an oxide liner incorporated with nitrogen
US6027982A (en) 1999-02-05 2000-02-22 Chartered Semiconductor Manufacturing Ltd. Method to form shallow trench isolation structures with improved isolation fill and surface planarity
US6037238A (en) 1999-01-04 2000-03-14 Vanguard International Semiconductor Corporation Process to reduce defect formation occurring during shallow trench isolation formation
US6046487A (en) 1997-01-28 2000-04-04 International Business Machines Corporation Shallow trench isolation with oxide-nitride/oxynitride liner
US6180490B1 (en) 1999-05-25 2001-01-30 Chartered Semiconductor Manufacturing Ltd. Method of filling shallow trenches
US6187651B1 (en) 1998-05-07 2001-02-13 Samsung Electronics Co., Ltd. Methods of forming trench isolation regions using preferred stress relieving layers and techniques to inhibit the occurrence of voids
US6316331B1 (en) 2000-10-13 2001-11-13 Vanguard International Semiconductor Corp. Method of making dishing-free insulator in trench isolation
US20020022326A1 (en) 1999-11-11 2002-02-21 Mitsubishi Denki Kabushiki Kaisha Semiconductor device and method of manufacturing the same
US20020064937A1 (en) 2000-11-30 2002-05-30 Jae-Hak Kim Methods of manufacturing integrated circuit devices in which a spin on glass insulation layer is dissolved so as to recess the spin on glass insulation layer from the upper surface of a pattern
US6461937B1 (en) 1999-01-11 2002-10-08 Samsung Electronics Co., Ltd. Methods of forming trench isolation regions having recess-inhibiting layers therein that protect against overetching
US6500726B2 (en) 2000-08-01 2002-12-31 Samsung Electronics Co., Ltd. Shallow trench isolation type semiconductor device and method of forming the same
US20030022522A1 (en) 2001-07-13 2003-01-30 Yukio Nishiyama Method for manufacturing semiconductor device
US6518148B1 (en) 2001-09-06 2003-02-11 Taiwan Semiconductor Manufacturing Company, Ltd Method for protecting STI structures with low etching rate liners
US6576558B1 (en) 2002-10-02 2003-06-10 Taiwan Semiconductor Manufacturing Company High aspect ratio shallow trench using silicon implanted oxide
US6657276B1 (en) 2001-12-10 2003-12-02 Advanced Micro Devices, Inc. Shallow trench isolation (STI) region with high-K liner and method of formation
US6699799B2 (en) 2001-05-09 2004-03-02 Samsung Electronics Co., Ltd. Method of forming a semiconductor device
US20040099928A1 (en) 2002-11-27 2004-05-27 Nunan Thomas K. Composite dielectric with improved etch selectivity for high voltage mems structures
US20050026443A1 (en) * 2003-08-01 2005-02-03 Goo Ju-Seon Method for forming a silicon oxide layer using spin-on glass
US6956276B2 (en) 2000-12-27 2005-10-18 Kabushiki Kaisha Toshiba Semiconductor device with an L-shaped/reversed L-shaped gate side-wall insulating film
US7176104B1 (en) 2004-06-08 2007-02-13 Integrated Device Technology, Inc. Method for forming shallow trench isolation structure with deep oxide region
US7271463B2 (en) 2004-12-10 2007-09-18 Micron Technology, Inc. Trench insulation structures including an oxide liner that is thinner along the walls of the trench than along the base

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5067588A (en) * 1990-04-09 1991-11-26 R. D. Werner Co., Inc. Ladder lash
US6037937A (en) * 1997-12-04 2000-03-14 Nortel Networks Corporation Navigation tool for graphical user interface

Patent Citations (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4855804A (en) 1987-11-17 1989-08-08 Motorola, Inc. Multilayer trench isolation process and structure
US5087586A (en) 1991-07-03 1992-02-11 Micron Technology, Inc. Process for creating fully-recessed field isolation regions by oxidizing a selectively-grown epitaxial silicon layer
US5190889A (en) 1991-12-09 1993-03-02 Motorola, Inc. Method of forming trench isolation structure with germanium silicate filling
US5387540A (en) 1993-09-30 1995-02-07 Motorola Inc. Method of forming trench isolation structure in an integrated circuit
US5492858A (en) 1994-04-20 1996-02-20 Digital Equipment Corporation Shallow trench isolation process for high aspect ratio trenches
US5447884A (en) 1994-06-29 1995-09-05 International Business Machines Corporation Shallow trench isolation with thin nitride liner
US5702976A (en) 1995-10-24 1997-12-30 Micron Technology, Inc. Shallow trench isolation using low dielectric constant insulator
US5926717A (en) 1996-12-10 1999-07-20 Advanced Micro Devices, Inc. Method of making an integrated circuit with oxidizable trench liner
US6046487A (en) 1997-01-28 2000-04-04 International Business Machines Corporation Shallow trench isolation with oxide-nitride/oxynitride liner
US5869384A (en) 1997-03-17 1999-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Trench filling method employing silicon liner layer and gap filling silicon oxide trench fill layer
US5943585A (en) 1997-12-19 1999-08-24 Advanced Micro Devices, Inc. Trench isolation structure having low K dielectric spacers arranged upon an oxide liner incorporated with nitrogen
US6187651B1 (en) 1998-05-07 2001-02-13 Samsung Electronics Co., Ltd. Methods of forming trench isolation regions using preferred stress relieving layers and techniques to inhibit the occurrence of voids
US6037238A (en) 1999-01-04 2000-03-14 Vanguard International Semiconductor Corporation Process to reduce defect formation occurring during shallow trench isolation formation
US6461937B1 (en) 1999-01-11 2002-10-08 Samsung Electronics Co., Ltd. Methods of forming trench isolation regions having recess-inhibiting layers therein that protect against overetching
US6717231B2 (en) 1999-01-11 2004-04-06 Samsung Electronics Co., Ltd. Trench isolation regions having recess-inhibiting layers therein that protect against overetching
US6027982A (en) 1999-02-05 2000-02-22 Chartered Semiconductor Manufacturing Ltd. Method to form shallow trench isolation structures with improved isolation fill and surface planarity
US6180490B1 (en) 1999-05-25 2001-01-30 Chartered Semiconductor Manufacturing Ltd. Method of filling shallow trenches
US20020022326A1 (en) 1999-11-11 2002-02-21 Mitsubishi Denki Kabushiki Kaisha Semiconductor device and method of manufacturing the same
US6500726B2 (en) 2000-08-01 2002-12-31 Samsung Electronics Co., Ltd. Shallow trench isolation type semiconductor device and method of forming the same
US6316331B1 (en) 2000-10-13 2001-11-13 Vanguard International Semiconductor Corp. Method of making dishing-free insulator in trench isolation
US20020064937A1 (en) 2000-11-30 2002-05-30 Jae-Hak Kim Methods of manufacturing integrated circuit devices in which a spin on glass insulation layer is dissolved so as to recess the spin on glass insulation layer from the upper surface of a pattern
US6956276B2 (en) 2000-12-27 2005-10-18 Kabushiki Kaisha Toshiba Semiconductor device with an L-shaped/reversed L-shaped gate side-wall insulating film
US6699799B2 (en) 2001-05-09 2004-03-02 Samsung Electronics Co., Ltd. Method of forming a semiconductor device
US20030022522A1 (en) 2001-07-13 2003-01-30 Yukio Nishiyama Method for manufacturing semiconductor device
US6518148B1 (en) 2001-09-06 2003-02-11 Taiwan Semiconductor Manufacturing Company, Ltd Method for protecting STI structures with low etching rate liners
US6657276B1 (en) 2001-12-10 2003-12-02 Advanced Micro Devices, Inc. Shallow trench isolation (STI) region with high-K liner and method of formation
US6576558B1 (en) 2002-10-02 2003-06-10 Taiwan Semiconductor Manufacturing Company High aspect ratio shallow trench using silicon implanted oxide
US20040099928A1 (en) 2002-11-27 2004-05-27 Nunan Thomas K. Composite dielectric with improved etch selectivity for high voltage mems structures
US20050026443A1 (en) * 2003-08-01 2005-02-03 Goo Ju-Seon Method for forming a silicon oxide layer using spin-on glass
US7176104B1 (en) 2004-06-08 2007-02-13 Integrated Device Technology, Inc. Method for forming shallow trench isolation structure with deep oxide region
US7271463B2 (en) 2004-12-10 2007-09-18 Micron Technology, Inc. Trench insulation structures including an oxide liner that is thinner along the walls of the trench than along the base

Non-Patent Citations (3)

* Cited by examiner, † Cited by third party
Title
Advertisement entitled "Polysilazane SODs Spinfir(TM) 400 Series for STI/PMD Application," May 2004.
Advertisement entitled "Polysilazane SODs Spinfir™ 400 Series for STI/PMD Application," May 2004.
Peters, Laura, "Choices and challenges for shallow trench isolation," Semiconductor International, Website www.ree-electronics.com, Apr. 1, 1999, 6 pages.

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150014807A1 (en) * 2013-07-10 2015-01-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a shallow trench isolation structure
US8975155B2 (en) * 2013-07-10 2015-03-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a shallow trench isolation structure
US9209243B2 (en) 2013-07-10 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a shallow trench isolation structure
KR101615814B1 (en) 2013-07-10 2016-04-26 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Method of forming a shallow trench isolation structure

Also Published As

Publication number Publication date
US7622769B2 (en) 2009-11-24
US20050186755A1 (en) 2005-08-25
US7112513B2 (en) 2006-09-26
US20070059899A1 (en) 2007-03-15
US20060267131A1 (en) 2006-11-30

Similar Documents

Publication Publication Date Title
US7659181B2 (en) Sub-micron space liner and filler process
US7919829B2 (en) Liner for shallow trench isolation
US7416987B2 (en) Semiconductor device and method of fabricating the same
US7501691B2 (en) Trench insulation structures including an oxide liner and oxidation barrier
US6048775A (en) Method to make shallow trench isolation structure by HDP-CVD and chemical mechanical polish processes
US6372605B1 (en) Additional etching to decrease polishing time for shallow-trench isolation in semiconductor processing
US7682927B2 (en) Method of manufacturing semiconductor device
US6787409B2 (en) Method of forming trench isolation without grooving
KR20090067576A (en) Method of filling a trench and method of forming an isolation layer structure using the same
JP2003045957A (en) Method of isolating elements of semiconductor device
US20050255668A1 (en) Method of fabricating shallow trench isolation structure
KR100567022B1 (en) Method for forming isolation layer of semiconductor device using trench technology
US6602759B2 (en) Shallow trench isolation for thin silicon/silicon-on-insulator substrates by utilizing polysilicon
KR100677998B1 (en) Method for manufacturing shallow trench isolation layer of the semiconductor device
TWI320214B (en) Method of forming a trench isolation structure
US6060394A (en) Method for forming shallow trench isolation with global planarization
US6214695B1 (en) Method of manufacturing semiconductor device
US6103581A (en) Method for producing shallow trench isolation structure
KR100381849B1 (en) Trench isolation method
US6869857B2 (en) Method to achieve STI planarization
US7314809B2 (en) Method for forming a shallow trench isolation structure with reduced stress
US20020137305A1 (en) Fabrication method of shallow trench isolation
US20010053583A1 (en) Shallow trench isolation formation process using a sacrificial layer
KR100508865B1 (en) Method for fabricating trench of semiconductor device
JP2000049220A (en) Manufacture of shallow trench insulating structure and substrate planarization method

Legal Events

Date Code Title Description
FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

STCF Information on status: patent grant

Free format text: PATENTED CASE

CC Certificate of correction
FPAY Fee payment

Year of fee payment: 4

AS Assignment

Owner name: U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT, CALIFORNIA

Free format text: SECURITY INTEREST;ASSIGNOR:MICRON TECHNOLOGY, INC.;REEL/FRAME:038669/0001

Effective date: 20160426

Owner name: U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGEN

Free format text: SECURITY INTEREST;ASSIGNOR:MICRON TECHNOLOGY, INC.;REEL/FRAME:038669/0001

Effective date: 20160426

AS Assignment

Owner name: MORGAN STANLEY SENIOR FUNDING, INC., AS COLLATERAL AGENT, MARYLAND

Free format text: PATENT SECURITY AGREEMENT;ASSIGNOR:MICRON TECHNOLOGY, INC.;REEL/FRAME:038954/0001

Effective date: 20160426

Owner name: MORGAN STANLEY SENIOR FUNDING, INC., AS COLLATERAL

Free format text: PATENT SECURITY AGREEMENT;ASSIGNOR:MICRON TECHNOLOGY, INC.;REEL/FRAME:038954/0001

Effective date: 20160426

AS Assignment

Owner name: U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT, CALIFORNIA

Free format text: CORRECTIVE ASSIGNMENT TO CORRECT THE REPLACE ERRONEOUSLY FILED PATENT #7358718 WITH THE CORRECT PATENT #7358178 PREVIOUSLY RECORDED ON REEL 038669 FRAME 0001. ASSIGNOR(S) HEREBY CONFIRMS THE SECURITY INTEREST;ASSIGNOR:MICRON TECHNOLOGY, INC.;REEL/FRAME:043079/0001

Effective date: 20160426

Owner name: U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGEN

Free format text: CORRECTIVE ASSIGNMENT TO CORRECT THE REPLACE ERRONEOUSLY FILED PATENT #7358718 WITH THE CORRECT PATENT #7358178 PREVIOUSLY RECORDED ON REEL 038669 FRAME 0001. ASSIGNOR(S) HEREBY CONFIRMS THE SECURITY INTEREST;ASSIGNOR:MICRON TECHNOLOGY, INC.;REEL/FRAME:043079/0001

Effective date: 20160426

FPAY Fee payment

Year of fee payment: 8

AS Assignment

Owner name: JPMORGAN CHASE BANK, N.A., AS COLLATERAL AGENT, ILLINOIS

Free format text: SECURITY INTEREST;ASSIGNORS:MICRON TECHNOLOGY, INC.;MICRON SEMICONDUCTOR PRODUCTS, INC.;REEL/FRAME:047540/0001

Effective date: 20180703

Owner name: JPMORGAN CHASE BANK, N.A., AS COLLATERAL AGENT, IL

Free format text: SECURITY INTEREST;ASSIGNORS:MICRON TECHNOLOGY, INC.;MICRON SEMICONDUCTOR PRODUCTS, INC.;REEL/FRAME:047540/0001

Effective date: 20180703

AS Assignment

Owner name: MICRON TECHNOLOGY, INC., IDAHO

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT;REEL/FRAME:047243/0001

Effective date: 20180629

AS Assignment

Owner name: MICRON TECHNOLOGY, INC., IDAHO

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:MORGAN STANLEY SENIOR FUNDING, INC., AS COLLATERAL AGENT;REEL/FRAME:050937/0001

Effective date: 20190731

AS Assignment

Owner name: MICRON SEMICONDUCTOR PRODUCTS, INC., IDAHO

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:JPMORGAN CHASE BANK, N.A., AS COLLATERAL AGENT;REEL/FRAME:051028/0001

Effective date: 20190731

Owner name: MICRON TECHNOLOGY, INC., IDAHO

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:JPMORGAN CHASE BANK, N.A., AS COLLATERAL AGENT;REEL/FRAME:051028/0001

Effective date: 20190731

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 12TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1553); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 12