US7547636B2 - Pulsed ultra-high aspect ratio dielectric etch - Google Patents

Pulsed ultra-high aspect ratio dielectric etch Download PDF

Info

Publication number
US7547636B2
US7547636B2 US11/671,342 US67134207A US7547636B2 US 7547636 B2 US7547636 B2 US 7547636B2 US 67134207 A US67134207 A US 67134207A US 7547636 B2 US7547636 B2 US 7547636B2
Authority
US
United States
Prior art keywords
etch
recited
dielectric layer
gas
carbon based
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active, expires
Application number
US11/671,342
Other versions
US20080188082A1 (en
Inventor
Kyeong-koo Chi
Erik A. Edelberg
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Assigned to LAM RESEARCH CORPORATION reassignment LAM RESEARCH CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CHI, KYEONG-KOO, EDELBERG, ERIK A.
Priority to US11/671,342 priority Critical patent/US7547636B2/en
Priority to JP2009548493A priority patent/JP5503976B2/en
Priority to PCT/US2008/052950 priority patent/WO2008097925A1/en
Priority to CN2008800041803A priority patent/CN101606232B/en
Priority to KR1020097018057A priority patent/KR101455883B1/en
Priority to TW097104575A priority patent/TWI440083B/en
Publication of US20080188082A1 publication Critical patent/US20080188082A1/en
Publication of US7547636B2 publication Critical patent/US7547636B2/en
Application granted granted Critical
Priority to JP2013145614A priority patent/JP2013239729A/en
Active legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches

Definitions

  • the present invention relates to the fabrication of semiconductor devices. More specifically, the present invention relates to the fabrication of semiconductor devices, which use ultra high aspect ratio features.
  • Plasma-etching processes are commonly used in the fabrication of semiconductor devices. Generally, photoresist material forms feature patterns on the surface of the wafer to be etched, and features are then etched into the wafer by exposing the wafer to a particular type of etching gas.
  • One of the challenges faced in plasma etching is the ever-increasing aspect ratio needed to meet design requirements, especially for ultra-high density structures.
  • the aspect ratio of an etched feature is defined as the ratio between the feature's depth and the feature's critical dimension (CD).
  • CD of each individual feature necessarily decreases, while the depth of the features remains unchanged.
  • the aspect ratio of each individual feature increases as the device feature shrinks.
  • a difficulty found is a change in an ellipse ratio during etching.
  • a method for selectively etching an ultra high aspect ratio feature dielectric layer through a carbon based mask in an etch chamber is provided.
  • a flow of an etch gas is provided, comprising a fluorocarbon containing molecule and an oxygen containing molecule to the etch chamber.
  • a pulsed bias RF signal is provided.
  • An energizing RF signal is provided to transform the etch gas to a plasma.
  • a method for selectively etching an ultra high aspect ratio feature dielectric layer through a carbon based mask in an etch chamber is provided.
  • a flow of an etch gas, comprising a fluorocarbon containing molecule and an oxygen containing molecule is provided to the etch chamber.
  • a pulsed bias RF signal is provided.
  • An energizing RF signal is provided to transform the etch gas to a plasma.
  • a plasma processing chamber comprises a chamber wall forming a plasma processing chamber enclosure, a substrate support for supporting a substrate within the plasma processing chamber enclosure, a pressure regulator for regulating the pressure in the plasma processing chamber enclosure, at least one electrode for providing power to the plasma processing chamber enclosure for sustaining a plasma, an RF bias source providing a signal with a RF frequency between 1 kHz and 10 MHZ, a pulse generation device that is able to pulse the RF bias source, connected to the RF bias source, a first RF excitation source providing a signal with a RF frequency between 1 MHz and 5 MHz, a second RF excitation source providing a signal with a RF frequency between 10 MHz and 40 MHz, a gas inlet for providing gas into the plasma processing chamber enclosure, and a gas outlet for exhausting gas from the plasma processing chamber enclosure.
  • a gas source is in fluid connection with the gas inlet, comprising an oxygen source and a fluorocarbon polymer gas source.
  • a controller is controllably connected to the gas source, the RF bias source, the first RF excitation source, and the second RF excitation source and the at least one electrode and comprises at least one processor and computer readable media comprising computer readable code for providing a selective etch of the dielectric layer with respect to the carbon based mask, where the first selective etch provides a net deposition of a fluorocarbon based polymer.
  • the computer readable code for providing a selective etch of the dielectric layer comprise computer readable code for providing a flow of an etch gas comprising oxygen from the oxygen source and a fluorocarbon polymer gas from the fluorocarbon polymer gas source to the processing chamber enclosure, computer readable code for energizing the RF bias source, computer readable code for pulsing the RF bias source, and computer readable code for energizing the first RF excitation source and the second RF excitation source to provide energy, to form a plasma from the etch gas and polymer forming gas.
  • FIG. 1 is a high level flow chart of an embodiment of the invention.
  • FIG. 2 is a schematic view of an etch reactor that may be used in practicing the invention.
  • FIGS. 3A and 3B illustrate a computer system, which is suitable for implementing the controller used in one or more embodiments of the present invention.
  • FIGS. 4A-D are schematic cross-sectional views of a layer etched according to an embodiment of the invention.
  • FIG. 5 is a schematic view that illustrates the measuring the amount of twisting.
  • FIG. 6 is a schematic view of an ellipse.
  • Twisting occurs during the etching of ultra-high aspect ratio (UHAR) features, such as holes. Without wishing to be bound by the following, it is tentatively theorized that the twisting is a result of asymmetric etching. There are several possible mechanisms contributing to asymmetric etching as the feature aspect ratio increases. One mechanism that has been discovered is that during etches that deposit a net fluorocarbon polymer layer over a mask, the fluorocarbon polymer are deposited asymmetrically (nonuniformly).
  • an elliptical cross-section is desired, to allow closer spacing of contacts.
  • Such an elliptical cross-section has a length and a width, where the diameter of the ellipse is the length of the ellipse.
  • An ellipse ratio is defined as the ratio of the length to the width.
  • Another distortion found in etching of such UHAR features is the tendency for the ellipse ratio to increase, in that the length of the ellipse may increase while the width decreases.
  • the invention provides an apparatus and a method for reducing twisting in UHAR dielectric etch.
  • the aspect ratio of a feature is the depth-to-width ratio of the feature opening.
  • an ultra-high aspect ratio (UHAR) for a feature for this invention is defined as a depth-to-width ratio greater than 25 to 1. More preferably, an UHAR for a feature for this invention is defined as at least 30 to 1. Most preferably, an UHAR is defined as at least 40 to 1.
  • the present invention applies to etching features in the dielectric layer with a width of no more than 300 nanometers (nm). More preferably, the present invention applies to etching features in the dielectric layer with a width of no more than 200 nm. Most preferably, the present invention applies to etching features in the dielectric layer with a width of no more than 150 nm.
  • the present invention applies to any dielectric etch. More preferably, the present invention applies to dielectric etch where the dielectric layer is silicon oxide or silicon nitride based. In other words, the dielectric layer is formed mainly of silicon oxide or silicon nitride with smaller amounts of other types of material mixed in.
  • FIG. 1 is a high level flow chart of an embodiment of the invention.
  • a dielectric layer is selectively etched with respect to a carbon based mask, where a fluorocarbon based polymer is net deposited on the carbon based mask (step 104 ).
  • a net deposition means that more polymer is deposited than removed, so that a growing fluorocarbon polymer layer is deposited.
  • an etching gas is provided. The etching gas is fed into a plasma, which provides the selective etching. While the etch process is stopped, the fluorocarbon polymer is selectively removed partially or completely from the carbon based mask (step 108 ).
  • a trimming gas such as an oxygen or fluorine containing flashing gas, such as O 2 , or CF 4 is provided.
  • the trimming gas is then formed into a plasma which ashes or trims the fluorocarbon polymer more quickly than the carbon based mask.
  • the selective removal of the fluorocarbon polymer with respect to the carbon based mask is stopped.
  • a subsequent selective etching of the dielectric layer is provided, where the second selective etching provides a net deposition of a fluorocarbon based polymer on the carbon mask (step 112 ). More specific implementations of the above embodiment are described below.
  • FIG. 2 is a schematic view of an etch reactor that may be used in practicing the invention.
  • an etch reactor 200 comprises a top central electrode 206 , top outer electrode 204 , bottom central electrode 208 , and a bottom outer electrode 210 , within a chamber wall 250 .
  • a top insulator ring 207 insulates the top central electrode 206 from the top outer electrode 204 .
  • a bottom insulator ring 212 insulates the bottom central electrode 208 from the bottom outer electrode 210 .
  • a substrate 280 is positioned on top of the bottom central electrode 208 .
  • the bottom central electrode 208 incorporates a suitable substrate chucking mechanism (e.g., electrostatic, mechanical clamping, or the like) for holding the substrate 280 .
  • a gas source 224 is connected to the etch reactor 200 and supplies the etch gas into the etch reactor 200 during the etch processes.
  • the gas source 224 comprises an etch gas source 260 , a trim gas source 264 , and a polymer gas source 268 for providing a fluorocarbon polymer deposition during the etching.
  • a bias RF source 248 , a first excitation RF source 252 , and a second excitation RF source 256 are electrically connected to the etch reactor 200 through a controller 235 to provide power to the electrodes 204 , 206 , 208 , and 210 .
  • the bias RF source 248 generates bias RF power and supplies the bias RF power to the etch reactor 200 .
  • the bias RF power has a frequency between 1 kilo Hertz (kHz) and 10 mega Hertz (MHz). More preferably, the bias RF power has a frequency between 1 MHz and 5 MHz. Even more preferably, the bias RF power has a frequency of about 2 MHz.
  • the first excitation RF source 252 generates source RF power and supplies the source RF power to the etch reactor 200 .
  • this source RF power has a frequency that is greater than the bias RF power. More preferably, this source RF power has a frequency that is between 10 MHz and 40 MHz. Most preferably, this source RF power has a frequency of 27 MHz.
  • the second excitation RF source 256 generates another source RF power and supplies the source RF power to the etch reactor 200 , in addition to the RF power generated by the first excitation RF source 252 .
  • this source RF power has a frequency that is greater than the bias RF source and the first RF excitation source. More preferably, the second excitation RF source has a frequency that is greater than or equal to 40 MHz. Most preferably, this source RF power has a frequency of 60 MHz.
  • the different RF signals may be supplied to various combinations of the top and bottom electrodes.
  • the lowest frequency of the RF should be applied through the bottom electrode on which the material being etched is placed, which in this example is the bottom central electrode 208 .
  • the controller 235 is connected to the gas source 224 , the bias RF source 248 , the first excitation RF source 252 , and the second excitation RF source 256 .
  • the controller 235 controls the flow of the etch gas into the etch reactor 200 , as well as the generation of the RF power from the three RF sources 248 , 252 , 256 , the electrodes 204 , 206 , 208 , and 210 , and the exhaust pump.
  • confinement rings 202 are provided to provide confinement of the plasma and gas, which pass between the confinement rings and are exhausted by the exhaust pump.
  • FIGS. 3A and 3B illustrate a computer system, which is suitable for implementing the controller 235 used in one or more embodiments of the present invention.
  • FIG. 3A shows one possible physical form of the computer system 300 .
  • the computer system may have many physical forms ranging from an integrated circuit, a printed circuit board, and a small handheld device up to a huge super computer.
  • Computer system 300 includes a monitor 302 , a display 304 , a housing 306 , a disk drive 308 , a keyboard 310 , and a mouse 312 .
  • Disk 314 is a computer-readable medium used to transfer data to and from computer system 300 .
  • FIG. 3B is an example of a block diagram for computer system 300 . Attached to system bus 320 is a wide variety of subsystems.
  • Processor(s) 322 also referred to as central processing units, or CPUs
  • Memory 324 includes random access memory (RAM) and read-only memory (ROM).
  • RAM random access memory
  • ROM read-only memory
  • RAM random access memory
  • ROM read-only memory
  • RAM random access memory
  • ROM read-only memory
  • a fixed disk 326 is also coupled bi-directionally to CPU 322 ; it provides additional data storage capacity and may also include any of the computer-readable media described below.
  • Fixed disk 326 may be used to store programs, data, and the like and is typically a secondary storage medium (such as a hard disk) that is slower than primary storage. It will be appreciated that the information retained within fixed disk 326 may, in appropriate cases, be incorporated in standard fashion as virtual memory in memory 324 .
  • Removable disk 314 may take the form of any of the computer-readable media described below.
  • CPU 322 is also coupled to a variety of input/output devices, such as display 304 , keyboard 310 , mouse 312 and speakers 330 .
  • an input/output device may be any of: video displays, track balls, mice, keyboards, microphones, touch-sensitive displays, transducer card readers, magnetic or paper tape readers, tablets, styluses, voice or handwriting recognizers, biometrics readers, or other computers.
  • CPU 322 optionally may be coupled to another computer or telecommunications network using network interface 340 . With such a network interface, it is contemplated that the CPU might receive information from the network, or might output information to the network in the course of performing the above-described method steps.
  • method embodiments of the present invention may execute solely upon CPU 322 or may execute over a network such as the Internet in conjunction with a remote CPU that shares a portion of the processing.
  • embodiments of the present invention further relate to computer storage products with a computer-readable medium that have computer code thereon for performing various computer-implemented operations.
  • the media and computer code may be those specially designed and constructed for the purposes of the present invention, or they may be of the kind well known and available to those having skill in the computer software arts.
  • Examples of computer-readable media include, but are not limited to: magnetic media such as hard disks, floppy disks, and magnetic tape; optical media such as CD-ROMs and holographic devices; magneto-optical media such as floptical disks; and hardware devices that are specially configured to store and execute program code, such as application-specific integrated circuits (ASICs), programmable logic devices (PLDs) and ROM and RAM devices.
  • ASICs application-specific integrated circuits
  • PLDs programmable logic devices
  • Computer code examples include machine code, such as produced by a compiler, and files containing higher level of code that are executed by a computer using an interpreter.
  • Computer readable media may also be computer code transmitted by a computer data signal embodied in a carrier wave and representing a sequence of instructions that are executable by a processor.
  • FIG. 4A is a schematic cross sectional view of the substrate 404 , the dielectric layer 408 , and the carbon based mask 412 .
  • the dielectric layer 408 in this example is shown to be on top of the substrate 404 and the carbon mask 412 is shown to be on the dielectric layer 408 , one or more layers may be disposed between these layers, so that the dielectric layer is over the substrate 404 but not in direct contact with the substrate 404 and where the carbon based mask 412 is over the dielectric layer 408 , but not in direct contact with the dielectric layer 408 .
  • the dielectric layer 408 is a single layer of a single uniform material.
  • the substrate 404 is a silicon wafer and the dielectric layer is a single layer of siliconoxide (SiO) or silicon nitride (SiN) based material.
  • the carbon based mask is amorphous carbon, but in other examples the carbon based mask may be a photoresist polymer. Amorphous carbon is similar to a polymer, but with less hydrogen and more carbon, so that it is more etch resistant than polymer. In other embodiments, the mask may be photoresist.
  • the dielectric layer is selectively etched with respect to the carbon based mask, while depositing a net fluorocarbon polymer layer on the carbon based mask to provide an affordable selectivity (step 104 ).
  • An example of such an etch for a SiO 2 dielectric layer, an etch and polymer gas of 200 sccm Ar, 20 sccm C 4 F 8 , 82 sccm C 4 F 6 , and 64 sccm O 2 are provided to the interior of the etch chamber 240 from the etch gas source 260 and polymer gas source 268 .
  • the bias RF source provides 4,000 Watts (W) at 2 MHz.
  • the first excitation RF source provides 150 W at 27 MHz.
  • the second excitation RF source provides 500 W at 60 MHz.
  • the etch and polymer gas is transformed into a plasma.
  • a pressure of 25 mTorr is maintained.
  • the etch is maintained for 300 seconds to etch to a depth of about 1500 nm. The etch is then stopped.
  • FIG. 4B is a schematic cross-sectional view after the selective etch.
  • the selective etch provides a net fluorocarbon polymer deposition 416 on the mask 412 , while features 420 have been partially etched. It has been unexpectedly found that the fluorocarbon polymer deposition tends to be asymmetric in such a way that one side that receives more deposition will continue to receive more deposition thus becoming even more asymmetric.
  • Such an asymmetric deposition is a source of twisting, in that the centers of the bottoms of the etch features are unequally spaced.
  • the FIG. 4B shows the bottom of two features being separated by a distance x 1 and the bottom of two features being separated by a distance x 2 , which is greater than x 1 .
  • the fluorocarbon polymer is selectively removed from the mask (step 108 ).
  • an ashing or trim gas of 400 sccm Ar and 10 sccm O 2 are provided to the interior of the etch chamber 240 from the trim gas source 264 .
  • the bias RF source provides 300 W at 2 MHz.
  • the first excitation RF source provides 100 W at 27 MHz.
  • the second excitation RF source provides 200 W at 60 MHz.
  • the trim gas is transformed into a plasma. A pressure of 20 mTorr is maintained.
  • the removal is maintained for 25 seconds.
  • the removal process is then stopped.
  • the O 2 allows for the ashing of the polymer.
  • the Ar may provide some bombardment, but most of the removal is done by the O 2 .
  • FIG. 4C is a schematic cross-sectional view after the fluorocarbon polymer removal, which reshapes the mask.
  • the reshaped mask is patterned more correctly, since the asymmetric fluorocarbon polymer has been removed.
  • the process also opens the mask features to closer to their original widths.
  • the dielectric layer is again selectively etched with respect to the carbon based mask, while depositing a net fluorocarbon polymer layer on the carbon based mask to provide an infinite selectivity (step 112 ).
  • An example of such an etch for a SiO 2 dielectric layer, an etch and polymer gas of 200 sccm Ar, 20 sccm C 4 F 8 , 82 sccm C 4 F 6 , and 64 sccm O 2 are provided to the interior of the etch chamber 240 from the etch gas source 260 and polymer gas source 268 .
  • the bias RF source provides 4,000 Watts (W) at 2 MHz.
  • the first excitation RF source provides 150 W at 27 MHz.
  • the second excitation RF source provides 500 W at 60 MHz.
  • the etch and polymer gas is transformed into a plasma. A pressure of 25 mTorr is maintained. The etch is maintained until the dielectric layer is completely etched. The etch is then stopped.
  • FIG. 4D is a schematic cross-sectional view after the selective etch.
  • the selective etch provides a net fluorocarbon polymer deposition 416 on the mask 412 , while features 420 have been partially etched.
  • the fluorocarbon polymer deposition tends to be asymmetric in such a way that one side that receives more deposition will continue to receive more deposition.
  • Such an asymmetric deposition is a source of twisting, in that the centers of the bottoms of the etch features are unequally spaced.
  • the FIG. 4D shows the bottom of two features being separated by a distance x 1 and the bottom of two features being separated by a distance x 2 , which is greater than x 1 .
  • the twisting is reduced. For example, without the mask reshaping the differences between x 1 and x 2 may be doubled.
  • a 30:1 aspect ratio was achieved for a 2.1 ⁇ depth etch.
  • a 40:1 aspect ratio was achieved for a 3 ⁇ depth etch.
  • FIG. 5 is a schematic view useful for measuring the amount of twisting.
  • the ellipses 504 schematically illustrate the bottoms of etch features.
  • the grid 508 illustrates the locations of centers of the features of the layout of the original mask, where the center of each feature is at a grid point. As illustrated, the centers of the ellipses 504 of the bottoms of the etch features are not all aligned with the grid points.
  • a twisting in the x direction is determined by using an average of the root mean square (rms) of the difference between the center of the ellipse and the related grid point in the x direction and a twisting in the y direction is determined by using an average of the rms of the difference between the center of the ellipse and the related grid point in the y direction and a total twisting is the rms distance between the center of the ellipse and the related grid point.
  • rms root mean square
  • a layout provides features with a twisting in the x direction of 2.40 nm.
  • a control that used etching alone was found to have a twisting in the x direction of 6.4 nm.
  • a twisting was measured to be 4.03 nm.
  • the features are elliptical to allow closer placement of the features in the x direction, as shown. Because the features are closer together in the x direction the distances are more critical in the x direction, providing smaller tolerances in the x direction.
  • the dielectric layer is selectively etched with respect to the carbon based mask, while depositing a net fluorocarbon polymer layer on the carbon based mask to provide an infinite selectivity (step 104 ).
  • An example of such an etch for a SiO 2 dielectric layer, an etch and polymer gas of 200 sccm Ar, 20 sccm C 4 F 8 , 82 sccm C 4 F 6 , and 64 sccm O 2 are provided to the interior of the etch chamber 240 from the etch gas source 260 and polymer gas source 268 .
  • the bias RF source provides 4,000 Watts (W) at 2 MHz.
  • the first excitation RF source provides 150 W at 27 MHz.
  • the second excitation RF source provides 500 W at 60 MHz.
  • the etch and polymer gas is transformed into a plasma. A pressure of 25 mTorr is maintained. The etch is maintained for 240 seconds. The etch is then stopped.
  • the fluorocarbon polymer is selectively removed from the mask (step 108 ).
  • An example of such a selective removal an ashing or trim gas of 400 sccm Ar and 10 sccm O 2 are provided to the interior of the etch chamber 240 from the trim gas source 264 .
  • the bias RF source provides 300 W at 2 MHz.
  • the first excitation RF source provides 100 W at 27 MHz.
  • the second excitation RF source provides 200 W at 60 MHz.
  • the trim gas is transformed into a plasma. A pressure of 20 mTorr is maintained. The removal is maintained for 25 seconds. The removal process is then stopped.
  • the dielectric layer is again selectively etched with respect to the carbon based mask (step 112 ).
  • the bias RF source is pulsed.
  • an etch and polymer gas of 200 sccm Ar, 20 sccm C 4 F 8 , 82 sccm C 4 F 6 , and 70 sccm O 2 are provided to the interior of the etch chamber 240 from the etch gas source 260 and polymer gas source 268 .
  • the bias RF source provides 4,000 Watts (W) at 2 MHz, where the bias signal is pulsed with an 80 ⁇ s duty cycle at 50%.
  • the first excitation RF source provides 150 W at 27 MHz.
  • the second excitation RF source provides 500 W at 60 MHz.
  • the etch and polymer gas is transformed into a plasma.
  • a pressure of 25 mTorr is maintained.
  • the pulsed etch is maintained until the dielectric layer is completely etched, which in this example is 600 seconds.
  • the pulsed etch is then stopped. This step provides more oxygen than a similar non-pulsed step, since the pulsed process provides more polymerization and therefore more oxygen is needed to reduce polymerization.
  • FIG. 6 is a schematic view of an ellipse 604 with a length y and a width x.
  • An ellipse ratio is defined by the ratio of the length to the width y/x.
  • the ellipse ratio of the layout features is 1.69.
  • an ellipse ratio was found to be 3.11. It has been found that under normal etch conditions, the cross section of the feature tends to increase in length and shorten in width causing the ellipse ratio to increase. It has been unexpectedly found that, although pulsing increases etch time, by slowing down the etch process, the ellipse ratio is decreased. In the above example, the ellipse ratio was found to be 1.94.
  • the pulsed bias during an etch may be used without the step of selective removal of the fluorocarbon polymer with respect to the mask.
  • Such an etch may use the pulsed etch during the entire etch processes.
  • the selective etching of the dielectric layer with respect to the mask has a selectivity greater than 3 to 1. More preferably, the selectivity is greater than 5 to 1. Most preferably, the selectivity is an infinite selectivity.
  • the selective removal of the fluorocarbon polymer with respect to the carbon based mask is at least 2 to 1. More preferably, the selectivity if at least 1.3 to 1. Most preferably, the selectivity is at least 1.1 to 1.
  • the dielectric layer may be made of one of many various dielectric materials such as silicon nitride based, silicon oxide base, various organic or inorganic low-k dielectrics.
  • While the above example provided two selective etches with a selective removal of the fluorocarbon polymer from the mask in between, other examples may provide more selective etches with selective removal of the fluorocarbon polymer in between. For example, five selective etches may be used requiring a total of three selective removals of fluorocarbon polymer. Such a process may increase processing time, but would further decrease twisting. Too many selective removals of the fluorocarbon polymer may undesirably remove too much of the carbon based mask.
  • the selective etching of the dielectric requires providing an etch and polymer gas which comprises either a fluorocarbon or a hydrofluorocarbon gas. More preferably the etch and polymer gas comprises a fluorocarbon gas. More preferably the etch and polymer gas further comprises an oxygen containing gas, which may be CO 2 or O 2 , and is preferably O 2 . More preferably, the etch and polymer gas further comprises a bombardment gas, such as Ar.
  • the carbon based mask is more preferable for the carbon based mask to be an amorphous carbon mask.

Abstract

A method for selectively etching an ultra high aspect ratio feature dielectric layer through a carbon based mask in an etch chamber is provided. A flow of an etch gas is provided, comprising a fluorocarbon containing molecule and an oxygen containing molecule to the etch chamber. A pulsed bias RF signal is provided. An energizing RF signal is provided to transform the etch gas to a plasma.

Description

BACKGROUND OF THE INVENTION
The present invention relates to the fabrication of semiconductor devices. More specifically, the present invention relates to the fabrication of semiconductor devices, which use ultra high aspect ratio features.
Plasma-etching processes are commonly used in the fabrication of semiconductor devices. Generally, photoresist material forms feature patterns on the surface of the wafer to be etched, and features are then etched into the wafer by exposing the wafer to a particular type of etching gas. One of the challenges faced in plasma etching is the ever-increasing aspect ratio needed to meet design requirements, especially for ultra-high density structures. When etching features on semiconductor wafers, the aspect ratio of an etched feature is defined as the ratio between the feature's depth and the feature's critical dimension (CD). As more features are packed on a single piece of wafer to create higher density structures, the CD of each individual feature necessarily decreases, while the depth of the features remains unchanged. Thus, the aspect ratio of each individual feature increases as the device feature shrinks.
A difficulty found is a change in an ellipse ratio during etching.
SUMMARY OF THE INVENTION
To achieve the foregoing and in accordance with the purpose of the present invention, a method for selectively etching an ultra high aspect ratio feature dielectric layer through a carbon based mask in an etch chamber is provided. A flow of an etch gas is provided, comprising a fluorocarbon containing molecule and an oxygen containing molecule to the etch chamber. A pulsed bias RF signal is provided. An energizing RF signal is provided to transform the etch gas to a plasma.
In another manifestation of the invention a method for selectively etching an ultra high aspect ratio feature dielectric layer through a carbon based mask in an etch chamber is provided. A flow of an etch gas, comprising a fluorocarbon containing molecule and an oxygen containing molecule is provided to the etch chamber. A pulsed bias RF signal is provided. An energizing RF signal is provided to transform the etch gas to a plasma.
In another manifestation of the invention an apparatus for etching UHAR features in an etch layer below an carbon based mask is provided. A plasma processing chamber, comprises a chamber wall forming a plasma processing chamber enclosure, a substrate support for supporting a substrate within the plasma processing chamber enclosure, a pressure regulator for regulating the pressure in the plasma processing chamber enclosure, at least one electrode for providing power to the plasma processing chamber enclosure for sustaining a plasma, an RF bias source providing a signal with a RF frequency between 1 kHz and 10 MHZ, a pulse generation device that is able to pulse the RF bias source, connected to the RF bias source, a first RF excitation source providing a signal with a RF frequency between 1 MHz and 5 MHz, a second RF excitation source providing a signal with a RF frequency between 10 MHz and 40 MHz, a gas inlet for providing gas into the plasma processing chamber enclosure, and a gas outlet for exhausting gas from the plasma processing chamber enclosure. A gas source is in fluid connection with the gas inlet, comprising an oxygen source and a fluorocarbon polymer gas source. A controller is controllably connected to the gas source, the RF bias source, the first RF excitation source, and the second RF excitation source and the at least one electrode and comprises at least one processor and computer readable media comprising computer readable code for providing a selective etch of the dielectric layer with respect to the carbon based mask, where the first selective etch provides a net deposition of a fluorocarbon based polymer. The computer readable code for providing a selective etch of the dielectric layer comprise computer readable code for providing a flow of an etch gas comprising oxygen from the oxygen source and a fluorocarbon polymer gas from the fluorocarbon polymer gas source to the processing chamber enclosure, computer readable code for energizing the RF bias source, computer readable code for pulsing the RF bias source, and computer readable code for energizing the first RF excitation source and the second RF excitation source to provide energy, to form a plasma from the etch gas and polymer forming gas.
These and other features of the present invention will be described in more detail below in the detailed description of the invention and in conjunction with the following figures.
BRIEF DESCRIPTION OF THE DRAWINGS
The present invention is illustrated by way of example, and not by way of limitation, in the figures of the accompanying drawings and in which like reference numerals refer to similar elements and in which:
FIG. 1 is a high level flow chart of an embodiment of the invention.
FIG. 2 is a schematic view of an etch reactor that may be used in practicing the invention.
FIGS. 3A and 3B illustrate a computer system, which is suitable for implementing the controller used in one or more embodiments of the present invention.
FIGS. 4A-D are schematic cross-sectional views of a layer etched according to an embodiment of the invention.
FIG. 5 is a schematic view that illustrates the measuring the amount of twisting.
FIG. 6 is a schematic view of an ellipse.
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
The present invention will now be described in detail with reference to a few preferred embodiments thereof as illustrated in the accompanying drawings. In the following description, numerous specific details are set forth in order to provide a thorough understanding of the present invention. It will be apparent, however, to one skilled in the art, that the present invention may be practiced without some or all of these specific details. In other instances, well known process steps and/or structures have not been described in detail in order to not unnecessarily obscure the present invention.
Twisting occurs during the etching of ultra-high aspect ratio (UHAR) features, such as holes. Without wishing to be bound by the following, it is tentatively theorized that the twisting is a result of asymmetric etching. There are several possible mechanisms contributing to asymmetric etching as the feature aspect ratio increases. One mechanism that has been discovered is that during etches that deposit a net fluorocarbon polymer layer over a mask, the fluorocarbon polymer are deposited asymmetrically (nonuniformly).
For some UHAR features, an elliptical cross-section is desired, to allow closer spacing of contacts. Such an elliptical cross-section has a length and a width, where the diameter of the ellipse is the length of the ellipse. An ellipse ratio is defined as the ratio of the length to the width. Another distortion found in etching of such UHAR features is the tendency for the ellipse ratio to increase, in that the length of the ellipse may increase while the width decreases.
The invention provides an apparatus and a method for reducing twisting in UHAR dielectric etch. During the fabrication of semiconductor devices, often features are etched through a mask into a layer to be etched over a substrate. The aspect ratio of a feature is the depth-to-width ratio of the feature opening. In the specification and claims, an ultra-high aspect ratio (UHAR) for a feature for this invention is defined as a depth-to-width ratio greater than 25 to 1. More preferably, an UHAR for a feature for this invention is defined as at least 30 to 1. Most preferably, an UHAR is defined as at least 40 to 1. In addition, preferably, the present invention applies to etching features in the dielectric layer with a width of no more than 300 nanometers (nm). More preferably, the present invention applies to etching features in the dielectric layer with a width of no more than 200 nm. Most preferably, the present invention applies to etching features in the dielectric layer with a width of no more than 150 nm.
Preferably, the present invention applies to any dielectric etch. More preferably, the present invention applies to dielectric etch where the dielectric layer is silicon oxide or silicon nitride based. In other words, the dielectric layer is formed mainly of silicon oxide or silicon nitride with smaller amounts of other types of material mixed in.
FIG. 1 is a high level flow chart of an embodiment of the invention. A dielectric layer is selectively etched with respect to a carbon based mask, where a fluorocarbon based polymer is net deposited on the carbon based mask (step 104). A net deposition means that more polymer is deposited than removed, so that a growing fluorocarbon polymer layer is deposited. Generally, an etching gas is provided. The etching gas is fed into a plasma, which provides the selective etching. While the etch process is stopped, the fluorocarbon polymer is selectively removed partially or completely from the carbon based mask (step 108). Generally, a trimming gas, such as an oxygen or fluorine containing flashing gas, such as O2, or CF4 is provided. The trimming gas is then formed into a plasma which ashes or trims the fluorocarbon polymer more quickly than the carbon based mask. The selective removal of the fluorocarbon polymer with respect to the carbon based mask is stopped. A subsequent selective etching of the dielectric layer is provided, where the second selective etching provides a net deposition of a fluorocarbon based polymer on the carbon mask (step 112). More specific implementations of the above embodiment are described below.
FIG. 2 is a schematic view of an etch reactor that may be used in practicing the invention. In one or more embodiments of the invention, an etch reactor 200 comprises a top central electrode 206, top outer electrode 204, bottom central electrode 208, and a bottom outer electrode 210, within a chamber wall 250. A top insulator ring 207 insulates the top central electrode 206 from the top outer electrode 204. A bottom insulator ring 212 insulates the bottom central electrode 208 from the bottom outer electrode 210. Also within the etch reactor 200, a substrate 280 is positioned on top of the bottom central electrode 208. Optionally, the bottom central electrode 208 incorporates a suitable substrate chucking mechanism (e.g., electrostatic, mechanical clamping, or the like) for holding the substrate 280.
A gas source 224 is connected to the etch reactor 200 and supplies the etch gas into the etch reactor 200 during the etch processes. In this example, the gas source 224 comprises an etch gas source 260, a trim gas source 264, and a polymer gas source 268 for providing a fluorocarbon polymer deposition during the etching.
A bias RF source 248, a first excitation RF source 252, and a second excitation RF source 256 are electrically connected to the etch reactor 200 through a controller 235 to provide power to the electrodes 204, 206, 208, and 210. The bias RF source 248 generates bias RF power and supplies the bias RF power to the etch reactor 200. Preferably, the bias RF power has a frequency between 1 kilo Hertz (kHz) and 10 mega Hertz (MHz). More preferably, the bias RF power has a frequency between 1 MHz and 5 MHz. Even more preferably, the bias RF power has a frequency of about 2 MHz.
The first excitation RF source 252 generates source RF power and supplies the source RF power to the etch reactor 200. Preferably, this source RF power has a frequency that is greater than the bias RF power. More preferably, this source RF power has a frequency that is between 10 MHz and 40 MHz. Most preferably, this source RF power has a frequency of 27 MHz.
The second excitation RF source 256 generates another source RF power and supplies the source RF power to the etch reactor 200, in addition to the RF power generated by the first excitation RF source 252. Preferably, this source RF power has a frequency that is greater than the bias RF source and the first RF excitation source. More preferably, the second excitation RF source has a frequency that is greater than or equal to 40 MHz. Most preferably, this source RF power has a frequency of 60 MHz.
The different RF signals may be supplied to various combinations of the top and bottom electrodes. Preferably, the lowest frequency of the RF should be applied through the bottom electrode on which the material being etched is placed, which in this example is the bottom central electrode 208.
The controller 235 is connected to the gas source 224, the bias RF source 248, the first excitation RF source 252, and the second excitation RF source 256. The controller 235 controls the flow of the etch gas into the etch reactor 200, as well as the generation of the RF power from the three RF sources 248, 252, 256, the electrodes 204, 206, 208, and 210, and the exhaust pump.
In this example, confinement rings 202 are provided to provide confinement of the plasma and gas, which pass between the confinement rings and are exhausted by the exhaust pump.
FIGS. 3A and 3B illustrate a computer system, which is suitable for implementing the controller 235 used in one or more embodiments of the present invention. FIG. 3A shows one possible physical form of the computer system 300. Of course, the computer system may have many physical forms ranging from an integrated circuit, a printed circuit board, and a small handheld device up to a huge super computer. Computer system 300 includes a monitor 302, a display 304, a housing 306, a disk drive 308, a keyboard 310, and a mouse 312. Disk 314 is a computer-readable medium used to transfer data to and from computer system 300.
FIG. 3B is an example of a block diagram for computer system 300. Attached to system bus 320 is a wide variety of subsystems. Processor(s) 322 (also referred to as central processing units, or CPUs) are coupled to storage devices, including memory 324. Memory 324 includes random access memory (RAM) and read-only memory (ROM). As is well known in the art, ROM acts to transfer data and instructions uni-directionally to the CPU and RAM is used typically to transfer data and instructions in a bi-directional manner. Both of these types of memories may include any suitable of the computer-readable media described below. A fixed disk 326 is also coupled bi-directionally to CPU 322; it provides additional data storage capacity and may also include any of the computer-readable media described below. Fixed disk 326 may be used to store programs, data, and the like and is typically a secondary storage medium (such as a hard disk) that is slower than primary storage. It will be appreciated that the information retained within fixed disk 326 may, in appropriate cases, be incorporated in standard fashion as virtual memory in memory 324. Removable disk 314 may take the form of any of the computer-readable media described below.
CPU 322 is also coupled to a variety of input/output devices, such as display 304, keyboard 310, mouse 312 and speakers 330. In general, an input/output device may be any of: video displays, track balls, mice, keyboards, microphones, touch-sensitive displays, transducer card readers, magnetic or paper tape readers, tablets, styluses, voice or handwriting recognizers, biometrics readers, or other computers. CPU 322 optionally may be coupled to another computer or telecommunications network using network interface 340. With such a network interface, it is contemplated that the CPU might receive information from the network, or might output information to the network in the course of performing the above-described method steps. Furthermore, method embodiments of the present invention may execute solely upon CPU 322 or may execute over a network such as the Internet in conjunction with a remote CPU that shares a portion of the processing.
In addition, embodiments of the present invention further relate to computer storage products with a computer-readable medium that have computer code thereon for performing various computer-implemented operations. The media and computer code may be those specially designed and constructed for the purposes of the present invention, or they may be of the kind well known and available to those having skill in the computer software arts. Examples of computer-readable media include, but are not limited to: magnetic media such as hard disks, floppy disks, and magnetic tape; optical media such as CD-ROMs and holographic devices; magneto-optical media such as floptical disks; and hardware devices that are specially configured to store and execute program code, such as application-specific integrated circuits (ASICs), programmable logic devices (PLDs) and ROM and RAM devices. Examples of computer code include machine code, such as produced by a compiler, and files containing higher level of code that are executed by a computer using an interpreter. Computer readable media may also be computer code transmitted by a computer data signal embodied in a carrier wave and representing a sequence of instructions that are executable by a processor.
EXAMPLE
In a specific example of an embodiment of the invention, a substrate is provided over which a dielectric layer is formed over which a carbon based mask is formed. FIG. 4A is a schematic cross sectional view of the substrate 404, the dielectric layer 408, and the carbon based mask 412. Although the dielectric layer 408 in this example is shown to be on top of the substrate 404 and the carbon mask 412 is shown to be on the dielectric layer 408, one or more layers may be disposed between these layers, so that the dielectric layer is over the substrate 404 but not in direct contact with the substrate 404 and where the carbon based mask 412 is over the dielectric layer 408, but not in direct contact with the dielectric layer 408. In this example, the dielectric layer 408 is a single layer of a single uniform material.
In this example, the substrate 404 is a silicon wafer and the dielectric layer is a single layer of siliconoxide (SiO) or silicon nitride (SiN) based material. In this example, the carbon based mask is amorphous carbon, but in other examples the carbon based mask may be a photoresist polymer. Amorphous carbon is similar to a polymer, but with less hydrogen and more carbon, so that it is more etch resistant than polymer. In other embodiments, the mask may be photoresist.
The dielectric layer is selectively etched with respect to the carbon based mask, while depositing a net fluorocarbon polymer layer on the carbon based mask to provide an affordable selectivity (step 104). An example of such an etch for a SiO2 dielectric layer, an etch and polymer gas of 200 sccm Ar, 20 sccm C4F8, 82 sccm C4F6, and 64 sccm O2 are provided to the interior of the etch chamber 240 from the etch gas source 260 and polymer gas source 268. The bias RF source provides 4,000 Watts (W) at 2 MHz. The first excitation RF source provides 150 W at 27 MHz. The second excitation RF source provides 500 W at 60 MHz. The etch and polymer gas is transformed into a plasma. A pressure of 25 mTorr is maintained. The etch is maintained for 300 seconds to etch to a depth of about 1500 nm. The etch is then stopped.
FIG. 4B is a schematic cross-sectional view after the selective etch. The selective etch provides a net fluorocarbon polymer deposition 416 on the mask 412, while features 420 have been partially etched. It has been unexpectedly found that the fluorocarbon polymer deposition tends to be asymmetric in such a way that one side that receives more deposition will continue to receive more deposition thus becoming even more asymmetric. Such an asymmetric deposition is a source of twisting, in that the centers of the bottoms of the etch features are unequally spaced. To facilitate understanding, the FIG. 4B shows the bottom of two features being separated by a distance x1 and the bottom of two features being separated by a distance x2, which is greater than x1.
The fluorocarbon polymer is selectively removed from the mask (step 108). An example of such a selective removal, an ashing or trim gas of 400 sccm Ar and 10 sccm O2 are provided to the interior of the etch chamber 240 from the trim gas source 264. The bias RF source provides 300 W at 2 MHz. The first excitation RF source provides 100 W at 27 MHz. The second excitation RF source provides 200 W at 60 MHz. The trim gas is transformed into a plasma. A pressure of 20 mTorr is maintained. The removal is maintained for 25 seconds. The removal process is then stopped. The O2 allows for the ashing of the polymer. The Ar may provide some bombardment, but most of the removal is done by the O2.
FIG. 4C is a schematic cross-sectional view after the fluorocarbon polymer removal, which reshapes the mask. The reshaped mask is patterned more correctly, since the asymmetric fluorocarbon polymer has been removed. The process also opens the mask features to closer to their original widths.
The dielectric layer is again selectively etched with respect to the carbon based mask, while depositing a net fluorocarbon polymer layer on the carbon based mask to provide an infinite selectivity (step 112). An example of such an etch for a SiO2 dielectric layer, an etch and polymer gas of 200 sccm Ar, 20 sccm C4F8, 82 sccm C4F6, and 64 sccm O2 are provided to the interior of the etch chamber 240 from the etch gas source 260 and polymer gas source 268. The bias RF source provides 4,000 Watts (W) at 2 MHz. The first excitation RF source provides 150 W at 27 MHz. The second excitation RF source provides 500 W at 60 MHz. The etch and polymer gas is transformed into a plasma. A pressure of 25 mTorr is maintained. The etch is maintained until the dielectric layer is completely etched. The etch is then stopped.
FIG. 4D is a schematic cross-sectional view after the selective etch. The selective etch provides a net fluorocarbon polymer deposition 416 on the mask 412, while features 420 have been partially etched. The fluorocarbon polymer deposition tends to be asymmetric in such a way that one side that receives more deposition will continue to receive more deposition. Such an asymmetric deposition is a source of twisting, in that the centers of the bottoms of the etch features are unequally spaced. To facilitate understanding, the FIG. 4D shows the bottom of two features being separated by a distance x1 and the bottom of two features being separated by a distance x2, which is greater than x1.
By providing the selective removal of the fluorocarbon polymer from the mask, resulting in mask reshaping, the twisting is reduced. For example, without the mask reshaping the differences between x1 and x2 may be doubled.
In the above example, a 30:1 aspect ratio was achieved for a 2.1 μdepth etch. A 40:1 aspect ratio was achieved for a 3 μdepth etch.
FIG. 5 is a schematic view useful for measuring the amount of twisting. The ellipses 504 schematically illustrate the bottoms of etch features. The grid 508 illustrates the locations of centers of the features of the layout of the original mask, where the center of each feature is at a grid point. As illustrated, the centers of the ellipses 504 of the bottoms of the etch features are not all aligned with the grid points. In this example, to measure twisting, a twisting in the x direction is determined by using an average of the root mean square (rms) of the difference between the center of the ellipse and the related grid point in the x direction and a twisting in the y direction is determined by using an average of the rms of the difference between the center of the ellipse and the related grid point in the y direction and a total twisting is the rms distance between the center of the ellipse and the related grid point.
A layout provides features with a twisting in the x direction of 2.40 nm. A control that used etching alone was found to have a twisting in the x direction of 6.4 nm. In the above example using a selective removal of the fluorocarbon polymer from the mask, a twisting was measured to be 4.03 nm.
The features are elliptical to allow closer placement of the features in the x direction, as shown. Because the features are closer together in the x direction the distances are more critical in the x direction, providing smaller tolerances in the x direction.
Pulsing Example
In another example, the dielectric layer is selectively etched with respect to the carbon based mask, while depositing a net fluorocarbon polymer layer on the carbon based mask to provide an infinite selectivity (step 104). An example of such an etch for a SiO2 dielectric layer, an etch and polymer gas of 200 sccm Ar, 20 sccm C4F8, 82 sccm C4F6, and 64 sccm O2 are provided to the interior of the etch chamber 240 from the etch gas source 260 and polymer gas source 268. The bias RF source provides 4,000 Watts (W) at 2 MHz. The first excitation RF source provides 150 W at 27 MHz. The second excitation RF source provides 500 W at 60 MHz. The etch and polymer gas is transformed into a plasma. A pressure of 25 mTorr is maintained. The etch is maintained for 240 seconds. The etch is then stopped.
The fluorocarbon polymer is selectively removed from the mask (step 108). An example of such a selective removal, an ashing or trim gas of 400 sccm Ar and 10 sccm O2 are provided to the interior of the etch chamber 240 from the trim gas source 264. The bias RF source provides 300 W at 2 MHz. The first excitation RF source provides 100 W at 27 MHz. The second excitation RF source provides 200 W at 60 MHz. The trim gas is transformed into a plasma. A pressure of 20 mTorr is maintained. The removal is maintained for 25 seconds. The removal process is then stopped.
The dielectric layer is again selectively etched with respect to the carbon based mask (step 112). In this example the bias RF source is pulsed. In an example of such an etch for a SiO2 dielectric layer, an etch and polymer gas of 200 sccm Ar, 20 sccm C4F8, 82 sccm C4F6, and 70 sccm O2 are provided to the interior of the etch chamber 240 from the etch gas source 260 and polymer gas source 268. The bias RF source provides 4,000 Watts (W) at 2 MHz, where the bias signal is pulsed with an 80 μs duty cycle at 50%. The first excitation RF source provides 150 W at 27 MHz. The second excitation RF source provides 500 W at 60 MHz. The etch and polymer gas is transformed into a plasma. A pressure of 25 mTorr is maintained. The pulsed etch is maintained until the dielectric layer is completely etched, which in this example is 600 seconds. The pulsed etch is then stopped. This step provides more oxygen than a similar non-pulsed step, since the pulsed process provides more polymerization and therefore more oxygen is needed to reduce polymerization.
FIG. 6 is a schematic view of an ellipse 604 with a length y and a width x. An ellipse ratio is defined by the ratio of the length to the width y/x. The ellipse ratio of the layout features is 1.69. In a control test where a nonpulsed bias RF was used an ellipse ratio was found to be 3.11. It has been found that under normal etch conditions, the cross section of the feature tends to increase in length and shorten in width causing the ellipse ratio to increase. It has been unexpectedly found that, although pulsing increases etch time, by slowing down the etch process, the ellipse ratio is decreased. In the above example, the ellipse ratio was found to be 1.94.
In another embodiment using the pulsed etch, such as when the CD of the etched features are large, twisting may not be a concern. In such a case, the pulsed bias during an etch may be used without the step of selective removal of the fluorocarbon polymer with respect to the mask. Such an etch may use the pulsed etch during the entire etch processes.
Preferably the selective etching of the dielectric layer with respect to the mask has a selectivity greater than 3 to 1. More preferably, the selectivity is greater than 5 to 1. Most preferably, the selectivity is an infinite selectivity.
Preferably, the selective removal of the fluorocarbon polymer with respect to the carbon based mask is at least 2 to 1. More preferably, the selectivity if at least 1.3 to 1. Most preferably, the selectivity is at least 1.1 to 1.
The dielectric layer may be made of one of many various dielectric materials such as silicon nitride based, silicon oxide base, various organic or inorganic low-k dielectrics.
While the above example provided two selective etches with a selective removal of the fluorocarbon polymer from the mask in between, other examples may provide more selective etches with selective removal of the fluorocarbon polymer in between. For example, five selective etches may be used requiring a total of three selective removals of fluorocarbon polymer. Such a process may increase processing time, but would further decrease twisting. Too many selective removals of the fluorocarbon polymer may undesirably remove too much of the carbon based mask.
The selective etching of the dielectric requires providing an etch and polymer gas which comprises either a fluorocarbon or a hydrofluorocarbon gas. More preferably the etch and polymer gas comprises a fluorocarbon gas. More preferably the etch and polymer gas further comprises an oxygen containing gas, which may be CO2 or O2, and is preferably O2. More preferably, the etch and polymer gas further comprises a bombardment gas, such as Ar.
To increase the selectivity of the removal of the fluorocarbon polymer with respect to the carbon based mask, it is more preferable for the carbon based mask to be an amorphous carbon mask.
While this invention has been described in terms of several preferred embodiments, there are alterations, permutations, and various substitute equivalents, which fall within the scope of this invention. It should also be noted that there are many alternative ways of implementing the methods and apparatuses of the present invention. It is therefore intended that the following appended claims be interpreted as including all such alterations, permutations, and various substitute equivalents as fall within the true spirit and scope of the present invention.

Claims (18)

1. A method for etching an ultra high aspect ratio feature dielectric layer through a carbon based mask in an etch chamber, comprising:
a selective etching the dielectric layer with respect to the carbon based mask, wherein the selective etching provides a net deposition of a fluorocarbon based polymer on the carbon based mask;
stopping the selective etch; and
a subsequent selective etching the dielectric layer with respect to the carbon based mask, comprising:
providing a flow of an etch gas, comprising a fluorocarbon containing molecule and an oxygen containing molecule to the etch chamber;
providing a pulsed bias RF signal; and
providing an energizing RF signal.
2. The method, as recited in claim 1, wherein the selective etching the dielectric layer with respect to the carbon based mask, comprises:
providing a flow of an etch gas and a fluorocarbon polymer gas to the etch chamber;
providing a continuous wave bias RF signal; and
providing an energizing RF signal.
3. The method, as recited in claim 2, wherein the carbon based mask is one of a photoresist and amorphous carbon.
4. The method, as recited in claim 2, wherein the carbon based mask is amorphous carbon.
5. The method, as recited in claim 4, wherein the ultra high aspect ratio feature has an aspect ratio of at least 30:1.
6. The method, as recited in claim 4, wherein the selective etching has a selectivity greater than 3 to 1.
7. The method, as recited in claim 6, wherein the subsequent selective etching has a selectivity greater than 3 to 1.
8. The method, as recited in claim 4, wherein the selective etching has a selectivity greater than 5 to 1.
9. The method, as recited in claim 8, wherein the subsequent selective etching has a selectivity greater than 5 to 1.
10. The method, as recited in claim 4, wherein the selective etching has an infinite selectivity.
11. The method, as recited in claim 10, wherein the subsequent selective etching has an infinite selectivity.
12. The method, as recited in claim 1, wherein the dielectric layer is a silicon oxide or silicon nitride based layer.
13. The method, as recited in claim 12, wherein the dielectric layer is a single uniform layer.
14. A method for selectively etching an ultra high aspect ratio feature dielectric layer through a carbon based mask in an etch chamber, comprising:
providing a flow of an etch gas, comprising a fluorocarbon containing molecule and an oxygen containing molecule to the etch chamber;
providing a pulsed bias RF signal;
providing an energizing RF signal to transform the etch gas to a plasma; and etching the carbon based mask until a feature has a high aspect ratio greater than 25.
15. The method, as recited in claim 14, wherein the carbon based mask is amorphous carbon.
16. The method, as recited in claim 15, wherein the ultra high aspect ratio feature has an aspect ratio of at least 30:1.
17. The method, as recited in claim 16, wherein the selective etching has an infinite selectivity.
18. The method, as recited in claim 17, wherein the dielectric layer is a single uniform silicon oxide or silicon nitride based layer.
US11/671,342 2007-02-05 2007-02-05 Pulsed ultra-high aspect ratio dielectric etch Active 2027-02-14 US7547636B2 (en)

Priority Applications (7)

Application Number Priority Date Filing Date Title
US11/671,342 US7547636B2 (en) 2007-02-05 2007-02-05 Pulsed ultra-high aspect ratio dielectric etch
KR1020097018057A KR101455883B1 (en) 2007-02-05 2008-02-04 Pulsed ultra-high aspect ratio dielectric etch
PCT/US2008/052950 WO2008097925A1 (en) 2007-02-05 2008-02-04 Pulsed ultra-high aspect ratio dielectric etch
CN2008800041803A CN101606232B (en) 2007-02-05 2008-02-04 Pulsed ultra-high aspect ratio dielectric etch
JP2009548493A JP5503976B2 (en) 2007-02-05 2008-02-04 Ultra-high aspect ratio dielectric pulse etching
TW097104575A TWI440083B (en) 2007-02-05 2008-02-05 Pulsed ultra-high aspect ratio dielectric etch
JP2013145614A JP2013239729A (en) 2007-02-05 2013-07-11 Pulsed ultra-high aspect ratio dielectric etch

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/671,342 US7547636B2 (en) 2007-02-05 2007-02-05 Pulsed ultra-high aspect ratio dielectric etch

Publications (2)

Publication Number Publication Date
US20080188082A1 US20080188082A1 (en) 2008-08-07
US7547636B2 true US7547636B2 (en) 2009-06-16

Family

ID=39676541

Family Applications (1)

Application Number Title Priority Date Filing Date
US11/671,342 Active 2027-02-14 US7547636B2 (en) 2007-02-05 2007-02-05 Pulsed ultra-high aspect ratio dielectric etch

Country Status (6)

Country Link
US (1) US7547636B2 (en)
JP (2) JP5503976B2 (en)
KR (1) KR101455883B1 (en)
CN (1) CN101606232B (en)
TW (1) TWI440083B (en)
WO (1) WO2008097925A1 (en)

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070218691A1 (en) * 2006-03-17 2007-09-20 Tokyo Electron Limited Plasma etching method, plasma etching apparatus and computer-readable storage medium
US20100213162A1 (en) * 2009-02-20 2010-08-26 Tokyo Electron Limited Plasma etching method, plasma etching apparatus and storage medium
US20100273332A1 (en) * 2009-04-24 2010-10-28 Lam Research Corporation Method and apparatus for high aspect ratio dielectric etch
US20110163420A1 (en) * 2010-01-07 2011-07-07 Lam Research Corporation Aspect ratio adjustment of mask pattern using trimming to alter geometry of photoresist features
US20110281438A1 (en) * 2007-11-29 2011-11-17 Lam Research Corporation Pulsed bias plasma process to control microloading
US20130084708A1 (en) * 2007-11-29 2013-04-04 Lam Research Corporation Etch with pulsed bias
US20130180951A1 (en) * 2012-01-17 2013-07-18 Lam Research Corporation Etch with increased mask selectivity
US20130344702A1 (en) * 2011-03-04 2013-12-26 Tokyo Electron Limited Method of etching silicon nitride films
CN103594351A (en) * 2012-08-15 2014-02-19 朗姆研究公司 Etch with mixed mode pulsing
KR20150056553A (en) 2012-09-18 2015-05-26 도쿄엘렉트론가부시키가이샤 Plasma etching method and plasma etching device
US20150187580A1 (en) * 2013-12-26 2015-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method for overcoming broken line and photoresist scum issues in tri-layer photoresist patterning
US10504744B1 (en) 2018-07-19 2019-12-10 Lam Research Corporation Three or more states for achieving high aspect ratio dielectric etch

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100099266A1 (en) * 2008-09-22 2010-04-22 Applied Materials, Inc. Etch reactor suitable for etching high aspect ratio features
JP2012079792A (en) * 2010-09-30 2012-04-19 Fujitsu Semiconductor Ltd Method of manufacturing semiconductor device
US8420545B2 (en) * 2011-05-23 2013-04-16 Nanya Technology Corporation Plasma etching method and plasma etching apparatus for preparing high-aspect-ratio structures
JP5802454B2 (en) * 2011-06-30 2015-10-28 株式会社日立ハイテクノロジーズ Plasma processing method
US20130119018A1 (en) * 2011-11-15 2013-05-16 Keren Jacobs Kanarik Hybrid pulsing plasma processing systems
JP6267953B2 (en) * 2013-12-19 2018-01-24 東京エレクトロン株式会社 Manufacturing method of semiconductor device
JP6315809B2 (en) * 2014-08-28 2018-04-25 東京エレクトロン株式会社 Etching method
US10599039B2 (en) * 2016-09-14 2020-03-24 Mattson Technology, Inc. Strip process for high aspect ratio structure
US10134600B2 (en) * 2017-02-06 2018-11-20 Lam Research Corporation Dielectric contact etch
JP6840041B2 (en) * 2017-06-21 2021-03-10 東京エレクトロン株式会社 Etching method
JP2019102483A (en) * 2017-11-28 2019-06-24 東京エレクトロン株式会社 Etching method and etching apparatus
KR20200108361A (en) * 2018-02-05 2020-09-17 램 리써치 코포레이션 Amorphous carbon layer opening process
US20220406610A1 (en) * 2019-10-01 2022-12-22 Lam Research Corporation Mask encapsulation to prevent degradation during fabrication of high aspect ratio features
CN113035706A (en) * 2019-12-25 2021-06-25 中微半导体设备(上海)股份有限公司 Plasma etching method and etching device
JP7462444B2 (en) * 2020-03-19 2024-04-05 東京エレクトロン株式会社 Etching method and plasma processing apparatus
US20210391181A1 (en) * 2020-06-15 2021-12-16 Tokyo Electron Limited Forming a semiconductor device using a protective layer
JPWO2022220224A1 (en) * 2021-04-14 2022-10-20

Citations (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4687543A (en) 1986-02-21 1987-08-18 Tegal Corporation Selective plasma etching during formation of integrated circuitry
US5843847A (en) * 1996-04-29 1998-12-01 Applied Materials, Inc. Method for etching dielectric layers with high selectivity and low microloading
US6147005A (en) 1999-07-23 2000-11-14 Worldwide Semiconductor Manufacturing Corp. Method of forming dual damascene structures
US6284149B1 (en) * 1998-09-18 2001-09-04 Applied Materials, Inc. High-density plasma etching of carbon-based low-k materials in a integrated circuit
US20010051438A1 (en) 1997-06-25 2001-12-13 Samsung Electronics Process and apparatus for dry-etching a semiconductor layer
US6368974B1 (en) 1999-08-02 2002-04-09 United Microelectronics Corp. Shrinking equal effect critical dimension of mask by in situ polymer deposition and etching
US20030040192A1 (en) 2001-08-21 2003-02-27 Matsushita Electric Industrial Co., Ltd. Method for fabricating semiconductor device
EP1336984A2 (en) * 2002-02-13 2003-08-20 Applied Materials, Inc. Method and apparatus for providing modulated bias power to a plasma etch reactor
US6617253B1 (en) 1999-07-20 2003-09-09 Samsung Electronics Co., Ltd. Plasma etching method using polymer deposition and method of forming contact hole using the plasma etching method
US6759340B2 (en) 2002-05-09 2004-07-06 Padmapani C. Nallan Method of etching a trench in a silicon-on-insulator (SOI) structure
US20050112891A1 (en) 2003-10-21 2005-05-26 David Johnson Notch-free etching of high aspect SOI structures using a time division multiplex process and RF bias modulation
US20050266691A1 (en) * 2004-05-11 2005-12-01 Applied Materials Inc. Carbon-doped-Si oxide etch using H2 additive in fluorocarbon etch chemistry
US20070049018A1 (en) 2005-08-26 2007-03-01 Sandhu Gurtej S Method to reduce charge buildup during high aspect ratio contact etch
US20070077780A1 (en) * 2005-10-05 2007-04-05 Judy Wang Process to open carbon based hardmask
US20070228002A1 (en) 2006-03-31 2007-10-04 Qiquan Geng Simultaneous selective polymer deposition and etch pitch doubling for sub 50nm line/space patterning

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3239460B2 (en) * 1992-09-08 2001-12-17 ソニー株式会社 Forming connection holes
JP3336975B2 (en) * 1998-03-27 2002-10-21 日本電気株式会社 Substrate processing method
JP4153606B2 (en) * 1998-10-22 2008-09-24 東京エレクトロン株式会社 Plasma etching method and plasma etching apparatus
JP4408313B2 (en) * 1999-10-29 2010-02-03 東京エレクトロン株式会社 Plasma processing apparatus and plasma processing method
JP2001332510A (en) * 2000-05-25 2001-11-30 Matsushita Electric Ind Co Ltd Semiconductor and its manufacturing method
US6916746B1 (en) * 2003-04-09 2005-07-12 Lam Research Corporation Method for plasma etching using periodic modulation of gas chemistry

Patent Citations (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4687543A (en) 1986-02-21 1987-08-18 Tegal Corporation Selective plasma etching during formation of integrated circuitry
US5843847A (en) * 1996-04-29 1998-12-01 Applied Materials, Inc. Method for etching dielectric layers with high selectivity and low microloading
US20010051438A1 (en) 1997-06-25 2001-12-13 Samsung Electronics Process and apparatus for dry-etching a semiconductor layer
US6284149B1 (en) * 1998-09-18 2001-09-04 Applied Materials, Inc. High-density plasma etching of carbon-based low-k materials in a integrated circuit
US6617253B1 (en) 1999-07-20 2003-09-09 Samsung Electronics Co., Ltd. Plasma etching method using polymer deposition and method of forming contact hole using the plasma etching method
US6147005A (en) 1999-07-23 2000-11-14 Worldwide Semiconductor Manufacturing Corp. Method of forming dual damascene structures
US6368974B1 (en) 1999-08-02 2002-04-09 United Microelectronics Corp. Shrinking equal effect critical dimension of mask by in situ polymer deposition and etching
US20030040192A1 (en) 2001-08-21 2003-02-27 Matsushita Electric Industrial Co., Ltd. Method for fabricating semiconductor device
EP1336984A2 (en) * 2002-02-13 2003-08-20 Applied Materials, Inc. Method and apparatus for providing modulated bias power to a plasma etch reactor
US6759340B2 (en) 2002-05-09 2004-07-06 Padmapani C. Nallan Method of etching a trench in a silicon-on-insulator (SOI) structure
US20050112891A1 (en) 2003-10-21 2005-05-26 David Johnson Notch-free etching of high aspect SOI structures using a time division multiplex process and RF bias modulation
US20050266691A1 (en) * 2004-05-11 2005-12-01 Applied Materials Inc. Carbon-doped-Si oxide etch using H2 additive in fluorocarbon etch chemistry
US20070049018A1 (en) 2005-08-26 2007-03-01 Sandhu Gurtej S Method to reduce charge buildup during high aspect ratio contact etch
US20070077780A1 (en) * 2005-10-05 2007-04-05 Judy Wang Process to open carbon based hardmask
US20070228002A1 (en) 2006-03-31 2007-10-04 Qiquan Geng Simultaneous selective polymer deposition and etch pitch doubling for sub 50nm line/space patterning

Non-Patent Citations (7)

* Cited by examiner, † Cited by third party
Title
International Search Report dated May 19, 2008 from International Application No. PCT/US2008/052944.
International Search Report dated May 26, 2008 from International Application No. PCT/US2008/052950.
L. Jian, Proceedings of the international Confernece on MEMS, NANO and Smart Systems (2003) IEEE, pp. 1-5. *
Office Action dated Mar. 6, 2008 for U.S. Appl. No. 11/671,340.
S. Stanley, 5th International Conference on Plasma Process Induced Damage, May (2000), American Vacuum Society, pp. 50-53. *
Written Opinion dated May 19, 2008 from International Application No. PCT/US2008/052944.
Written Opinion dated May 26, 2008 from International Application No. PCT/US2008/052950.

Cited By (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070218691A1 (en) * 2006-03-17 2007-09-20 Tokyo Electron Limited Plasma etching method, plasma etching apparatus and computer-readable storage medium
US9059116B2 (en) * 2007-11-29 2015-06-16 Lam Research Corporation Etch with pulsed bias
US20130084708A1 (en) * 2007-11-29 2013-04-04 Lam Research Corporation Etch with pulsed bias
US20110281438A1 (en) * 2007-11-29 2011-11-17 Lam Research Corporation Pulsed bias plasma process to control microloading
US8609546B2 (en) * 2007-11-29 2013-12-17 Lam Research Corporation Pulsed bias plasma process to control microloading
US8383001B2 (en) * 2009-02-20 2013-02-26 Tokyo Electron Limited Plasma etching method, plasma etching apparatus and storage medium
US20100213162A1 (en) * 2009-02-20 2010-08-26 Tokyo Electron Limited Plasma etching method, plasma etching apparatus and storage medium
US8475673B2 (en) * 2009-04-24 2013-07-02 Lam Research Company Method and apparatus for high aspect ratio dielectric etch
US20100273332A1 (en) * 2009-04-24 2010-10-28 Lam Research Corporation Method and apparatus for high aspect ratio dielectric etch
US8394723B2 (en) * 2010-01-07 2013-03-12 Lam Research Corporation Aspect ratio adjustment of mask pattern using trimming to alter geometry of photoresist features
US20110163420A1 (en) * 2010-01-07 2011-07-07 Lam Research Corporation Aspect ratio adjustment of mask pattern using trimming to alter geometry of photoresist features
KR20140016920A (en) * 2011-03-04 2014-02-10 도쿄엘렉트론가부시키가이샤 Method of etching silicon nitride films
US20130344702A1 (en) * 2011-03-04 2013-12-26 Tokyo Electron Limited Method of etching silicon nitride films
US20130180951A1 (en) * 2012-01-17 2013-07-18 Lam Research Corporation Etch with increased mask selectivity
US9224618B2 (en) * 2012-01-17 2015-12-29 Lam Research Corporation Method to increase mask selectivity in ultra-high aspect ratio etches
CN103594351A (en) * 2012-08-15 2014-02-19 朗姆研究公司 Etch with mixed mode pulsing
KR20150056553A (en) 2012-09-18 2015-05-26 도쿄엘렉트론가부시키가이샤 Plasma etching method and plasma etching device
US9530666B2 (en) 2012-09-18 2016-12-27 Tokyo Electron Limited Plasma etching method and plasma etching apparatus
US20150187580A1 (en) * 2013-12-26 2015-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method for overcoming broken line and photoresist scum issues in tri-layer photoresist patterning
US9159561B2 (en) * 2013-12-26 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method for overcoming broken line and photoresist scum issues in tri-layer photoresist patterning
US9583345B2 (en) 2013-12-26 2017-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method for overcoming broken line and photoresist scum issues in tri-layer photoresist patterning
US10504744B1 (en) 2018-07-19 2019-12-10 Lam Research Corporation Three or more states for achieving high aspect ratio dielectric etch

Also Published As

Publication number Publication date
KR20090125076A (en) 2009-12-03
TW200849377A (en) 2008-12-16
CN101606232A (en) 2009-12-16
CN101606232B (en) 2013-01-23
TWI440083B (en) 2014-06-01
US20080188082A1 (en) 2008-08-07
WO2008097925A1 (en) 2008-08-14
KR101455883B1 (en) 2014-11-03
JP2013239729A (en) 2013-11-28
JP2010518605A (en) 2010-05-27
JP5503976B2 (en) 2014-05-28

Similar Documents

Publication Publication Date Title
US7547636B2 (en) Pulsed ultra-high aspect ratio dielectric etch
US7682986B2 (en) Ultra-high aspect ratio dielectric etch
US8614149B2 (en) Critical dimension reduction and roughness control
US7491647B2 (en) Etch with striation control
US8864931B2 (en) Mask trimming
US8394722B2 (en) Bi-layer, tri-layer mask CD control
US7772122B2 (en) Sidewall forming processes
US20060134917A1 (en) Reduction of etch mask feature critical dimensions
US7785484B2 (en) Mask trimming with ARL etch
US8470715B2 (en) CD bias loading control with ARC layer open
US20060166145A1 (en) Method providing an improved bi-layer photoresist pattern
US20070181530A1 (en) Reducing line edge roughness
JP2006032908A (en) Manufacturing method of semiconductor device
US20040171260A1 (en) Line edge roughness control
US20110223770A1 (en) Nitride plasma etch with highly tunable selectivity to oxide

Legal Events

Date Code Title Description
AS Assignment

Owner name: LAM RESEARCH CORPORATION, CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:CHI, KYEONG-KOO;EDELBERG, ERIK A.;REEL/FRAME:018857/0415

Effective date: 20070202

STCF Information on status: patent grant

Free format text: PATENTED CASE

FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 12TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1553); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 12