US7122079B2 - Composition for an etching mask comprising a silicon-containing material - Google Patents

Composition for an etching mask comprising a silicon-containing material Download PDF

Info

Publication number
US7122079B2
US7122079B2 US10/789,319 US78931904A US7122079B2 US 7122079 B2 US7122079 B2 US 7122079B2 US 78931904 A US78931904 A US 78931904A US 7122079 B2 US7122079 B2 US 7122079B2
Authority
US
United States
Prior art keywords
layer
composition
silicon
containing material
approximately
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
US10/789,319
Other versions
US20050192421A1 (en
Inventor
Frank Y. Xu
Michael N. Miller
Michael P. C. Watts
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Canon Nanotechnologies Inc
Original Assignee
Molecular Imprints Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Molecular Imprints Inc filed Critical Molecular Imprints Inc
Assigned to MOLECULAR IMPRINTS, INC. reassignment MOLECULAR IMPRINTS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: MILLER, MICHAEL N., WATTS, MICHAEL P.C., XU, FRANK Y.
Priority to US10/789,319 priority Critical patent/US7122079B2/en
Priority to JP2006507506A priority patent/JP4554597B2/en
Priority to KR1020057017841A priority patent/KR101121017B1/en
Priority to EP04758238A priority patent/EP1614004B1/en
Priority to TW093107963A priority patent/TWI340697B/en
Priority to CN2004800080246A priority patent/CN1802265B/en
Priority to PCT/US2004/008920 priority patent/WO2004088414A2/en
Priority to MYPI20041060A priority patent/MY148648A/en
Priority to MYPI20115734 priority patent/MY151241A/en
Assigned to VENTURE LENDING & LEASING IV, INC. reassignment VENTURE LENDING & LEASING IV, INC. SECURITY INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: MOLECULAR IMPRINTS, INC.
Publication of US20050192421A1 publication Critical patent/US20050192421A1/en
Priority to US11/508,765 priority patent/US7906180B2/en
Publication of US7122079B2 publication Critical patent/US7122079B2/en
Application granted granted Critical
Assigned to MOLECULAR IMPRINTS, INC. reassignment MOLECULAR IMPRINTS, INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: VENTURE LENDING & LEASING IV, INC.
Priority to US13/029,805 priority patent/US20110140306A1/en
Assigned to CANON INC. reassignment CANON INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: MOLECULAR IMPRINTS, INC.
Assigned to CANON INC. reassignment CANON INC. CORRECTIVE ASSIGNMENT TO CORRECT THE NATURE OF CONVEYANCE FROM AN "ASSIGNMENT" TO "SECURITY AGREEMENT" PREVIOUSLY RECORDED ON REEL 026842 FRAME 0929. ASSIGNOR(S) HEREBY CONFIRMS THE THE ORIGINAL DOCUMENT SUBMITTED WAS A "SECURITY AGREEMENT". Assignors: MOLECULAR IMPRINTS, INC.
Assigned to CANON INC. reassignment CANON INC. RELEASE OF SECURITY INTEREST Assignors: MOLECULAR IMPRINTS, INC.
Assigned to MOLECULAR IMPRINTS, INC. reassignment MOLECULAR IMPRINTS, INC. CORRECTIVE ASSIGNMENT TO CORRECT THE ASSIGNOR AND ASSIGNEE PREVIOUSLY RECORDED ON REEL 033161 FRAME 0705. ASSIGNOR(S) HEREBY CONFIRMS THE ASSIGNMENT. Assignors: CANON INC.
Assigned to MII NEWCO, INC. reassignment MII NEWCO, INC. ASSIGNMENT OF JOINT OWNERSHIP Assignors: MOLECULAR IMPRINTS, INC.
Assigned to CANON NANOTECHNOLOGIES, INC. reassignment CANON NANOTECHNOLOGIES, INC. CHANGE OF NAME (SEE DOCUMENT FOR DETAILS). Assignors: MOLECULAR IMPRINTS, INC.
Assigned to MOLECULAR IMPRINTS, INC. reassignment MOLECULAR IMPRINTS, INC. CHANGE OF NAME (SEE DOCUMENT FOR DETAILS). Assignors: MII NEWCO, INC.
Assigned to MOLECULAR IMPRINTS, INC. reassignment MOLECULAR IMPRINTS, INC. CONFIRMATORY ASSIGNMENT OF JOINT PATENT OWNERSHIP Assignors: CANON NANOTECHNOLOGIES, INC.
Assigned to JP MORGAN CHASE BANK, N.A. reassignment JP MORGAN CHASE BANK, N.A. PATENT SECURITY AGREEMENT Assignors: MAGIC LEAP, INC., MENTOR ACQUISITION ONE, LLC, MOLECULAR IMPRINTS, INC.
Assigned to CITIBANK, N.A. reassignment CITIBANK, N.A. ASSIGNMENT OF SECURITY INTEREST IN PATENTS Assignors: JPMORGAN CHASE BANK, N.A.
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures

Definitions

  • the field of invention relates generally to micro-fabrication of structures. More particularly, the present invention is directed to formation of an etching mask comprising a silicon containing material used in semiconductor processing.
  • Micro-fabrication involves the fabrication of very small structures, e.g., having features on the order of micro-meters or smaller.
  • One area in which micro-fabrication has had a sizeable impact is in the processing of integrated circuits.
  • micro-fabrication becomes increasingly important.
  • Micro-fabrication provides greater process control while allowing increased reduction of the minimum feature dimension of the structures formed.
  • Other areas of development in which micro-fabrication has been employed include biotechnology, optical technology, mechanical systems and the like.
  • Willson et al. disclose a method of forming a relief image in a structure.
  • the method includes providing a substrate having a transfer layer.
  • the transfer layer is covered with a polymerizable fluid composition.
  • An imprint device makes mechanical contact with the polymerizable fluid.
  • the imprint device includes a relief structure formed from lands and grooves.
  • the polymerizable fluid composition fills the relief structure, with the thickness of the polymerizable fluid in superimposition with the lands defining a residual thickness.
  • the polymerizable fluid composition is then subjected to conditions to solidify and polymerize the same, forming a solidified polymeric material on the transfer layer that contains a relief structure complimentary to that of the imprint device.
  • the imprint device is then separated from the solid polymeric material such that a replica of the relief structure in the imprint device is formed in the solidified polymeric material.
  • the transfer layer and the solidified polymeric material are subjected to an environment to selectively etch the transfer layer relative to the solidified polymeric material such that a relief image is formed in the transfer layer. Thereafter, conventional etching processes may be employed to transfer the pattern of the relief structure into the substrate.
  • U.S. patent application Ser. No. 10/178,947 to Watts et al., entitled “Low Viscosity High Resolution Patterning Material,” discloses a method of forming a conformal layer upon a patterned layer with the conformal layer serving as a hard mask for the patterned layer during etching and the conformal layer being formed from a silicon-containing polymerized fluid.
  • the present invention includes a composition for a silicon-containing material used as an etch mask. More specifically, the silicon-containing material may be used as an etch mask for an imprinted layer comprising protrusions and recessions.
  • the composition includes a silicone resin, a cross-linking agent, a catalyst, and a solvent. This composition allows the silicon-containing material to selectively etch the protrusions and the segments of the patterned imprinted layer in superimposition therewith, while minimizing the etching of the segments in superposition with the recessions, and therefore allowing an in-situ hardened mask to be created by the silicon-containing material, with the hardened mask and the imprinting layer forming a substantially planarized profile.
  • the composition includes an epoxy-functional silane in addition to the aforementioned components. The epoxy-functional silane is added to improve the cross-linking conversion rate of the composition.
  • FIG. 1 is a perspective view of a lithographic system in accordance with the present invention
  • FIG. 2 is a simplified elevation view of a lithographic system, shown in FIG. 1 , employed to create a patterned imprinting layer in accordance with the present invention
  • FIG. 3 is a simplified representation of material from which a patterned imprinting layer, shown in FIG. 2 , is comprised before being polymerized and cross-linked in accordance with the present invention
  • FIG. 4 is a simplified representation of cross-linked polymer material into which the material shown in FIG. 3 is transformed after being subjected to radiation in accordance with the present invention
  • FIG. 5 is a simplified elevation view of an imprint device spaced-apart from the patterned imprinting layer, shown in FIG. 1 , after patterning in accordance with the present invention
  • FIG. 6 is a simplified elevation view of formation of a multi-layered structure on a solidified imprinting layer, shown in FIG. 5 , by deposition of a conformal layer, adjacent to the patterned imprinting layer, employing a mold in accordance with one embodiment of the present invention;
  • FIG. 7 is a simplified elevation view after a blanket etch of the multi-layered structure, shown in FIG. 6 , to format a crown surface in the conformal layer with portions of the patterned imprinting layer being exposed in accordance with one embodiment of the present invention
  • FIG. 8 is a simplified elevation view of the multi-layered structure, shown in FIG. 7 , after subjecting the crown surface to an anisotropic etch to expose regions of a substrate in accordance with the present invention
  • FIG. 9 is a simplified elevation view showing planarization of a conformal layer employing a planarized mold in accordance with an alternate embodiment of the present invention.
  • FIG. 10 is a simplified plan view of a radiation source employed in the lithographic system shown in FIG. 1 , depicting dual radiation sources;
  • FIG. 11 is a simplified plan view of a radiation source employed in the lithographic system shown in FIG. 1 , depicting single radiation source;
  • FIG. 12 is a cross-sectional view of a substrate shown in FIGS. 1 , 2 , 5 , 6 , 7 and 8 showing an infra-red absorption layer in accordance with the present invention
  • FIG. 13 is a cross-sectional view of a substrate shown in FIGS. 1 , 2 , 5 , 6 , 7 and 8 showing an infra-red absorption layer in accordance with an alternate embodiment of the present invention
  • FIG. 14 is a cross-section view showing a release layer and a planarization layer that may be employed in accordance with the present invention.
  • FIG. 15 is a cross-section view showing a release layer applied to a planarization mold shown in FIG. 13 .
  • FIG. 1 depicts a lithographic system 10 in accordance with one embodiment of the present invention that includes a pair of spaced-apart bridge supports 12 having a bridge 14 and a stage support 16 extending therebetween. Bridge 14 and stage support 16 are spaced-apart. Coupled to bridge 14 is an imprint head 18 , which extends from bridge 14 toward stage support 16 . Disposed upon stage support 16 to face imprint head 18 is a motion stage 20 . Motion stage 20 is configured to move with respect to stage support 16 along X and Y axes and may provide movement along the Z axis as well.
  • a radiation source 22 is coupled to system 10 to impinge actinic radiation upon motion stage 20 . As shown, radiation source 22 is coupled to bridge 14 and includes a power generator 23 connected to radiation source 22 .
  • Patterned mold 26 includes a plurality of features defined by a plurality of spaced-apart recesses 28 and projections 30 .
  • Projections 30 have a width W 1
  • recesses 28 have a width W 2 , both of which are measured in a direction that extends transversely to the Z axis.
  • the plurality of features defines an original pattern that forms the basis of a pattern to be transferred into a substrate 32 positioned on motion stage 20 .
  • imprint head 18 is adapted to move along the Z axis and vary a distance “d” between patterned mold 26 and substrate 32 .
  • motion stage 20 may move template 24 along the Z-axis.
  • the features on patterned mold 26 may be imprinted into a flowable region of substrate 32 , discussed more fully below.
  • Radiation source 22 is located so that patterned mold 26 is positioned between radiation source 22 and substrate 32 .
  • patterned mold 26 is fabricated from material that allows it to be substantially transparent to the radiation produced by radiation source 22 .
  • An exemplary system is available under the trade name IMPRIO 100TM from Molecular Imprints, Inc. having a place of business at 1807-C Braker Lane, Suite 100, Austin, Tex. 78758. The system description for the IMPRIO 100TM is available at www.molecularimprints.com and is incorporated herein by reference.
  • a flowable region such as an imprinting layer 34 , is disposed on a portion of surface 36 that presents a substantially planar profile.
  • the flowable region is deposited as a plurality of spaced-apart discrete droplets 38 of material 40 on substrate 32 , discussed more fully below.
  • Material 40 is substantially silicon-free and may be selectively polymerized and cross-linked to record an inverse of the original pattern therein, defining a recorded pattern.
  • Material 40 is shown in FIG. 4 as being cross-linked at points 42 , forming cross-linked polymer material 44 .
  • the pattern recorded in imprinting layer 34 is produced, in part, by mechanical contact with patterned mold 26 .
  • the distance “d” is reduced to allow imprinting layer 34 to come into mechanical contact with patterned mold 26 , spreading droplets 38 so as to form imprinting layer 34 with a contiguous formation of material 40 over surface 36 .
  • distance “d” is reduced to allow sub-portions 46 of imprinting layer 34 to ingress into and fill recesses 28 .
  • sub-portions 48 of imprinting layer 34 in superimposition with projections 30 remain after the desired, usually minimum distance “d”, has been reached, leaving sub-portions 46 with a thickness t 1 , and sub-portions 48 with a thickness, t 2 .
  • Thickness t 2 is referred to as a residual thickness. Thicknesses “t 1 ” and “t 2 ” may be any thickness desired, dependent upon the application.
  • the total volume contained in droplets 38 may be such so as to minimize, or avoid, a quantity of material 40 from extending beyond the region of surface 36 in superimposition with patterned mold 26 , while obtaining desired thicknesses t 1 and t 2 .
  • radiation source 22 produces actinic radiation that polymerizes and cross-links material 40 , forming cross-linked polymer material 44 .
  • the composition of imprinting layer 34 transforms from material 40 to material 44 , which is a solid.
  • material 44 is solidified to form solidified imprinting layer 134 with a side having a shape that conforms to a shape of a surface 50 of patterned mold 26 , shown more clearly in FIG. 5 .
  • solidified imprinting layer 134 is formed having recessions 52 and protrusions 54 .
  • step and repeat process An exemplary step and repeat process is disclosed in published United States patent application number 20040008334, which assigned to assignee of the present invention and is incorporated by reference.
  • the characteristics of material 40 are important to efficiently pattern substrate 32 in light of the unique deposition process employed.
  • material 40 is deposited on substrate 32 as a plurality of discrete and spaced-apart droplets 38 .
  • the combined volume of droplets 38 is such that the material 40 is distributed appropriately over an area of surface 36 where imprinting layer 34 is to be formed.
  • the total volume of imprinting material 40 in droplets 38 defines the distance “d”, to be obtained so that the total volume occupied by the material 40 in the gap defined between patterned mold 26 and the portion of substrate 32 in superimposition therewith once the desired distance “d” is reached is substantially equal to the total volume of material 40 in droplets 38 .
  • imprinting layer 34 is spread and patterned concurrently, with the pattern being subsequently set by exposure to radiation, such as ultraviolet radiation.
  • radiation such as ultraviolet radiation.
  • material 40 have certain characteristics to provide rapid and even spreading of material 40 in droplets 38 over surface 36 so that the all thicknesses t 1 are substantially uniform and all residual thicknesses t 2 are substantially uniform.
  • An exemplary composition for material 40 is silicon-free and consists of the following:
  • COMPOSITION 1 isobornyl acrylate comprises approximately 55% of the composition, n-hexyl acrylate comprises approximately 27%, ethylene glycol diacrylate comprises approximately 15% and the initiator 2-hydroxy-2-methyl-1-phenyl-propan-1-one comprises approximately 3%.
  • the initiator is sold under the trade name DAROCURE® 1173 by CIBA® of Tarrytown, N.Y.
  • the above-identified composition also includes stabilizers that are well known in the chemical art to increase the operational life of the composition.
  • COMPOSITION 1 may be employed with a template treated to have a mold surface that is hydrophobic and/or low surface energy, e.g., an a priori release layer.
  • an additive may be included in COMPOSITION 1.
  • material 40 may include, as an additive, a surfactant.
  • a surfactant is defined as any molecule, one tail of which is hydrophobic.
  • Surfactants may be either fluorine containing, e.g., include a fluorine chain, or may not include any fluorine in the surfactant molecule structure.
  • An exemplary surfactant is available under the trade name ZONYL® FSO-100 from DUPONTTM that has a general structure of R 1 R 2 where R 1 ⁇ F(CF 2 CF 2 ) Y , with y being in a range of 1 to 7, inclusive and R 2 ⁇ CH 2 CH 2 O(CH 2 CH 2 O) x H, where X is in a range of 0 to 15, inclusive.
  • ZONYL® FSO-100 from DUPONTTM that has a general structure of R 1 R 2 where R 1 ⁇ F(CF 2 CF 2 ) Y , with y being in a range of 1 to 7, inclusive and R 2 ⁇ CH 2 CH 2 O(CH 2 CH 2 O) x H, where X is in a range of 0 to 15, inclusive.
  • the ZONYL® FSO-100 additive comprises less than 1% of the composition, with the relative amounts of the remaining components being as discussed above with respect to COMPOSITION 1. However, the percentage of ZONYL® FSO-100 may be greater than 1%.
  • a multi-layered structure 56 is generated by formation of a silicon-containing conformal layer 58 adjacent to solidified imprinting layer 134 .
  • silicon-containing material is deposited adjacent to solidified imprinting layer 134 .
  • a silicon-containing material may be deposited adjacent to solidified imprinting layer 134 using any known technique to form conformal layer 58 , such as the technique discussed above with respect to deposition of material 40 .
  • the silicon-containing material may be deposited adjacent to solidified imprinting layer 134 employing spin-coating techniques.
  • silicon-containing material is deposited adjacent to solidified imprinting layer 134 using spin-coating techniques and subsequently thermally curing the silicon-containing material to form conformal layer 58 .
  • exemplary material that may be employed to form conformal layer 58 includes silicone resin, a cross-linking agent, a catalyst, and a solvent.
  • the silicone resin is process compatible, satisfying ionic, purity, and by-product contamination requirements desired.
  • the cross-linking agent is included to cross-link the silicone resin, providing conformal layer 58 with the properties to record a pattern thereon having very small feature sizes, i.e., on the order of a few nanometers.
  • the catalyst is provided to produce a condensation reaction in response to thermal energy, e.g., heat, causing the silicone resin and the cross-linking agent to polymerize and cross-link, forming a cross-linked polymer material.
  • the solvent selected is compatible with the silicone resin and represents the remaining balance of the silicon-containing material. It is desired that the solvent minimize, if not avoid, causing distortions in solidified imprinting layer 134 due, for example, to swelling of solidified imprinting layer 134 .
  • the silicone resin can be any alkyl and/or aryl substituted polysiloxane, copolymer, blend or mixture thereof.
  • a silicone resin include ultraviolet (UV) curable sol-gels, UV curable epoxy silicone, UV curable acrylate silicone, and UV curable silicone via thiolene chemistry; and non-cured materials such as hydrogen silsesquioxane, and poly(meth)acrylate/siloxane copolymers.
  • Silsesquixanes, or T-resins having a general repeating unit with a formula: RSiO 1.5 .
  • a hydroxyl-functional polysiloxane such as a hydroxyl-functional organo-siloxane, with examples of organo-siloxanes including methyl, phenyl, propyl and their mixtures.
  • the silicone resin may be present in the silicon-containing composition in amounts of approximately 2 to 40% by weight, depending on the thicknesses desired for conformal layer 58 .
  • An example of a hydroxyl-functional polysiloxane used in the present invention is a silicon T-resin intermediate available from Dow Corning® (Midland, Mich.) under the trade name Z-6018.
  • the cross-linking agent is a compound that includes two or more polymerizable groups.
  • the cross-linking agent may be present in the silicon-containing composition in amounts of approximately 2 to 50% by weight in relation to the quantity of silicone resin present. Typically, the cross-linking agent is present in the silicon-containing composition in an amount of approximately 20 to 30%.
  • An example of a cross-linking agent used in the present invention is a hexamethoxymethylmelamine (HMMM) based aminoplast cross-linking agent available from Cytec Industries, Inc. (West Paterson, N.J.) under the trade name CYMEL 303ULF.
  • the catalyst may be any component that catalyzes a condensation reaction. Suitable catalysts may include, but are not limited to, acidic compounds such as sulfonic acid.
  • the catalyst may be present in the silicon-containing material in amounts of approximately 0.05% to 5% by weight in relation to the silicone resin present. Typically, the catalyst is present in the silicon-containing material in an amount of approximately 1 to 2%.
  • An example of a catalyst used in the present invention is toluenesulfonic acid available from Cytec Industries, Inc. (West Paterson, N.J.) under the trade name CYCAT 4040.
  • a solvent is utilized.
  • the solvent can be any solvent or combination of solvents that satisfies several criteria. As mentioned above, the solvent should not cause solidified imprinting layer 134 to swell. In addition, the evaporation rate of the solvent should be established so that a desired quantity of the solvent evaporates as a result of the spin-coating process while providing sufficient viscosity to facilitate planarization of silicon-containing material in furtherance of forming conformal layer 58 .
  • Suitable solvents may include, but are not limited to, alcohol, ether, a glycol or glycol ether, a ketone, an ester, an acetate and mixtures thereof.
  • the solvent may be present in the silicon-containing material used to form conformal layer 58 in amounts of approximately 60 to 98% by weight, dependent upon the desired thicknesses of conformal layer 58 .
  • An example of a solvent used in the present invention is methyl amyl ketone available from Aldrich Co. (St. Louis, Miss.) under the trade name MAK.
  • the composition of conformal layer 58 is altered to include an epoxy-functional silane coupling agent to improve the cross-linking reaction and improve the rate of cross-linking.
  • epoxy-functional silanes may include glycidoxymethyltrimethoxysilane, 3-glycidoxypropyltrihydroxysilane, 3-glycidoxypropyldimethylhydroxysilane, 3-glycidoxypropyltrimeth oxysilane, 2,3-epoxypropyltrimethoxysilane, and the like.
  • the epoxy-functional silane may be present in conformal layer 58 in amounts of approximately 2 to 30% by weight of silicon-containing compound in relation to the silicone resin and typically in an amount of 5 to 10%.
  • An example of epoxy-functional silane used in the present invention is gamma-glycidoxypropyltrimethoxysilane available from GE Silicone/OSi Specialty (Wilton, Conn.) under the trade name A187.
  • compositions from which to form conformal layer 58 are as follows:
  • hydroxyl-functional polysiloxane comprises approximately 4% of the composition, hexamethoxymethylmelamine comprisies approximately 0.95%, toluenesulfonic acid comprises approximately 0.05% and methyl amyl ketone comprises approximately 95%.
  • hydroxyl-functional polysiloxane comprises approximately 4% of the composition, hexamethoxymethylmelamine comprisies approximately 0.7%, gamma-glycidoxypropyltrimethoxysilane comprisies approximately 0.25%, toluenesulfonic acid comprises approximately 0.05%, and methyl amyl ketone comprises approximately 95%.
  • Both COMPOSITIONS 3 and 4 are made up of at least 4% of the silicone resin. Upon curing, however, the quantity of silicon present in conformal layer 58 is at least 5% by weight and typically in a range of 20% or greater. Specifically, the quantity and composition of the solvent present in COMPOSITIONS 3 and 4 is selected so that a substantial portion of the solvent evaporates during spin-coating application of the COMPOSITION 3 or 4 on solidified imprinting layer 134 . In the present exemplary silicon-containing material, approximately 90% of the solvent evaporates during spin-coating. Upon exposing the silicon-containing material to thermal energy, the remaining 10% of the solvent evaporates, leaving conformal layer 58 with approximately 20% silicon by weight.
  • An exemplary method of forming conformal layer 58 includes spinning-on approximately 4 mL of the silicon-containing material deposited proximate to a center of solidified imprinting layer 134 .
  • substrate 32 is spun at 1000 rev/min for 1 min by placing substrate 32 on a hot plate.
  • the silicon-containing material is subjected to thermal energy by baking at 150° C. for 1 min.
  • the aforementioned spin-coating and curing processes are simply repeated.
  • the solvent employed is selected so as not to remove, “wash away,” silicon-containing material in a well-cured conformal layer 58 .
  • conformal layer 58 includes first and second opposed sides.
  • First side 60 faces imprinting layer 134 and has a profile complementary to the profile of the imprinting layer 134 .
  • the second side faces away from imprinting layer 134 forming a normalization surface 62 , which is substantially smooth and typically planar.
  • normalization surface 62 provides a solidified conformal layer 58 with a substantially normalized profile. It is believed that normalization surface 62 is provided with a smooth, e.g., substantially planar, topography by ensuring that COMPOSITIONS 3 and 4 have a glass transition temperature lower than the curing temperature.
  • the temperature difference between the glass transition temperature and the curing temperature be sufficient to allow the silicon-containing material to reflow during curing to maximize smoothness, e.g., planarity of normalization surface 62 in a minimum amount of time.
  • the COMPOSITIONS 3 and 4 each have a glass transition temperature of approximately 50° C. and a curing temperature of 150° C.
  • the distances, k 2 , k 4 , k 6 , k 8 and k 10 , between the apex 64 of each of the protrusions 54 and normalization surface 62 are substantially the same.
  • the distance, k 1 , k 3 , k 5 , k 7 , k 9 and k 11 between a nadir surface 66 of each of the recessions 52 and normalization surface 62 are substantially the same.
  • a blanket etch is employed to remove portions of conformal layer 58 to provide multi-layered structure 56 with a crown surface 70 .
  • the blanket etch may be achieved in a system available from LAM Research 9400SE obtained from Lam Research, Inc. of Fremont, Calif.
  • normalization surface 62 is subjected to an isotropic halogen reactive ion etch (“RIE”) rich in fluorine, i.e., wherein at least one of the precursors was a fluorine-containing material, for example, and without limitation, a combination of CHF 3 and O 2 .
  • RIE isotropic halogen reactive ion etch
  • Other suitable halogen compounds include, for example, and without limitation, CF 4 . It is desirable that oxygen be absent from the plasma chemistry.
  • Normalization surface 62 is subjected to the blanket etch sufficient to expose crown surface 70 .
  • Crown surface 70 is defined by an exposed surface 72 of each of protrusions 54 and upper surfaces of portions 74 that remain on conformal layer 58 after the blanket etch.
  • the composition of conformal layer 58 is such that when the blanket etch is applied to conformal layer 58 , crown surface 70 is provided with a substantially planar profile. That is, the thickness of protrusions 54 , shown as “a”, is substantially the same as the thickness of portions 74 , shown as “b”.
  • An exemplary blanket etch may be a plasma etch process employing a fluorine-based chemistry.
  • crown surface 70 is subjected to an anisotropic etch.
  • the etch chemistry of the anisotropic etch is selected to maximize etching of protrusions 54 and the segments of imprinting layer 134 , in superimposition therewith, while minimizing etching of the portions 74 in superimposition with recessions 52 .
  • advantage was taken of the distinction of the silicon content between the imprinting layer 134 and the conformal layer 58 .
  • employing an anisotropic plasma etch e.g., an RIE plasma etch with an oxygen-based chemistry would create an in-situ hardened mask 76 in the regions of portions 74 proximate to crown surface 70 .
  • regions 78 of substrate 32 in superimposition with protrusions 54 are exposed.
  • the width U′ of regions 78 are optimally equal to width W 2 , shown in FIG. 2 .
  • the advantages of this patterning process are manifold.
  • the relative etch rate differential between portions 74 and exposed surfaces 72 facilitates providing precise etch selectivity.
  • the dimensional width U′ of regions 78 may be precisely controlled, thereby reducing transfer distortions of the pattern into substrate 32 .
  • the resulting structure may be used as a mask to facilitate transfer of a pattern into substrate 32 .
  • the etch differential provided by hardened mask 76 and the portions of solidified imprinting layer 134 in superimposition therewith would provide an etch differential in the presence of a blanket etch. In this manner, regions 78 of substrate 32 would etch sooner than regions of substrate 32 in superimposition with hardened mask 76 .
  • the relational dimensions between the differing features of the pattern eventually transferred into substrate 32 may be controlled as desired.
  • the silicon-containing material may be spun-on as discussed above with respect to forming conformal layer 58 or may be deposited as a plurality of droplets discussed above with respect to imprinting layer 34 .
  • a planarizing mold 80 having a substantially smooth, if not planar, surface 82 is employed to contact normalization surface 62 , before solidification of the silicon-containing material in conformal layer 58 .
  • conformal layer 58 is provided with a normalized surface with respect to solidified imprinting layer 134 .
  • This is typically achieved by providing an optical flat which has sufficient area to concurrently planarize all regions of substrate 32 that includes silicon-containing material employed to form normalization layer 58 .
  • the silicon-containing material in conformal layer 58 is solidified and planarized mold 80 is separated from conformal layer 58 ; and the normalization surface 62 may be processed as discussed above to pattern the same and transfer a pattern into substrate 32 .
  • radiation source 22 may be selected to provide actinic radiation to both effectuate cross-linking using both infrared (IR) radiation and ultraviolet radiation.
  • An exemplary radiation source 22 may include multiple sources each of which produces a single range of wavelengths of radiation and is shown including two radiation sources 84 and 86 .
  • Radiation source 84 may be any known in the art capable of producing IR radiation
  • radiation source 86 may be any known in the art capable of producing actinic radiation employed to polymerize and cross-link material in droplets 38 , such as UV radiation.
  • a circuit (not shown) is in electrical communication with radiation sources 84 and 86 to selectively allow radiation in the UV and IR spectra to impinge upon substrate 32 .
  • radiation source 22 may include a single radiation source that produces multiple ranges of wavelength, which may be selectively controlled to impinge upon substrate 32 sequentially or concurrently.
  • An exemplary radiation source 22 consists of a single broad spectrum radiation source 90 that produces UV and IR radiation, which may consist of a mercury (Hg) lamp.
  • a filtering system 92 is utilized to selectively impinge differing types of radiation upon substrate 32 .
  • Filtering system 92 comprises a high pass filter (not shown) and a low pass filter (not shown), each in optical communication with radiation source 90 .
  • Filtering system 92 may position the high pass filter (not shown) such that optical path 88 comprises IR radiation or filtering system 92 may position the low pass filter (not shown) such that optical path 88 comprises UV radiation.
  • the high pass and low pass filters (not shown) may be any known in the art, such as interference filters comprising two semi-reflective coatings with a spacer disposed therebetween. The index of refraction and the thickness of the spacer determine the frequency band being selected and transmitted through the interference filter. Therefore, the appropriate index of refraction and thickness of the spacer is chosen for both the high pass filter (not shown) and the low pass filter (not shown), such that the high pass filter (not shown) permits passage of IR radiation and the low pass filter (not shown) permits passage of UV radiation.
  • a processor (not shown) is in data communication with radiation source 90 and filtering system 92 to selectively allow the desired wavelength of radiation to propagate along optical path 88 .
  • the circuit enables high pass filter (not shown) when IR radiation is desired and enables the low pass filter (not shown) when UV radiation is desired.
  • substrate 32 may have one or more existing layers disposed thereon before deposition of imprinting layer 34 .
  • heating the silicon-containing material may be problematic, because the material from which the wafer is formed and/or the preexisting layers on the wafer, e.g., solidified imprinting layer 134 , are substantially non-responsive to infrared radiation. As a result, very little energy transfer may occur, resulting in it being difficult to raise the temperature of the silicon-containing material sufficient to achieve cross-linking.
  • one of the layers included with substrate 32 may be an infrared absorption layer 94 .
  • Absorption layer 94 comprises a material that is excited when exposed to IR radiation and produces a localized heat source.
  • absorption layer 94 is formed from a material that maintains a constant phase state during the heating process, which may include a solid phase state. Specifically, the IR radiation impinging upon absorption layer 94 causes an excitation of the molecules contained therein, generating heat.
  • absorption layer 94 The heat generated in absorption layer 94 is transferred to the silicon-containing material via conduction through the wafer and/or any intervening layer of material thereon, e.g., absorption layer 94 may be disposed on surface 36 so as to be disposed between substrate 32 and solidified imprinting layer 134 .
  • absorption layer 94 and substrate 32 provide a bifurcated heat transfer mechanism that is able to absorb IR radiation and to produce a localized heat source sensed by the silicon-containing material in conformal layer 58 . In this manner, absorption layer 94 creates a localized heat sources on surface 36 .
  • absorption layer 94 may be deposited using any known technique, including spin-coating, chemical vapor deposition, physical vapor deposition, atomic layer deposition and the like.
  • absorption layer 94 may be disposed on a side of substrate 32 disposed opposite to solidified imprinting layer 134 . As a result, absorption layer 94 may be permanently or removably attached. Exemplary materials that may be employed as absorption layer 94 include black nickel and anodized black aluminum. Also, black chromium may be employed as absorption layer 94 . Black chromium is typically deposited as a mixture of oxides and is used as a coating for solar cells.
  • patterned mold 26 may be fabricated from any material, such as, but not limited to, fused-silica, quartz, silicon, organic polymers, siloxane polymers, borosilicate glass, fluorocarbon polymers, metal, and combinations of the above.
  • the actinic radiation propagates through patterned mold 26 . Therefore, it is desired that patterned mold 26 be fabricated from material that is substantially transparent to the actinic radiation.
  • the plurality of features on patterned mold 26 are shown as recesses 28 extending along a direction parallel to projections 30 that provide a cross-section of patterned mold 26 with a shape of a battlement.
  • recesses 28 and projections 30 may correspond to virtually any feature required to create an integrated circuit and may be as small as a few tenths of nanometers.
  • Primer layer 96 may be formed upon substrate 32 .
  • Primer layer 96 has proved beneficial when surface 36 of substrate 32 appears rough when compared to the features dimensions to be formed in imprinting layer 34 . Additionally, it has been found beneficial to deposit primer layer 96 when forming imprinting layer 34 upon a previously disposed patterned layer present on substrate 32 .
  • Primer layer 96 may also functions, inter alia, to provide a standard interface with imprinting layer 34 , thereby reducing the need to customize each process to the material from which substrate 32 is formed.
  • primer layer 96 may be formed from an organic material with the same etch characteristics as imprinting layer 34 .
  • Primer layer 96 is fabricated in such a manner so as to possess a continuous, smooth, relatively defect-free surface that may exhibit excellent adhesion to imprinting layer 34 .
  • An exemplary material to use to form primer layer 96 is available from Brewer Science, Inc. of Rolla Mo. under the trade name DUV30J-6.
  • surface 50 may be treated with a low surface energy coating 98 .
  • Low surface energy coating 98 may be applied using any known process.
  • processing techniques may include chemical vapor deposition method, physical vapor deposition, atomic layer deposition or various other techniques, brazing and the like.
  • a low surface energy coating 198 may be applied to planarizing mold 94 , shown in FIG. 15 .
  • the surfactant has a surface energy associated therewith that is lower than a surface energy of the polymerizable material in the layer.

Abstract

The present invention includes a composition for a silicon-containing material used as an etch mask for underlying layers. More specifically, the silicon-containing material may be used as an etch mask for a patterned imprinted layer comprising protrusions and recessions. To that end, in one embodiment of the present invention, the composition includes a hydroxyl-functional silicone component, a cross-linking component, a catalyst component, and a solvent. This composition allows the silicon-containing material to selectively etch the protrusions and the segments of the patterned imprinting layer in superimposition therewith, while minimizing the etching of the segments in superposition with the recessions, and therefore allowing an in-situ hardened mask to be created by the silicon-containing material, with the hardened mask and the patterned imprinting layer forming a substantially planarized profile.

Description

BACKGROUND OF THE INVENTION
The field of invention relates generally to micro-fabrication of structures. More particularly, the present invention is directed to formation of an etching mask comprising a silicon containing material used in semiconductor processing.
Micro-fabrication involves the fabrication of very small structures, e.g., having features on the order of micro-meters or smaller. One area in which micro-fabrication has had a sizeable impact is in the processing of integrated circuits. As the semiconductor processing industry continues to strive for larger production yields while increasing the circuits per unit area formed on a substrate, micro-fabrication becomes increasingly important. Micro-fabrication provides greater process control while allowing increased reduction of the minimum feature dimension of the structures formed. Other areas of development in which micro-fabrication has been employed include biotechnology, optical technology, mechanical systems and the like.
An exemplary micro-fabrication technique is shown in U.S. Pat. No. 6,334,960 to Willson et al. Willson et al. disclose a method of forming a relief image in a structure. The method includes providing a substrate having a transfer layer. The transfer layer is covered with a polymerizable fluid composition. An imprint device makes mechanical contact with the polymerizable fluid. The imprint device includes a relief structure formed from lands and grooves. The polymerizable fluid composition fills the relief structure, with the thickness of the polymerizable fluid in superimposition with the lands defining a residual thickness. The polymerizable fluid composition is then subjected to conditions to solidify and polymerize the same, forming a solidified polymeric material on the transfer layer that contains a relief structure complimentary to that of the imprint device. The imprint device is then separated from the solid polymeric material such that a replica of the relief structure in the imprint device is formed in the solidified polymeric material. The transfer layer and the solidified polymeric material are subjected to an environment to selectively etch the transfer layer relative to the solidified polymeric material such that a relief image is formed in the transfer layer. Thereafter, conventional etching processes may be employed to transfer the pattern of the relief structure into the substrate.
In recent trends in micro-fabrication of semiconductors, a silicon containing material has been utilized as a masking layer for underlying layers during etching. An example of utilizing silicon as a masking layer is found in, U.S. Pat. No. 6,468,896 to Röhr et al., entitled “Method of Fabricating Semiconductor Components,” discloses a method of depositing a silicon layer upon a metal layer, selectively etching the silicon layer with the selectively etched silicon layer serving as a hard mask when etching of the metal layer occurs.
In another example, U.S. patent application Ser. No. 10/178,947 to Watts et al., entitled “Low Viscosity High Resolution Patterning Material,” discloses a method of forming a conformal layer upon a patterned layer with the conformal layer serving as a hard mask for the patterned layer during etching and the conformal layer being formed from a silicon-containing polymerized fluid.
It is desired, therefore, to provide an improved composition of the silicon-containing material used in imprint lithography processes.
SUMMARY OF THE INVENTION
The present invention includes a composition for a silicon-containing material used as an etch mask. More specifically, the silicon-containing material may be used as an etch mask for an imprinted layer comprising protrusions and recessions. To that end, in one embodiment of the present invention, the composition includes a silicone resin, a cross-linking agent, a catalyst, and a solvent. This composition allows the silicon-containing material to selectively etch the protrusions and the segments of the patterned imprinted layer in superimposition therewith, while minimizing the etching of the segments in superposition with the recessions, and therefore allowing an in-situ hardened mask to be created by the silicon-containing material, with the hardened mask and the imprinting layer forming a substantially planarized profile. In a further embodiment, the composition includes an epoxy-functional silane in addition to the aforementioned components. The epoxy-functional silane is added to improve the cross-linking conversion rate of the composition.
BRIEF DESCRIPTION OF THE DRAWINGS
FIG. 1 is a perspective view of a lithographic system in accordance with the present invention;
FIG. 2 is a simplified elevation view of a lithographic system, shown in FIG. 1, employed to create a patterned imprinting layer in accordance with the present invention;
FIG. 3 is a simplified representation of material from which a patterned imprinting layer, shown in FIG. 2, is comprised before being polymerized and cross-linked in accordance with the present invention;
FIG. 4 is a simplified representation of cross-linked polymer material into which the material shown in FIG. 3 is transformed after being subjected to radiation in accordance with the present invention;
FIG. 5 is a simplified elevation view of an imprint device spaced-apart from the patterned imprinting layer, shown in FIG. 1, after patterning in accordance with the present invention;
FIG. 6 is a simplified elevation view of formation of a multi-layered structure on a solidified imprinting layer, shown in FIG. 5, by deposition of a conformal layer, adjacent to the patterned imprinting layer, employing a mold in accordance with one embodiment of the present invention;
FIG. 7 is a simplified elevation view after a blanket etch of the multi-layered structure, shown in FIG. 6, to format a crown surface in the conformal layer with portions of the patterned imprinting layer being exposed in accordance with one embodiment of the present invention;
FIG. 8 is a simplified elevation view of the multi-layered structure, shown in FIG. 7, after subjecting the crown surface to an anisotropic etch to expose regions of a substrate in accordance with the present invention;
FIG. 9 is a simplified elevation view showing planarization of a conformal layer employing a planarized mold in accordance with an alternate embodiment of the present invention;
FIG. 10 is a simplified plan view of a radiation source employed in the lithographic system shown in FIG. 1, depicting dual radiation sources;
FIG. 11 is a simplified plan view of a radiation source employed in the lithographic system shown in FIG. 1, depicting single radiation source;
FIG. 12 is a cross-sectional view of a substrate shown in FIGS. 1, 2, 5, 6, 7 and 8 showing an infra-red absorption layer in accordance with the present invention;
FIG. 13 is a cross-sectional view of a substrate shown in FIGS. 1, 2, 5, 6, 7 and 8 showing an infra-red absorption layer in accordance with an alternate embodiment of the present invention;
FIG. 14 is a cross-section view showing a release layer and a planarization layer that may be employed in accordance with the present invention; and
FIG. 15 is a cross-section view showing a release layer applied to a planarization mold shown in FIG. 13.
DETAILED DESCRIPTION OF THE INVENTION
FIG. 1 depicts a lithographic system 10 in accordance with one embodiment of the present invention that includes a pair of spaced-apart bridge supports 12 having a bridge 14 and a stage support 16 extending therebetween. Bridge 14 and stage support 16 are spaced-apart. Coupled to bridge 14 is an imprint head 18, which extends from bridge 14 toward stage support 16. Disposed upon stage support 16 to face imprint head 18 is a motion stage 20. Motion stage 20 is configured to move with respect to stage support 16 along X and Y axes and may provide movement along the Z axis as well. A radiation source 22 is coupled to system 10 to impinge actinic radiation upon motion stage 20. As shown, radiation source 22 is coupled to bridge 14 and includes a power generator 23 connected to radiation source 22.
Referring to both FIGS. 1 and 2, connected to imprint head 18 is a template 24 having a patterned mold 26 thereon. Patterned mold 26 includes a plurality of features defined by a plurality of spaced-apart recesses 28 and projections 30. Projections 30 have a width W1, and recesses 28 have a width W2, both of which are measured in a direction that extends transversely to the Z axis. The plurality of features defines an original pattern that forms the basis of a pattern to be transferred into a substrate 32 positioned on motion stage 20. To that end, imprint head 18 is adapted to move along the Z axis and vary a distance “d” between patterned mold 26 and substrate 32. Alternatively, or in conjunction with imprint head 18, motion stage 20 may move template 24 along the Z-axis. In this manner, the features on patterned mold 26 may be imprinted into a flowable region of substrate 32, discussed more fully below. Radiation source 22 is located so that patterned mold 26 is positioned between radiation source 22 and substrate 32. As a result, patterned mold 26 is fabricated from material that allows it to be substantially transparent to the radiation produced by radiation source 22. An exemplary system is available under the trade name IMPRIO 100™ from Molecular Imprints, Inc. having a place of business at 1807-C Braker Lane, Suite 100, Austin, Tex. 78758. The system description for the IMPRIO 100™ is available at www.molecularimprints.com and is incorporated herein by reference.
Referring to both FIGS. 2 and 3, a flowable region, such as an imprinting layer 34, is disposed on a portion of surface 36 that presents a substantially planar profile. In the present embodiment, the flowable region is deposited as a plurality of spaced-apart discrete droplets 38 of material 40 on substrate 32, discussed more fully below. Material 40 is substantially silicon-free and may be selectively polymerized and cross-linked to record an inverse of the original pattern therein, defining a recorded pattern. Material 40 is shown in FIG. 4 as being cross-linked at points 42, forming cross-linked polymer material 44.
Referring to FIGS. 2, 3 and 5, the pattern recorded in imprinting layer 34 is produced, in part, by mechanical contact with patterned mold 26. To that end, the distance “d” is reduced to allow imprinting layer 34 to come into mechanical contact with patterned mold 26, spreading droplets 38 so as to form imprinting layer 34 with a contiguous formation of material 40 over surface 36. In one embodiment, distance “d” is reduced to allow sub-portions 46 of imprinting layer 34 to ingress into and fill recesses 28.
In the present embodiment, sub-portions 48 of imprinting layer 34 in superimposition with projections 30 remain after the desired, usually minimum distance “d”, has been reached, leaving sub-portions 46 with a thickness t1, and sub-portions 48 with a thickness, t2. Thickness t2 is referred to as a residual thickness. Thicknesses “t1” and “t2” may be any thickness desired, dependent upon the application. The total volume contained in droplets 38 may be such so as to minimize, or avoid, a quantity of material 40 from extending beyond the region of surface 36 in superimposition with patterned mold 26, while obtaining desired thicknesses t1 and t2.
Referring to FIGS. 2, 3, and 4, after a desired distance “d” has been reached, radiation source 22 produces actinic radiation that polymerizes and cross-links material 40, forming cross-linked polymer material 44. As a result, the composition of imprinting layer 34 transforms from material 40 to material 44, which is a solid. Specifically, material 44 is solidified to form solidified imprinting layer 134 with a side having a shape that conforms to a shape of a surface 50 of patterned mold 26, shown more clearly in FIG. 5. As a result, solidified imprinting layer 134 is formed having recessions 52 and protrusions 54. After formation of solidified imprinting layer 134, distance “d” is increased so that patterned mold 26 and solidified imprinting layer 134 are spaced-apart. Typically, this process is repeat several times to pattern different regions (not shown) of substrate 32, referred to as a step and repeat process. An exemplary step and repeat process is disclosed in published United States patent application number 20040008334, which assigned to assignee of the present invention and is incorporated by reference.
Referring to FIGS. 1, 2 and 3, the characteristics of material 40 are important to efficiently pattern substrate 32 in light of the unique deposition process employed. As mentioned above, material 40 is deposited on substrate 32 as a plurality of discrete and spaced-apart droplets 38. The combined volume of droplets 38 is such that the material 40 is distributed appropriately over an area of surface 36 where imprinting layer 34 is to be formed. In this fashion, the total volume of imprinting material 40 in droplets 38 defines the distance “d”, to be obtained so that the total volume occupied by the material 40 in the gap defined between patterned mold 26 and the portion of substrate 32 in superimposition therewith once the desired distance “d” is reached is substantially equal to the total volume of material 40 in droplets 38. As a result, imprinting layer 34 is spread and patterned concurrently, with the pattern being subsequently set by exposure to radiation, such as ultraviolet radiation. To facilitate the deposition process, it is desired that material 40 have certain characteristics to provide rapid and even spreading of material 40 in droplets 38 over surface 36 so that the all thicknesses t1 are substantially uniform and all residual thicknesses t2 are substantially uniform.
An exemplary composition for material 40 is silicon-free and consists of the following:
Composition 1 isobornyl acrylate n-hexyl acrylate ethylene glycol diacrylate 2-hydroxy-2-methyl-1-phenyl-propan-1-one
In COMPOSITION 1, isobornyl acrylate comprises approximately 55% of the composition, n-hexyl acrylate comprises approximately 27%, ethylene glycol diacrylate comprises approximately 15% and the initiator 2-hydroxy-2-methyl-1-phenyl-propan-1-one comprises approximately 3%. The initiator is sold under the trade name DAROCURE® 1173 by CIBA® of Tarrytown, N.Y. The above-identified composition also includes stabilizers that are well known in the chemical art to increase the operational life of the composition. To provide suitable release properties, COMPOSITION 1 may be employed with a template treated to have a mold surface that is hydrophobic and/or low surface energy, e.g., an a priori release layer.
Referring to FIGS. 3 and 5, to improve the release properties of patterned mold 26 and solidified imprinting layer 134 and to ensure that solidified imprinting layer 134 does not adhere to patterned mold 26, an additive may be included in COMPOSITION 1. To that end, material 40 may include, as an additive, a surfactant. For purposes of this invention a surfactant is defined as any molecule, one tail of which is hydrophobic. Surfactants may be either fluorine containing, e.g., include a fluorine chain, or may not include any fluorine in the surfactant molecule structure. An exemplary surfactant is available under the trade name ZONYL® FSO-100 from DUPONT™ that has a general structure of R1R2 where R1═F(CF2CF2)Y, with y being in a range of 1 to 7, inclusive and R2═CH2CH2O(CH2CH2O)xH, where X is in a range of 0 to 15, inclusive. This provides material 40 with the following composition:
Composition 2 isobornyl acrylate n-hexyl acrylate ethylene glycol diacrylate 2-hydroxy-2-methyl-1-phenyl-propan-1-one

RfCH2CH2O(CH2CH2O)xH,
The ZONYL® FSO-100 additive comprises less than 1% of the composition, with the relative amounts of the remaining components being as discussed above with respect to COMPOSITION 1. However, the percentage of ZONYL® FSO-100 may be greater than 1%.
Referring to FIGS. 5 and 6, to facilitate transferring of the pattern in patterned mold 26 into substrate 32, a multi-layered structure 56 is generated by formation of a silicon-containing conformal layer 58 adjacent to solidified imprinting layer 134. To that end, silicon-containing material is deposited adjacent to solidified imprinting layer 134. Specifically, a silicon-containing material may be deposited adjacent to solidified imprinting layer 134 using any known technique to form conformal layer 58, such as the technique discussed above with respect to deposition of material 40. Alternatively, the silicon-containing material may be deposited adjacent to solidified imprinting layer 134 employing spin-coating techniques.
In an exemplary technique for forming conformal layer 58, silicon-containing material is deposited adjacent to solidified imprinting layer 134 using spin-coating techniques and subsequently thermally curing the silicon-containing material to form conformal layer 58. To that end, exemplary material that may be employed to form conformal layer 58 includes silicone resin, a cross-linking agent, a catalyst, and a solvent.
The silicone resin is process compatible, satisfying ionic, purity, and by-product contamination requirements desired. The cross-linking agent is included to cross-link the silicone resin, providing conformal layer 58 with the properties to record a pattern thereon having very small feature sizes, i.e., on the order of a few nanometers. To that end, the catalyst is provided to produce a condensation reaction in response to thermal energy, e.g., heat, causing the silicone resin and the cross-linking agent to polymerize and cross-link, forming a cross-linked polymer material. The solvent selected is compatible with the silicone resin and represents the remaining balance of the silicon-containing material. It is desired that the solvent minimize, if not avoid, causing distortions in solidified imprinting layer 134 due, for example, to swelling of solidified imprinting layer 134.
The silicone resin can be any alkyl and/or aryl substituted polysiloxane, copolymer, blend or mixture thereof. Examples of a silicone resin include ultraviolet (UV) curable sol-gels, UV curable epoxy silicone, UV curable acrylate silicone, and UV curable silicone via thiolene chemistry; and non-cured materials such as hydrogen silsesquioxane, and poly(meth)acrylate/siloxane copolymers. Silsesquixanes, or T-resins, having a general repeating unit with a formula: RSiO1.5. Preferably, a hydroxyl-functional polysiloxane is used such as a hydroxyl-functional organo-siloxane, with examples of organo-siloxanes including methyl, phenyl, propyl and their mixtures. The silicone resin may be present in the silicon-containing composition in amounts of approximately 2 to 40% by weight, depending on the thicknesses desired for conformal layer 58. An example of a hydroxyl-functional polysiloxane used in the present invention is a silicon T-resin intermediate available from Dow Corning® (Midland, Mich.) under the trade name Z-6018.
The cross-linking agent is a compound that includes two or more polymerizable groups. The cross-linking agent may be present in the silicon-containing composition in amounts of approximately 2 to 50% by weight in relation to the quantity of silicone resin present. Typically, the cross-linking agent is present in the silicon-containing composition in an amount of approximately 20 to 30%. An example of a cross-linking agent used in the present invention is a hexamethoxymethylmelamine (HMMM) based aminoplast cross-linking agent available from Cytec Industries, Inc. (West Paterson, N.J.) under the trade name CYMEL 303ULF.
The catalyst may be any component that catalyzes a condensation reaction. Suitable catalysts may include, but are not limited to, acidic compounds such as sulfonic acid. The catalyst may be present in the silicon-containing material in amounts of approximately 0.05% to 5% by weight in relation to the silicone resin present. Typically, the catalyst is present in the silicon-containing material in an amount of approximately 1 to 2%. An example of a catalyst used in the present invention is toluenesulfonic acid available from Cytec Industries, Inc. (West Paterson, N.J.) under the trade name CYCAT 4040.
For the balance of the composition, a solvent is utilized. The solvent can be any solvent or combination of solvents that satisfies several criteria. As mentioned above, the solvent should not cause solidified imprinting layer 134 to swell. In addition, the evaporation rate of the solvent should be established so that a desired quantity of the solvent evaporates as a result of the spin-coating process while providing sufficient viscosity to facilitate planarization of silicon-containing material in furtherance of forming conformal layer 58. Suitable solvents may include, but are not limited to, alcohol, ether, a glycol or glycol ether, a ketone, an ester, an acetate and mixtures thereof. The solvent may be present in the silicon-containing material used to form conformal layer 58 in amounts of approximately 60 to 98% by weight, dependent upon the desired thicknesses of conformal layer 58. An example of a solvent used in the present invention is methyl amyl ketone available from Aldrich Co. (St. Louis, Miss.) under the trade name MAK.
In a further embodiment, the composition of conformal layer 58 is altered to include an epoxy-functional silane coupling agent to improve the cross-linking reaction and improve the rate of cross-linking. Examples of epoxy-functional silanes may include glycidoxymethyltrimethoxysilane, 3-glycidoxypropyltrihydroxysilane, 3-glycidoxypropyldimethylhydroxysilane, 3-glycidoxypropyltrimeth oxysilane, 2,3-epoxypropyltrimethoxysilane, and the like. The epoxy-functional silane may be present in conformal layer 58 in amounts of approximately 2 to 30% by weight of silicon-containing compound in relation to the silicone resin and typically in an amount of 5 to 10%. An example of epoxy-functional silane used in the present invention is gamma-glycidoxypropyltrimethoxysilane available from GE Silicone/OSi Specialty (Wilton, Conn.) under the trade name A187.
Exemplary compositions from which to form conformal layer 58 are as follows:
Composition 3 hydroxyl-functional polysiloxane hexamethoxymethylmelamine toluenesulfonic acid methyl amyl ketone Composition 4 hydroxyl-functional polysiloxane hexamethoxymethylmelamine gamma-glycidoxypropyltrimethoxysilane toluenesulfonic acid methyl amyl ketone
In COMPOSITION 3, hydroxyl-functional polysiloxane comprises approximately 4% of the composition, hexamethoxymethylmelamine comprisies approximately 0.95%, toluenesulfonic acid comprises approximately 0.05% and methyl amyl ketone comprises approximately 95%. In COMPOSITION 4, hydroxyl-functional polysiloxane comprises approximately 4% of the composition, hexamethoxymethylmelamine comprisies approximately 0.7%, gamma-glycidoxypropyltrimethoxysilane comprisies approximately 0.25%, toluenesulfonic acid comprises approximately 0.05%, and methyl amyl ketone comprises approximately 95%.
Both COMPOSITIONS 3 and 4 are made up of at least 4% of the silicone resin. Upon curing, however, the quantity of silicon present in conformal layer 58 is at least 5% by weight and typically in a range of 20% or greater. Specifically, the quantity and composition of the solvent present in COMPOSITIONS 3 and 4 is selected so that a substantial portion of the solvent evaporates during spin-coating application of the COMPOSITION 3 or 4 on solidified imprinting layer 134. In the present exemplary silicon-containing material, approximately 90% of the solvent evaporates during spin-coating. Upon exposing the silicon-containing material to thermal energy, the remaining 10% of the solvent evaporates, leaving conformal layer 58 with approximately 20% silicon by weight.
An exemplary method of forming conformal layer 58 includes spinning-on approximately 4 mL of the silicon-containing material deposited proximate to a center of solidified imprinting layer 134. To that end, substrate 32 is spun at 1000 rev/min for 1 min by placing substrate 32 on a hot plate. Thereafter, the silicon-containing material is subjected to thermal energy by baking at 150° C. for 1 min. This produces the silicon-containing material from which conformal layer 58 is formed, with thickness variations of 20 nm or less. Were it desired to increase the thickness of the solidified silicon-containing layer, e.g., to provide the solidified silicon-containing layer with a thickness of 200 nm, the aforementioned spin-coating and curing processes are simply repeated. As a result, the solvent employed is selected so as not to remove, “wash away,” silicon-containing material in a well-cured conformal layer 58.
Referring to FIGS. 5 and 6, the spin-coating and curing processes, conformal layer 58 includes first and second opposed sides. First side 60 faces imprinting layer 134 and has a profile complementary to the profile of the imprinting layer 134. The second side faces away from imprinting layer 134 forming a normalization surface 62, which is substantially smooth and typically planar. In this manner, normalization surface 62 provides a solidified conformal layer 58 with a substantially normalized profile. It is believed that normalization surface 62 is provided with a smooth, e.g., substantially planar, topography by ensuring that COMPOSITIONS 3 and 4 have a glass transition temperature lower than the curing temperature. Specifically, it is desired that the temperature difference between the glass transition temperature and the curing temperature be sufficient to allow the silicon-containing material to reflow during curing to maximize smoothness, e.g., planarity of normalization surface 62 in a minimum amount of time. For example, the COMPOSITIONS 3 and 4 each have a glass transition temperature of approximately 50° C. and a curing temperature of 150° C. As a result, of the topography of normalization surface 62, the distances, k2, k4, k6, k8 and k10, between the apex 64 of each of the protrusions 54 and normalization surface 62 are substantially the same. Similarly, the distance, k1, k3, k5, k7, k9 and k11 between a nadir surface 66 of each of the recessions 52 and normalization surface 62 are substantially the same.
Referring to FIGS. 6 and 7, after formation of the normalization surface 62, a blanket etch is employed to remove portions of conformal layer 58 to provide multi-layered structure 56 with a crown surface 70. For example and without limitation, the blanket etch may be achieved in a system available from LAM Research 9400SE obtained from Lam Research, Inc. of Fremont, Calif. In this manner, normalization surface 62 is subjected to an isotropic halogen reactive ion etch (“RIE”) rich in fluorine, i.e., wherein at least one of the precursors was a fluorine-containing material, for example, and without limitation, a combination of CHF3 and O2. Other suitable halogen compounds include, for example, and without limitation, CF4. It is desirable that oxygen be absent from the plasma chemistry. Normalization surface 62 is subjected to the blanket etch sufficient to expose crown surface 70.
Crown surface 70 is defined by an exposed surface 72 of each of protrusions 54 and upper surfaces of portions 74 that remain on conformal layer 58 after the blanket etch. The composition of conformal layer 58 is such that when the blanket etch is applied to conformal layer 58, crown surface 70 is provided with a substantially planar profile. That is, the thickness of protrusions 54, shown as “a”, is substantially the same as the thickness of portions 74, shown as “b”. An exemplary blanket etch may be a plasma etch process employing a fluorine-based chemistry.
Referring to FIGS. 7 and 8, crown surface 70 is subjected to an anisotropic etch. The etch chemistry of the anisotropic etch is selected to maximize etching of protrusions 54 and the segments of imprinting layer 134, in superimposition therewith, while minimizing etching of the portions 74 in superimposition with recessions 52. In the present example, advantage was taken of the distinction of the silicon content between the imprinting layer 134 and the conformal layer 58. Specifically, employing an anisotropic plasma etch, e.g., an RIE plasma etch with an oxygen-based chemistry would create an in-situ hardened mask 76 in the regions of portions 74 proximate to crown surface 70. This results from the interaction of the silicon-containing polymerizable material with the oxygen plasma. As a result of the hardened mask 76 and the anisotropicity of the etch process, regions 78 of substrate 32 in superimposition with protrusions 54 are exposed. The width U′ of regions 78 are optimally equal to width W2, shown in FIG. 2.
Referring to FIGS. 2, 7 and 8, the advantages of this patterning process are manifold. For example, the relative etch rate differential between portions 74 and exposed surfaces 72 facilitates providing precise etch selectivity. As a result, the dimensional width U′ of regions 78 may be precisely controlled, thereby reducing transfer distortions of the pattern into substrate 32. The resulting structure may be used as a mask to facilitate transfer of a pattern into substrate 32. Specifically, the etch differential provided by hardened mask 76 and the portions of solidified imprinting layer 134 in superimposition therewith would provide an etch differential in the presence of a blanket etch. In this manner, regions 78 of substrate 32 would etch sooner than regions of substrate 32 in superimposition with hardened mask 76. By properly selecting materials and etch chemistries, the relational dimensions between the differing features of the pattern eventually transferred into substrate 32 may be controlled as desired. For example, it was found beneficial to include an oxygen plasma etch after the fluorine etch and before the oxygen etch. Specifically, the etch selectivity during the oxygen plasma etch was improved. It is believed that residual fluorine is present on normalization surface 62 and that the Argon etch removes the residual fluorine, thereby further reducing the fluorine available during the oxygen plasma etch.
It has been found that additional planarization may be desired when forming conformal layer 58, shown in FIG. 6, when features of sub ten micron dimension are to be transferred into substrate 32. To that end, as shown in FIGS. 2 and 9, the silicon-containing material may be spun-on as discussed above with respect to forming conformal layer 58 or may be deposited as a plurality of droplets discussed above with respect to imprinting layer 34. After deposition of the silicon-containing material, a planarizing mold 80 having a substantially smooth, if not planar, surface 82 is employed to contact normalization surface 62, before solidification of the silicon-containing material in conformal layer 58. In this manner, conformal layer 58 is provided with a normalized surface with respect to solidified imprinting layer 134. This is typically achieved by providing an optical flat which has sufficient area to concurrently planarize all regions of substrate 32 that includes silicon-containing material employed to form normalization layer 58. Thereafter, the silicon-containing material in conformal layer 58 is solidified and planarized mold 80 is separated from conformal layer 58; and the normalization surface 62 may be processed as discussed above to pattern the same and transfer a pattern into substrate 32.
Referring to both FIGS. 2, 6 and 10, it may be desired to implement a step and repeat planarization process when forming normalization layer 58. To that end, radiation source 22 may be selected to provide actinic radiation to both effectuate cross-linking using both infrared (IR) radiation and ultraviolet radiation. An exemplary radiation source 22 may include multiple sources each of which produces a single range of wavelengths of radiation and is shown including two radiation sources 84 and 86. Radiation source 84 may be any known in the art capable of producing IR radiation, and radiation source 86 may be any known in the art capable of producing actinic radiation employed to polymerize and cross-link material in droplets 38, such as UV radiation. Specifically, radiation produced by either of sources 84 and 86 propagates along optical path 88 toward substrate 32. A circuit (not shown) is in electrical communication with radiation sources 84 and 86 to selectively allow radiation in the UV and IR spectra to impinge upon substrate 32.
Referring to FIG. 11, alternatively, radiation source 22 may include a single radiation source that produces multiple ranges of wavelength, which may be selectively controlled to impinge upon substrate 32 sequentially or concurrently. An exemplary radiation source 22 consists of a single broad spectrum radiation source 90 that produces UV and IR radiation, which may consist of a mercury (Hg) lamp. To selectively impinge differing types of radiation upon substrate 32, a filtering system 92 is utilized. Filtering system 92 comprises a high pass filter (not shown) and a low pass filter (not shown), each in optical communication with radiation source 90. Filtering system 92 may position the high pass filter (not shown) such that optical path 88 comprises IR radiation or filtering system 92 may position the low pass filter (not shown) such that optical path 88 comprises UV radiation. The high pass and low pass filters (not shown) may be any known in the art, such as interference filters comprising two semi-reflective coatings with a spacer disposed therebetween. The index of refraction and the thickness of the spacer determine the frequency band being selected and transmitted through the interference filter. Therefore, the appropriate index of refraction and thickness of the spacer is chosen for both the high pass filter (not shown) and the low pass filter (not shown), such that the high pass filter (not shown) permits passage of IR radiation and the low pass filter (not shown) permits passage of UV radiation. A processor (not shown) is in data communication with radiation source 90 and filtering system 92 to selectively allow the desired wavelength of radiation to propagate along optical path 88. The circuit enables high pass filter (not shown) when IR radiation is desired and enables the low pass filter (not shown) when UV radiation is desired.
Referring to FIG. 12, substrate 32 may have one or more existing layers disposed thereon before deposition of imprinting layer 34. As a result, heating the silicon-containing material may be problematic, because the material from which the wafer is formed and/or the preexisting layers on the wafer, e.g., solidified imprinting layer 134, are substantially non-responsive to infrared radiation. As a result, very little energy transfer may occur, resulting in it being difficult to raise the temperature of the silicon-containing material sufficient to achieve cross-linking.
To facilitate cross-linking of the silicon-containing material in conformal layer 58, one of the layers included with substrate 32 may be an infrared absorption layer 94. Absorption layer 94 comprises a material that is excited when exposed to IR radiation and produces a localized heat source. Typically, absorption layer 94 is formed from a material that maintains a constant phase state during the heating process, which may include a solid phase state. Specifically, the IR radiation impinging upon absorption layer 94 causes an excitation of the molecules contained therein, generating heat. The heat generated in absorption layer 94 is transferred to the silicon-containing material via conduction through the wafer and/or any intervening layer of material thereon, e.g., absorption layer 94 may be disposed on surface 36 so as to be disposed between substrate 32 and solidified imprinting layer 134. As a result, absorption layer 94 and substrate 32 provide a bifurcated heat transfer mechanism that is able to absorb IR radiation and to produce a localized heat source sensed by the silicon-containing material in conformal layer 58. In this manner, absorption layer 94 creates a localized heat sources on surface 36. To that end, absorption layer 94 may be deposited using any known technique, including spin-coating, chemical vapor deposition, physical vapor deposition, atomic layer deposition and the like. Exemplary materials that may be formed from a carbon based PVD coating, organic thermo set coating with carbon black filler or molybdenum disulfide (MoS2) based coating.
Referring to FIG. 13, absorption layer 94 may be disposed on a side of substrate 32 disposed opposite to solidified imprinting layer 134. As a result, absorption layer 94 may be permanently or removably attached. Exemplary materials that may be employed as absorption layer 94 include black nickel and anodized black aluminum. Also, black chromium may be employed as absorption layer 94. Black chromium is typically deposited as a mixture of oxides and is used as a coating for solar cells.
Furthermore, as shown in FIG. 2, patterned mold 26 may be fabricated from any material, such as, but not limited to, fused-silica, quartz, silicon, organic polymers, siloxane polymers, borosilicate glass, fluorocarbon polymers, metal, and combinations of the above. However, it the present embodiment, the actinic radiation propagates through patterned mold 26. Therefore, it is desired that patterned mold 26 be fabricated from material that is substantially transparent to the actinic radiation. The plurality of features on patterned mold 26 are shown as recesses 28 extending along a direction parallel to projections 30 that provide a cross-section of patterned mold 26 with a shape of a battlement. However, recesses 28 and projections 30 may correspond to virtually any feature required to create an integrated circuit and may be as small as a few tenths of nanometers.
Referring to FIGS. 2 and 14, similarly, it may be desirable to provide substrate 32 with a planarized surface upon which to forming imprinting layer 34. To that end, a primer layer 96 may be formed upon substrate 32. Primer layer 96 has proved beneficial when surface 36 of substrate 32 appears rough when compared to the features dimensions to be formed in imprinting layer 34. Additionally, it has been found beneficial to deposit primer layer 96 when forming imprinting layer 34 upon a previously disposed patterned layer present on substrate 32. Primer layer 96 may also functions, inter alia, to provide a standard interface with imprinting layer 34, thereby reducing the need to customize each process to the material from which substrate 32 is formed. In addition, primer layer 96 may be formed from an organic material with the same etch characteristics as imprinting layer 34. Primer layer 96 is fabricated in such a manner so as to possess a continuous, smooth, relatively defect-free surface that may exhibit excellent adhesion to imprinting layer 34. An exemplary material to use to form primer layer 96 is available from Brewer Science, Inc. of Rolla Mo. under the trade name DUV30J-6.
Referring to FIGS. 5 and 14, to reduce the probability that solidified imprinting layer 134 does not adhere to patterned mold 26, surface 50 may be treated with a low surface energy coating 98. Low surface energy coating 98 may be applied using any known process. For example, processing techniques may include chemical vapor deposition method, physical vapor deposition, atomic layer deposition or various other techniques, brazing and the like. In a similar fashion a low surface energy coating 198 may be applied to planarizing mold 94, shown in FIG. 15. Typically, the surfactant has a surface energy associated therewith that is lower than a surface energy of the polymerizable material in the layer. An exemplary material and process by which to form the aforementioned surfactant is discussed by Bender et al. in MULTIPLE IMPRINTING IN UV-BASED NANOIMPRINT LITHOGRAPHY: RELATED MATERIAL ISSUES, Microelectronic Engineering pp. 61–62 (2002). The low surface energy of the surfactant provides the desired release properties to reduce adherence of either imprinting layer 34 or conformal layer 58 to patterned mold 26. It should be understood that the surfactant may be used in conjunction with, or in lieu of, low surface energy coatings 98 and 198.
The embodiments of the present invention described above are exemplary. Many changes and modifications may be made to the disclosure recited above, while remaining within the scope of the invention. The scope of the invention should, therefore, be determined not with reference to the above description, but instead should be determined with reference to the appended claims along with their full scope of equivalents.

Claims (5)

1. A composition for forming a layer on a surface, said composition comprising:
hydroxyl-functional polysiloxane;
hexamethoxymethylmelamine;
toluenesulfonic acid; and
methyl amyl ketone.
2. The composition as recited in claim 1 wherein said hydroxyl-functional polysiloxane is approximately 4% of said composition, said hexamethoxymethylmelamine is approximately 0.95% of said composition, said toluenesulfonic acid is approximately 0.05% of said composition, and said methyl amyl ketone is approximately 95% of said composition.
3. The composition as recited in claim 1 further including gamma-glycidoxypropyltrimethoxysilane.
4. The composition as recited in claim 3 wherein said hydroxyl-functional polysiloxane is approximately 4% of said composition, said hexamethoxymethylmelamine is approximately 0.7% of said composition, said gamma-glycidoxypropyltrimethoxysilane is approximately 0.25% of said composition, said toluenesulfonic acid is approximately 0.05% of said composition, and said methyl amyl ketone is approximately 95% of said composition.
5. The composition as recited in claim 1 wherein relative proportions of said hydroxyl-functional polysiloxane, hexamethoxymethylmelamine, gamma-glycidoxypropyltrimethoxysilane, said toluenesulfonic acid, and methyl amyl ketone provides said composition with approximately 20% by weight of silicon atoms upon transitioning from a liquid state to a solidified state.
US10/789,319 2003-03-25 2004-02-27 Composition for an etching mask comprising a silicon-containing material Expired - Lifetime US7122079B2 (en)

Priority Applications (11)

Application Number Priority Date Filing Date Title
US10/789,319 US7122079B2 (en) 2004-02-27 2004-02-27 Composition for an etching mask comprising a silicon-containing material
TW093107963A TWI340697B (en) 2003-03-25 2004-03-24 Positive tone bi-layer imprint lithography method and compositions therefor
KR1020057017841A KR101121017B1 (en) 2003-03-25 2004-03-24 Positive tone bi-layer imprint lithography method and compositions therefor
EP04758238A EP1614004B1 (en) 2003-03-25 2004-03-24 Positive tone bi-layer imprint lithography method and compositions therefor
JP2006507506A JP4554597B2 (en) 2003-03-25 2004-03-24 Positive tone double layer imprint lithography method and composition
CN2004800080246A CN1802265B (en) 2003-03-25 2004-03-24 Positive tone bi-layer imprint lithography method and compositions therefor
PCT/US2004/008920 WO2004088414A2 (en) 2003-03-25 2004-03-24 Positive tone bi-layer imprint lithography method and compositions therefor
MYPI20041060A MY148648A (en) 2003-03-25 2004-03-25 Positive tone bi-layer imprint lithography method
MYPI20115734 MY151241A (en) 2003-03-25 2004-03-25 Positive tone bi-layer imprint lithography method
US11/508,765 US7906180B2 (en) 2004-02-27 2006-08-23 Composition for an etching mask comprising a silicon-containing material
US13/029,805 US20110140306A1 (en) 2004-02-27 2011-02-17 Composition for an Etching Mask Comprising a Silicon-Containing Material

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/789,319 US7122079B2 (en) 2004-02-27 2004-02-27 Composition for an etching mask comprising a silicon-containing material

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US11/508,765 Continuation-In-Part US7906180B2 (en) 2004-02-27 2006-08-23 Composition for an etching mask comprising a silicon-containing material

Publications (2)

Publication Number Publication Date
US20050192421A1 US20050192421A1 (en) 2005-09-01
US7122079B2 true US7122079B2 (en) 2006-10-17

Family

ID=34887247

Family Applications (1)

Application Number Title Priority Date Filing Date
US10/789,319 Expired - Lifetime US7122079B2 (en) 2003-03-25 2004-02-27 Composition for an etching mask comprising a silicon-containing material

Country Status (1)

Country Link
US (1) US7122079B2 (en)

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070077763A1 (en) * 2005-09-30 2007-04-05 Molecular Imprints, Inc. Deposition technique to planarize a multi-layer structure
US20070222079A1 (en) * 2006-03-27 2007-09-27 Fujifilm Corporation Method of manufacturing wiring substrate, and liquid ejection head manufactured by same
US20070246441A1 (en) * 2006-04-25 2007-10-25 Jin Wuk Kim Resist composition, method for forming resist pattern using the same, array substrate fabricated using the same and method of fabricating the array substrate
US20080097065A1 (en) * 2004-02-27 2008-04-24 Molecular Imprints, Inc. Composition for an etching mask comprising a silicon-containing material
US20100093923A1 (en) * 2007-05-04 2010-04-15 Chang Soo Woo Compound for gap-filling of semiconductor device and coating composition using the same
US20100098940A1 (en) * 2008-10-20 2010-04-22 Molecular Imprints, Inc. Nano-Imprint Lithography Stack with Enhanced Adhesion Between Silicon-Containing and Non-Silicon Containing Layers
US20100237042A1 (en) * 2009-03-23 2010-09-23 Intevac, Inc. Process for optimization of island to trench ratio in patterned media
US7981481B2 (en) 2004-09-23 2011-07-19 Molecular Imprints, Inc. Method for controlling distribution of fluid components on a body
US8136224B1 (en) 2008-05-15 2012-03-20 Western Digital (Fremont), Llc Method and system for providing a perpendicular magnetic recording head utilizing a mask having an undercut line
US8829514B2 (en) 2011-12-14 2014-09-09 E Ink Holdings Inc. Thin film transistor and method for manufacturing the same
US8889332B2 (en) 2004-10-18 2014-11-18 Canon Nanotechnologies, Inc. Low-K dielectric functional imprinting materials

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050160934A1 (en) 2004-01-23 2005-07-28 Molecular Imprints, Inc. Materials and methods for imprint lithography
US7307118B2 (en) * 2004-11-24 2007-12-11 Molecular Imprints, Inc. Composition to reduce adhesion between a conformable region and a mold
US20060108710A1 (en) * 2004-11-24 2006-05-25 Molecular Imprints, Inc. Method to reduce adhesion between a conformable region and a mold
WO2005120834A2 (en) * 2004-06-03 2005-12-22 Molecular Imprints, Inc. Fluid dispensing and drop-on-demand dispensing for nano-scale manufacturing
US8142703B2 (en) 2005-10-05 2012-03-27 Molecular Imprints, Inc. Imprint lithography method
US7360851B1 (en) 2006-02-15 2008-04-22 Kla-Tencor Technologies Corporation Automated pattern recognition of imprint technology
EP2212742B1 (en) * 2007-11-21 2014-07-02 Molecular Imprints, Inc. Porous template and imprinting stack for nano-imprint lithography
WO2009142960A1 (en) * 2008-05-22 2009-11-26 Fujifilm Corporation Etching piezoelectric material
US20100109195A1 (en) * 2008-11-05 2010-05-06 Molecular Imprints, Inc. Release agent partition control in imprint lithography
NL2005263A (en) * 2009-09-29 2011-03-30 Asml Netherlands Bv Imprint lithography.
US8616873B2 (en) * 2010-01-26 2013-12-31 Molecular Imprints, Inc. Micro-conformal templates for nanoimprint lithography
US20110189329A1 (en) * 2010-01-29 2011-08-04 Molecular Imprints, Inc. Ultra-Compliant Nanoimprint Lithography Template
JP5932286B2 (en) * 2011-10-14 2016-06-08 キヤノン株式会社 Imprint apparatus and article manufacturing method using the same

Citations (104)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3527062A (en) 1968-09-25 1970-09-08 Singer General Precision Universal joint flexure hinge
US3783520A (en) 1970-09-28 1974-01-08 Bell Telephone Labor Inc High accuracy alignment procedure utilizing moire patterns
US3807027A (en) 1972-03-31 1974-04-30 Johns Manville Method of forming the bell end of a bell and spigot joint
US3807029A (en) 1972-09-05 1974-04-30 Bendix Corp Method of making a flexural pivot
US3810874A (en) 1969-03-10 1974-05-14 Minnesota Mining & Mfg Polymers prepared from poly(perfluoro-alkylene oxide) compounds
US3811665A (en) 1972-09-05 1974-05-21 Bendix Corp Flexural pivot with diaphragm means
US4062600A (en) 1976-04-05 1977-12-13 Litton Systems, Inc. Dual-gimbal gyroscope flexure suspension
US4070116A (en) 1975-06-23 1978-01-24 International Business Machines Corporation Gap measuring device for defining the distance between two or more surfaces
US4098001A (en) 1976-10-13 1978-07-04 The Charles Stark Draper Laboratory, Inc. Remote center compliance system
US4119688A (en) 1975-11-03 1978-10-10 International Business Machines Corporation Electro-lithography method
US4155169A (en) 1978-03-16 1979-05-22 The Charles Stark Draper Laboratory, Inc. Compliant assembly system device
US4201800A (en) 1978-04-28 1980-05-06 International Business Machines Corp. Hardened photoresist master image mask process
US4202107A (en) 1978-10-23 1980-05-13 Watson Paul C Remote axis admittance system
US4267212A (en) 1978-09-20 1981-05-12 Fuji Photo Film Co., Ltd. Spin coating process
US4326805A (en) 1980-04-11 1982-04-27 Bell Telephone Laboratories, Incorporated Method and apparatus for aligning mask and wafer members
US4337579A (en) 1980-04-16 1982-07-06 The Charles Stark Draper Laboratory, Inc. Deformable remote center compliance device
US4355469A (en) 1980-11-28 1982-10-26 The Charles Stark Draper Laboratory, Inc. Folded remote center compliance device
US4414750A (en) 1981-10-19 1983-11-15 The Charles Stark Draper Laboratory, Inc. Single stage remote center compliance device
US4426247A (en) 1982-04-12 1984-01-17 Nippon Telegraph & Telephone Public Corporation Method for forming micropattern
US4440804A (en) 1982-08-02 1984-04-03 Fairchild Camera & Instrument Corporation Lift-off process for fabricating self-aligned contacts
US4451507A (en) 1982-10-29 1984-05-29 Rca Corporation Automatic liquid dispensing apparatus for spinning surface of uniform thickness
US4507331A (en) 1983-12-12 1985-03-26 International Business Machines Corporation Dry process for forming positive tone micro patterns
US4512848A (en) 1984-02-06 1985-04-23 Exxon Research And Engineering Co. Procedure for fabrication of microstructures over large areas using physical replication
US4517337A (en) * 1984-02-24 1985-05-14 General Electric Company Room temperature vulcanizable organopolysiloxane compositions and method for making
US4544572A (en) 1982-09-07 1985-10-01 Minnesota Mining And Manufacturing Company Coated ophthalmic lenses and method for coating the same
US4552833A (en) 1984-05-14 1985-11-12 International Business Machines Corporation Radiation sensitive and oxygen plasma developable resist
US4552832A (en) 1982-03-06 1985-11-12 Braun Aktiengesellschaft Shear foil having protrusions on its skin-contacting surface thereof
US4600309A (en) 1982-12-30 1986-07-15 Thomson-Csf Process and apparatus for theoptical alignment of patterns in two close-up planes in an exposure means incorporating a divergent radiation source
US4610442A (en) 1982-10-19 1986-09-09 Matsushita Electric Industrial Co, Ltd. Positioning table
US4614667A (en) 1984-05-21 1986-09-30 Minnesota Mining And Manufacturing Company Composite low surface energy liner of perfluoropolyether
US4617238A (en) 1982-04-01 1986-10-14 General Electric Company Vinyloxy-functional organopolysiloxane compositions
US4657845A (en) 1986-01-14 1987-04-14 International Business Machines Corporation Positive tone oxygen plasma developable photoresist
US4692205A (en) 1986-01-31 1987-09-08 International Business Machines Corporation Silicon-containing polyimides as oxygen etch stop and dual dielectric coatings
US4694703A (en) 1984-06-28 1987-09-22 Lear Siegler, Inc. Circumferentially oriented flexure suspension
US4707218A (en) 1986-10-28 1987-11-17 International Business Machines Corporation Lithographic image size reduction
US4724222A (en) 1986-04-28 1988-02-09 American Telephone And Telegraph Company, At&T Bell Laboratories Wafer chuck comprising a curved reference surface
US4731155A (en) 1987-04-15 1988-03-15 General Electric Company Process for forming a lithographic mask
US4737425A (en) 1986-06-10 1988-04-12 International Business Machines Corporation Patterned resist and process
US4763886A (en) 1986-12-26 1988-08-16 Nippon Thompson Co., Ltd. XY-positioning table
US4772878A (en) 1987-05-06 1988-09-20 Kane Roger A Merchandise theft deterrent sensor
US4808511A (en) 1987-05-19 1989-02-28 International Business Machines Corporation Vapor phase photoresist silylation process
US4826943A (en) 1986-07-25 1989-05-02 Oki Electric Industry Co., Ltd. Negative resist material
US4846931A (en) 1988-03-29 1989-07-11 Bell Communications Research, Inc. Method for lifting-off epitaxial films
US4848179A (en) 1988-02-16 1989-07-18 Trw Inc. Flexidigit robotic manipulator
US4848911A (en) 1986-06-11 1989-07-18 Kabushiki Kaisha Toshiba Method for aligning first and second objects, relative to each other, and apparatus for practicing this method
US4857477A (en) 1986-09-18 1989-08-15 Oki Electric Industry Co., Ltd. Process for fabricating a semiconductor device
US4883561A (en) 1988-03-29 1989-11-28 Bell Communications Research, Inc. Lift-off and subsequent bonding of epitaxial films
US4887283A (en) 1988-09-27 1989-12-12 Mitsubishi Denki Kabushiki Kaisha X-ray mask and exposure method employing the same
US4891303A (en) 1988-05-26 1990-01-02 Texas Instruments Incorporated Trilayer microlithographic process using a silicon-based resist as the middle layer
US4908298A (en) 1985-03-19 1990-03-13 International Business Machines Corporation Method of creating patterned multilayer films for use in production of semiconductor circuits and systems
US4909151A (en) 1986-11-10 1990-03-20 Matsushita Electric Industrial Co., Ltd. Method of forming an ink image and printing the formed image
US4919748A (en) 1989-06-30 1990-04-24 At&T Bell Laboratories Method for tapered etching
US4921778A (en) 1988-07-29 1990-05-01 Shipley Company Inc. Photoresist pattern fabrication employing chemically amplified metalized material
US4929083A (en) 1986-06-19 1990-05-29 Xerox Corporation Focus and overlay characterization and optimization for photolithographic exposure
US4931351A (en) 1987-01-12 1990-06-05 Eastman Kodak Company Bilayer lithographic process
JPH02219881A (en) * 1989-02-22 1990-09-03 Kansai Paint Co Ltd Paint composition
US4959252A (en) 1986-09-29 1990-09-25 Rhone-Poulenc Chimie Highly oriented thermotropic optical disc member
US4964145A (en) 1989-07-24 1990-10-16 International Business Machines Corporation System for magnification correction of conductive X-ray lithography mask substrates
US4964945A (en) 1988-12-09 1990-10-23 Minnesota Mining And Manufacturing Company Lift off patterning process on a flexible substrate
US4976818A (en) 1987-10-26 1990-12-11 Matsushita Electric Industrial Co., Ltd. Fine pattern forming method
US4980316A (en) 1988-07-20 1990-12-25 Siemens Aktiengesellschaft Method for producing a resist structure on a semiconductor
US4999280A (en) 1989-03-17 1991-03-12 International Business Machines Corporation Spray silylation of photoresist images
US5028366A (en) 1988-01-12 1991-07-02 Air Products And Chemicals, Inc. Water based mold release compositions for making molded polyurethane foam
US5053318A (en) 1989-05-18 1991-10-01 Shipley Company Inc. Plasma processing with metal mask integration
US5063321A (en) 1988-02-24 1991-11-05 Teldix Gmbh Torsional vibration drive
US5072126A (en) 1990-10-31 1991-12-10 International Business Machines Corporation Promixity alignment using polarized illumination and double conjugate projection lens
US5071694A (en) 1989-02-21 1991-12-10 Kanegafuchi Kagaku Kogyo Kabushiki Kaisha Multi-layer resist
US5073230A (en) 1990-04-17 1991-12-17 Arizona Board Of Regents Acting On Behalf Of Arizona State University Means and methods of lifting and relocating an epitaxial device layer
US5074667A (en) 1988-08-15 1991-12-24 Sumitomo Heavy Industries Co. Ltd. Position detector employing a sector fresnel zone plate
US5108875A (en) 1988-07-29 1992-04-28 Shipley Company Inc. Photoresist pattern fabrication employing chemically amplified metalized material
US5110514A (en) 1989-05-01 1992-05-05 Soane Technologies, Inc. Controlled casting of a shrinkable material
US5126006A (en) 1990-10-30 1992-06-30 International Business Machines Corp. Plural level chip masking
US5148036A (en) 1989-07-18 1992-09-15 Canon Kabushiki Kaisha Multi-axis wafer position detecting system using a mark having optical power
US5148037A (en) 1988-09-09 1992-09-15 Canon Kabushiki Kaisha Position detecting method and apparatus
US5151754A (en) 1989-10-06 1992-09-29 Kabushiki Kaisha Toshiba Method and an apparatus for measuring a displacement between two objects and a method and an apparatus for measuring a gap distance between two objects
US5155749A (en) 1991-03-28 1992-10-13 International Business Machines Corporation Variable magnification mask for X-ray lithography
US5169494A (en) 1989-03-27 1992-12-08 Matsushita Electric Industrial Co., Ltd. Fine pattern forming method
US5171490A (en) 1988-11-29 1992-12-15 Fudim Efrem V Method and apparatus for production of three-dimensional objects by irradiation of photopolymers
US5173393A (en) 1989-04-24 1992-12-22 Siemens Aktiengesellschaft Etch-resistant deep ultraviolet resist process having an aromatic treating step after development
US5179863A (en) 1990-03-05 1993-01-19 Kabushiki Kaisha Toshiba Method and apparatus for setting the gap distance between a mask and a wafer at a predetermined distance
US5198326A (en) 1990-05-24 1993-03-30 Matsushita Electric Industrial Co., Ltd. Process for forming fine pattern
US5204739A (en) 1992-02-07 1993-04-20 Karl Suss America, Inc. Proximity mask alignment using a stored video image
US5206983A (en) 1991-06-24 1993-05-04 Wisconsin Alumni Research Foundation Method of manufacturing micromechanical devices
US5212147A (en) 1991-05-15 1993-05-18 Hewlett-Packard Company Method of forming a patterned in-situ high Tc superconductive film
US5218193A (en) 1991-02-16 1993-06-08 Sumitomo Heavy Industries Co., Ltd. Double-focus measurement apparatus utilizing chromatic aberration by having first and second bodies illuminated respectively by a single wavelength ray and a ray having a plurality of wavelengths
US5234793A (en) 1989-04-24 1993-08-10 Siemens Aktiengesellschaft Method for dimensionally accurate structure transfer in bilayer technique wherein a treating step with a bulging agent is employed after development
US5240550A (en) 1990-09-21 1993-08-31 U.S. Philips Corp. Method of forming at least one groove in a substrate layer
US5240878A (en) 1991-04-26 1993-08-31 International Business Machines Corporation Method for forming patterned films on a substrate
US5242711A (en) 1991-08-16 1993-09-07 Rockwell International Corp. Nucleation control of diamond films by microlithographic patterning
US5244818A (en) 1992-04-08 1993-09-14 Georgia Tech Research Corporation Processes for lift-off of thin film materials and for the fabrication of three dimensional integrated circuits
US5259926A (en) 1991-09-24 1993-11-09 Hitachi, Ltd. Method of manufacturing a thin-film pattern on a substrate
US5270984A (en) 1991-08-26 1993-12-14 Nec Corporation Displacement amplification mechanism for piezoelectric elements
US5277749A (en) 1991-10-17 1994-01-11 International Business Machines Corporation Methods and apparatus for relieving stress and resisting stencil delamination when performing lift-off processes that utilize high stress metals and/or multiple evaporation steps
US5314772A (en) 1990-10-09 1994-05-24 Arizona Board Of Regents High resolution, multi-layer resist for microlithography and method therefor
US5318870A (en) 1989-10-18 1994-06-07 Massachusetts Institute Of Technology Method of patterning a phenolic polymer film without photoactive additive through exposure to high energy radiation below 225 nm with subsequent organometallic treatment and the associated imaged article
US5324683A (en) 1993-06-02 1994-06-28 Motorola, Inc. Method of forming a semiconductor structure having an air region
US5328810A (en) 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
US5330881A (en) 1989-06-02 1994-07-19 Digital Equipment Corp. Microlithographic method for producing thick, vertically-walled photoresist patterns
US5331020A (en) 1991-11-14 1994-07-19 Dow Corning Limited Organosilicon compounds and compositions containing them
US5348616A (en) 1993-05-03 1994-09-20 Motorola, Inc. Method for patterning a mold
US5355219A (en) 1992-12-18 1994-10-11 Matsushita Electric Industrial Co., Ltd. Gap control apparatus and method utilizing heterodyne signal phase difference detection
EP0803555A2 (en) * 1996-04-24 1997-10-29 Toyota Jidosha Kabushiki Kaisha Top coating compositions
US20040202872A1 (en) * 2002-07-23 2004-10-14 Pennzoil-Quaker State Company Hydrophobic surface treatment composition and method of making and using same
US20040241338A1 (en) * 2001-11-07 2004-12-02 Foster Kenneth L. Planarized microelectronic substrates

Family Cites Families (67)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5736424A (en) * 1987-02-27 1998-04-07 Lucent Technologies Inc. Device fabrication involving planarization
US5876550A (en) * 1988-10-05 1999-03-02 Helisys, Inc. Laminated object manufacturing apparatus and method
US5102977A (en) * 1990-01-18 1992-04-07 Ruco Polymer Corporation Internally catalyzed sulfonate bearing hydroxyl terminated powder coating polyesters
US5505349A (en) * 1990-02-09 1996-04-09 Berg Company, A Division Of Dec International, Inc. Electronic dispensing heads
US5317386A (en) * 1991-09-06 1994-05-31 Eastman Kodak Company Optical monitor for measuring a gap between two rollers
JP3074579B2 (en) * 1992-01-31 2000-08-07 キヤノン株式会社 Position shift correction method
US5731981A (en) * 1992-06-08 1998-03-24 Azbar, Inc. Beverage dispensing system for bar
JPH06183561A (en) * 1992-12-18 1994-07-05 Canon Inc Moving stage device
US5884292A (en) * 1993-05-06 1999-03-16 Pitney Bowes Inc. System for smart card funds refill
US5380474A (en) * 1993-05-20 1995-01-10 Sandia Corporation Methods for patterned deposition on a substrate
JP2837063B2 (en) * 1993-06-04 1998-12-14 シャープ株式会社 Method of forming resist pattern
US5512131A (en) * 1993-10-04 1996-04-30 President And Fellows Of Harvard College Formation of microstamped patterns on surfaces and derivative articles
US6180239B1 (en) * 1993-10-04 2001-01-30 President And Fellows Of Harvard College Microcontact printing on surfaces and derivative articles
US5534101A (en) * 1994-03-02 1996-07-09 Telecommunication Research Laboratories Method and apparatus for making optical components by direct dispensing of curable liquid
US5573877A (en) * 1994-03-15 1996-11-12 Matsushita Electric Industrial Co., Ltd. Exposure method and exposure apparatus
US5670415A (en) * 1994-05-24 1997-09-23 Depositech, Inc. Method and apparatus for vacuum deposition of highly ionized media in an electromagnetic controlled environment
US5504793A (en) * 1995-02-17 1996-04-02 Loral Federal Systems Company Magnification correction for 1-X proximity X-Ray lithography
US5743998A (en) * 1995-04-19 1998-04-28 Park Scientific Instruments Process for transferring microminiature patterns using spin-on glass resist media
JP3624476B2 (en) * 1995-07-17 2005-03-02 セイコーエプソン株式会社 Manufacturing method of semiconductor laser device
US6518168B1 (en) * 1995-08-18 2003-02-11 President And Fellows Of Harvard College Self-assembled monolayer directed patterning of surfaces
US20040036201A1 (en) * 2000-07-18 2004-02-26 Princeton University Methods and apparatus of field-induced pressure imprint lithography
JP2842362B2 (en) * 1996-02-29 1999-01-06 日本電気株式会社 Superposition measurement method
US5725788A (en) * 1996-03-04 1998-03-10 Motorola Apparatus and method for patterning a surface
US6355198B1 (en) * 1996-03-15 2002-03-12 President And Fellows Of Harvard College Method of forming articles including waveguides via capillary micromolding and microtransfer molding
US5942443A (en) * 1996-06-28 1999-08-24 Caliper Technologies Corporation High throughput screening assay systems in microscale fluidic devices
US5888650A (en) * 1996-06-03 1999-03-30 Minnesota Mining And Manufacturing Company Temperature-responsive adhesive article
US6039897A (en) * 1996-08-28 2000-03-21 University Of Washington Multiple patterned structures on a single substrate fabricated by elastomeric micro-molding techniques
US5895263A (en) * 1996-12-19 1999-04-20 International Business Machines Corporation Process for manufacture of integrated circuit device
DE19710420C2 (en) * 1997-03-13 2001-07-12 Helmut Fischer Gmbh & Co Method and device for measuring the thicknesses of thin layers by means of X-ray fluorescence
US6033977A (en) * 1997-06-30 2000-03-07 Siemens Aktiengesellschaft Dual damascene structure
US5877861A (en) * 1997-11-14 1999-03-02 International Business Machines Corporation Method for overlay control system
US6539286B1 (en) * 1998-01-26 2003-03-25 Micron Technology, Inc. Fluid level sensor
TW352421B (en) * 1998-04-27 1999-02-11 United Microelectronics Corp Method and process of phase shifting mask
US6182042B1 (en) * 1998-07-07 2001-01-30 Creative Technology Ltd. Sound modification employing spectral warping techniques
JP3149855B2 (en) * 1998-08-27 2001-03-26 日本電気株式会社 Solid-state imaging device and method of manufacturing the same
US6713238B1 (en) * 1998-10-09 2004-03-30 Stephen Y. Chou Microscale patterning and articles formed thereby
US6218316B1 (en) * 1998-10-22 2001-04-17 Micron Technology, Inc. Planarization of non-planar surfaces in device fabrication
US6204922B1 (en) * 1998-12-11 2001-03-20 Filmetrics, Inc. Rapid and accurate thin film measurement of individual layers in a multi-layered or patterned sample
US6168845B1 (en) * 1999-01-19 2001-01-02 International Business Machines Corporation Patterned magnetic media and method of making the same using selective oxidation
US6334960B1 (en) * 1999-03-11 2002-01-01 Board Of Regents, The University Of Texas System Step and flash imprint lithography
JP4151151B2 (en) * 1999-04-06 2008-09-17 松下電器産業株式会社 Paste coating apparatus and paste coating method for die bonding
US6342097B1 (en) * 1999-04-23 2002-01-29 Sdc Coatings, Inc. Composition for providing an abrasion resistant coating on a substrate with a matched refractive index and controlled tintability
US6522411B1 (en) * 1999-05-25 2003-02-18 Massachusetts Institute Of Technology Optical gap measuring apparatus and method having two-dimensional grating mark with chirp in one direction
US6188150B1 (en) * 1999-06-16 2001-02-13 Euv, Llc Light weight high-stiffness stage platen
US6521324B1 (en) * 1999-11-30 2003-02-18 3M Innovative Properties Company Thermal transfer of microstructured layers
DE19958966A1 (en) * 1999-12-07 2001-06-13 Infineon Technologies Ag Generation of resist structures
US6696157B1 (en) * 2000-03-05 2004-02-24 3M Innovative Properties Company Diamond-like glass thin films
US6337262B1 (en) * 2000-03-06 2002-01-08 Chartered Semiconductor Manufacturing Ltd. Self aligned T-top gate process integration
US6696220B2 (en) * 2000-10-12 2004-02-24 Board Of Regents, The University Of Texas System Template for room temperature, low pressure micro-and nano-imprint lithography
CN100504598C (en) * 2000-07-16 2009-06-24 得克萨斯州大学系统董事会 High-resolution overlay alignment methods and systems for imprint lithography
US6517977B2 (en) * 2001-03-28 2003-02-11 Motorola, Inc. Lithographic template and method of formation and use
US6541360B1 (en) * 2001-04-30 2003-04-01 Advanced Micro Devices, Inc. Bi-layer trim etch process to form integrated circuit gate structures
US6534418B1 (en) * 2001-04-30 2003-03-18 Advanced Micro Devices, Inc. Use of silicon containing imaging layer to define sub-resolution gate structures
US6541356B2 (en) * 2001-05-21 2003-04-01 International Business Machines Corporation Ultimate SIMOX
US6716767B2 (en) * 2001-10-31 2004-04-06 Brewer Science, Inc. Contact planarization materials that generate no volatile byproducts or residue during curing
US7455955B2 (en) * 2002-02-27 2008-11-25 Brewer Science Inc. Planarization method for multi-layer lithography processing
US6926929B2 (en) * 2002-07-09 2005-08-09 Molecular Imprints, Inc. System and method for dispensing liquids
US6932934B2 (en) * 2002-07-11 2005-08-23 Molecular Imprints, Inc. Formation of discontinuous films during an imprint lithography process
US6908861B2 (en) * 2002-07-11 2005-06-21 Molecular Imprints, Inc. Method for imprint lithography using an electric field
US6900881B2 (en) * 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US6916584B2 (en) * 2002-08-01 2005-07-12 Molecular Imprints, Inc. Alignment methods for imprint lithography
US7070405B2 (en) * 2002-08-01 2006-07-04 Molecular Imprints, Inc. Alignment systems for imprint lithography
US7027156B2 (en) * 2002-08-01 2006-04-11 Molecular Imprints, Inc. Scatterometry alignment for imprint lithography
US7071088B2 (en) * 2002-08-23 2006-07-04 Molecular Imprints, Inc. Method for fabricating bulbous-shaped vias
US6936194B2 (en) * 2002-09-05 2005-08-30 Molecular Imprints, Inc. Functional patterning material for imprint lithography processes
US8349241B2 (en) * 2002-10-04 2013-01-08 Molecular Imprints, Inc. Method to arrange features on a substrate to replicate features having minimal dimensional variability
US20040065252A1 (en) * 2002-10-04 2004-04-08 Sreenivasan Sidlgata V. Method of forming a layer on a substrate to facilitate fabrication of metrology standards

Patent Citations (105)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3527062A (en) 1968-09-25 1970-09-08 Singer General Precision Universal joint flexure hinge
US3810874A (en) 1969-03-10 1974-05-14 Minnesota Mining & Mfg Polymers prepared from poly(perfluoro-alkylene oxide) compounds
US3783520A (en) 1970-09-28 1974-01-08 Bell Telephone Labor Inc High accuracy alignment procedure utilizing moire patterns
US3807027A (en) 1972-03-31 1974-04-30 Johns Manville Method of forming the bell end of a bell and spigot joint
US3807029A (en) 1972-09-05 1974-04-30 Bendix Corp Method of making a flexural pivot
US3811665A (en) 1972-09-05 1974-05-21 Bendix Corp Flexural pivot with diaphragm means
US4070116A (en) 1975-06-23 1978-01-24 International Business Machines Corporation Gap measuring device for defining the distance between two or more surfaces
US4119688A (en) 1975-11-03 1978-10-10 International Business Machines Corporation Electro-lithography method
US4062600A (en) 1976-04-05 1977-12-13 Litton Systems, Inc. Dual-gimbal gyroscope flexure suspension
US4098001A (en) 1976-10-13 1978-07-04 The Charles Stark Draper Laboratory, Inc. Remote center compliance system
US4155169A (en) 1978-03-16 1979-05-22 The Charles Stark Draper Laboratory, Inc. Compliant assembly system device
US4201800A (en) 1978-04-28 1980-05-06 International Business Machines Corp. Hardened photoresist master image mask process
US4267212A (en) 1978-09-20 1981-05-12 Fuji Photo Film Co., Ltd. Spin coating process
US4202107A (en) 1978-10-23 1980-05-13 Watson Paul C Remote axis admittance system
US4326805A (en) 1980-04-11 1982-04-27 Bell Telephone Laboratories, Incorporated Method and apparatus for aligning mask and wafer members
US4337579A (en) 1980-04-16 1982-07-06 The Charles Stark Draper Laboratory, Inc. Deformable remote center compliance device
US4355469A (en) 1980-11-28 1982-10-26 The Charles Stark Draper Laboratory, Inc. Folded remote center compliance device
US4414750A (en) 1981-10-19 1983-11-15 The Charles Stark Draper Laboratory, Inc. Single stage remote center compliance device
US4552832A (en) 1982-03-06 1985-11-12 Braun Aktiengesellschaft Shear foil having protrusions on its skin-contacting surface thereof
US4617238A (en) 1982-04-01 1986-10-14 General Electric Company Vinyloxy-functional organopolysiloxane compositions
US4426247A (en) 1982-04-12 1984-01-17 Nippon Telegraph & Telephone Public Corporation Method for forming micropattern
US4440804A (en) 1982-08-02 1984-04-03 Fairchild Camera & Instrument Corporation Lift-off process for fabricating self-aligned contacts
US4544572B1 (en) 1982-09-07 1994-01-04 Signet Armorlite, Inc.
US4544572A (en) 1982-09-07 1985-10-01 Minnesota Mining And Manufacturing Company Coated ophthalmic lenses and method for coating the same
US4610442A (en) 1982-10-19 1986-09-09 Matsushita Electric Industrial Co, Ltd. Positioning table
US4451507A (en) 1982-10-29 1984-05-29 Rca Corporation Automatic liquid dispensing apparatus for spinning surface of uniform thickness
US4600309A (en) 1982-12-30 1986-07-15 Thomson-Csf Process and apparatus for theoptical alignment of patterns in two close-up planes in an exposure means incorporating a divergent radiation source
US4507331A (en) 1983-12-12 1985-03-26 International Business Machines Corporation Dry process for forming positive tone micro patterns
US4512848A (en) 1984-02-06 1985-04-23 Exxon Research And Engineering Co. Procedure for fabrication of microstructures over large areas using physical replication
US4517337A (en) * 1984-02-24 1985-05-14 General Electric Company Room temperature vulcanizable organopolysiloxane compositions and method for making
US4552833A (en) 1984-05-14 1985-11-12 International Business Machines Corporation Radiation sensitive and oxygen plasma developable resist
US4614667A (en) 1984-05-21 1986-09-30 Minnesota Mining And Manufacturing Company Composite low surface energy liner of perfluoropolyether
US4694703A (en) 1984-06-28 1987-09-22 Lear Siegler, Inc. Circumferentially oriented flexure suspension
US4908298A (en) 1985-03-19 1990-03-13 International Business Machines Corporation Method of creating patterned multilayer films for use in production of semiconductor circuits and systems
US4657845A (en) 1986-01-14 1987-04-14 International Business Machines Corporation Positive tone oxygen plasma developable photoresist
US4692205A (en) 1986-01-31 1987-09-08 International Business Machines Corporation Silicon-containing polyimides as oxygen etch stop and dual dielectric coatings
US4724222A (en) 1986-04-28 1988-02-09 American Telephone And Telegraph Company, At&T Bell Laboratories Wafer chuck comprising a curved reference surface
US4737425A (en) 1986-06-10 1988-04-12 International Business Machines Corporation Patterned resist and process
US4848911A (en) 1986-06-11 1989-07-18 Kabushiki Kaisha Toshiba Method for aligning first and second objects, relative to each other, and apparatus for practicing this method
US4929083A (en) 1986-06-19 1990-05-29 Xerox Corporation Focus and overlay characterization and optimization for photolithographic exposure
US4826943A (en) 1986-07-25 1989-05-02 Oki Electric Industry Co., Ltd. Negative resist material
US4857477A (en) 1986-09-18 1989-08-15 Oki Electric Industry Co., Ltd. Process for fabricating a semiconductor device
US4959252A (en) 1986-09-29 1990-09-25 Rhone-Poulenc Chimie Highly oriented thermotropic optical disc member
US4707218A (en) 1986-10-28 1987-11-17 International Business Machines Corporation Lithographic image size reduction
US4909151A (en) 1986-11-10 1990-03-20 Matsushita Electric Industrial Co., Ltd. Method of forming an ink image and printing the formed image
US4763886A (en) 1986-12-26 1988-08-16 Nippon Thompson Co., Ltd. XY-positioning table
US4931351A (en) 1987-01-12 1990-06-05 Eastman Kodak Company Bilayer lithographic process
US4731155A (en) 1987-04-15 1988-03-15 General Electric Company Process for forming a lithographic mask
US4772878A (en) 1987-05-06 1988-09-20 Kane Roger A Merchandise theft deterrent sensor
US4808511A (en) 1987-05-19 1989-02-28 International Business Machines Corporation Vapor phase photoresist silylation process
US4976818A (en) 1987-10-26 1990-12-11 Matsushita Electric Industrial Co., Ltd. Fine pattern forming method
US5028366A (en) 1988-01-12 1991-07-02 Air Products And Chemicals, Inc. Water based mold release compositions for making molded polyurethane foam
US4848179A (en) 1988-02-16 1989-07-18 Trw Inc. Flexidigit robotic manipulator
US5063321A (en) 1988-02-24 1991-11-05 Teldix Gmbh Torsional vibration drive
US4883561A (en) 1988-03-29 1989-11-28 Bell Communications Research, Inc. Lift-off and subsequent bonding of epitaxial films
US4846931A (en) 1988-03-29 1989-07-11 Bell Communications Research, Inc. Method for lifting-off epitaxial films
US4891303A (en) 1988-05-26 1990-01-02 Texas Instruments Incorporated Trilayer microlithographic process using a silicon-based resist as the middle layer
US4980316A (en) 1988-07-20 1990-12-25 Siemens Aktiengesellschaft Method for producing a resist structure on a semiconductor
US4921778A (en) 1988-07-29 1990-05-01 Shipley Company Inc. Photoresist pattern fabrication employing chemically amplified metalized material
US5108875A (en) 1988-07-29 1992-04-28 Shipley Company Inc. Photoresist pattern fabrication employing chemically amplified metalized material
US5074667A (en) 1988-08-15 1991-12-24 Sumitomo Heavy Industries Co. Ltd. Position detector employing a sector fresnel zone plate
US5148037A (en) 1988-09-09 1992-09-15 Canon Kabushiki Kaisha Position detecting method and apparatus
US4887283A (en) 1988-09-27 1989-12-12 Mitsubishi Denki Kabushiki Kaisha X-ray mask and exposure method employing the same
US5171490A (en) 1988-11-29 1992-12-15 Fudim Efrem V Method and apparatus for production of three-dimensional objects by irradiation of photopolymers
US4964945A (en) 1988-12-09 1990-10-23 Minnesota Mining And Manufacturing Company Lift off patterning process on a flexible substrate
US5071694A (en) 1989-02-21 1991-12-10 Kanegafuchi Kagaku Kogyo Kabushiki Kaisha Multi-layer resist
JPH02219881A (en) * 1989-02-22 1990-09-03 Kansai Paint Co Ltd Paint composition
US4999280A (en) 1989-03-17 1991-03-12 International Business Machines Corporation Spray silylation of photoresist images
US5169494A (en) 1989-03-27 1992-12-08 Matsushita Electric Industrial Co., Ltd. Fine pattern forming method
US5234793A (en) 1989-04-24 1993-08-10 Siemens Aktiengesellschaft Method for dimensionally accurate structure transfer in bilayer technique wherein a treating step with a bulging agent is employed after development
US5173393A (en) 1989-04-24 1992-12-22 Siemens Aktiengesellschaft Etch-resistant deep ultraviolet resist process having an aromatic treating step after development
US5110514A (en) 1989-05-01 1992-05-05 Soane Technologies, Inc. Controlled casting of a shrinkable material
US5053318A (en) 1989-05-18 1991-10-01 Shipley Company Inc. Plasma processing with metal mask integration
US5330881A (en) 1989-06-02 1994-07-19 Digital Equipment Corp. Microlithographic method for producing thick, vertically-walled photoresist patterns
US4919748A (en) 1989-06-30 1990-04-24 At&T Bell Laboratories Method for tapered etching
US5148036A (en) 1989-07-18 1992-09-15 Canon Kabushiki Kaisha Multi-axis wafer position detecting system using a mark having optical power
US4964145A (en) 1989-07-24 1990-10-16 International Business Machines Corporation System for magnification correction of conductive X-ray lithography mask substrates
US5151754A (en) 1989-10-06 1992-09-29 Kabushiki Kaisha Toshiba Method and an apparatus for measuring a displacement between two objects and a method and an apparatus for measuring a gap distance between two objects
US5318870A (en) 1989-10-18 1994-06-07 Massachusetts Institute Of Technology Method of patterning a phenolic polymer film without photoactive additive through exposure to high energy radiation below 225 nm with subsequent organometallic treatment and the associated imaged article
US5179863A (en) 1990-03-05 1993-01-19 Kabushiki Kaisha Toshiba Method and apparatus for setting the gap distance between a mask and a wafer at a predetermined distance
US5073230A (en) 1990-04-17 1991-12-17 Arizona Board Of Regents Acting On Behalf Of Arizona State University Means and methods of lifting and relocating an epitaxial device layer
US5328810A (en) 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
US5198326A (en) 1990-05-24 1993-03-30 Matsushita Electric Industrial Co., Ltd. Process for forming fine pattern
US5240550A (en) 1990-09-21 1993-08-31 U.S. Philips Corp. Method of forming at least one groove in a substrate layer
US5314772A (en) 1990-10-09 1994-05-24 Arizona Board Of Regents High resolution, multi-layer resist for microlithography and method therefor
US5126006A (en) 1990-10-30 1992-06-30 International Business Machines Corp. Plural level chip masking
US5072126A (en) 1990-10-31 1991-12-10 International Business Machines Corporation Promixity alignment using polarized illumination and double conjugate projection lens
US5218193A (en) 1991-02-16 1993-06-08 Sumitomo Heavy Industries Co., Ltd. Double-focus measurement apparatus utilizing chromatic aberration by having first and second bodies illuminated respectively by a single wavelength ray and a ray having a plurality of wavelengths
US5155749A (en) 1991-03-28 1992-10-13 International Business Machines Corporation Variable magnification mask for X-ray lithography
US5240878A (en) 1991-04-26 1993-08-31 International Business Machines Corporation Method for forming patterned films on a substrate
US5212147A (en) 1991-05-15 1993-05-18 Hewlett-Packard Company Method of forming a patterned in-situ high Tc superconductive film
US5206983A (en) 1991-06-24 1993-05-04 Wisconsin Alumni Research Foundation Method of manufacturing micromechanical devices
US5242711A (en) 1991-08-16 1993-09-07 Rockwell International Corp. Nucleation control of diamond films by microlithographic patterning
US5270984A (en) 1991-08-26 1993-12-14 Nec Corporation Displacement amplification mechanism for piezoelectric elements
US5259926A (en) 1991-09-24 1993-11-09 Hitachi, Ltd. Method of manufacturing a thin-film pattern on a substrate
US5277749A (en) 1991-10-17 1994-01-11 International Business Machines Corporation Methods and apparatus for relieving stress and resisting stencil delamination when performing lift-off processes that utilize high stress metals and/or multiple evaporation steps
US5331020A (en) 1991-11-14 1994-07-19 Dow Corning Limited Organosilicon compounds and compositions containing them
US5204739A (en) 1992-02-07 1993-04-20 Karl Suss America, Inc. Proximity mask alignment using a stored video image
US5244818A (en) 1992-04-08 1993-09-14 Georgia Tech Research Corporation Processes for lift-off of thin film materials and for the fabrication of three dimensional integrated circuits
US5355219A (en) 1992-12-18 1994-10-11 Matsushita Electric Industrial Co., Ltd. Gap control apparatus and method utilizing heterodyne signal phase difference detection
US5348616A (en) 1993-05-03 1994-09-20 Motorola, Inc. Method for patterning a mold
US5324683A (en) 1993-06-02 1994-06-28 Motorola, Inc. Method of forming a semiconductor structure having an air region
EP0803555A2 (en) * 1996-04-24 1997-10-29 Toyota Jidosha Kabushiki Kaisha Top coating compositions
US20040241338A1 (en) * 2001-11-07 2004-12-02 Foster Kenneth L. Planarized microelectronic substrates
US20040202872A1 (en) * 2002-07-23 2004-10-14 Pennzoil-Quaker State Company Hydrophobic surface treatment composition and method of making and using same

Non-Patent Citations (100)

* Cited by examiner, † Cited by third party
Title
Abstract of Japanese Patent 02-24848, Jan. 26, 1990.
Abstract of Japanese Patent 02-92603, Aug. 12, 2004.
Abstract of Japanese Patent 55-88332, Apr. 14, 2004.
Abstract of Japanese Patent 57-7931, Apr. 14, 2004.
Abstract of Japanese Patent 58-129074, Aug. 1, 1983.
Abstract of Japanese Patent 63-138730, Apr. 14, 2004.
Ananthasuresh et al., "Strategies for Systematic Synthesis of Compliant Mems.", DSC-vol. 55-2, Dynamic Systems and Control: vol. 2, pp. 677-686, Nov. 1, 1994.
Arai et al., "Calibration and Basic Motion of Micro Hand Module.", IEEE, Jan. 1, 1993, pp. 1660-1665.
Arai et al., "Development of a New Parallel Manipulator with Fixed Linear Actuator.", In Proceedings of Japan/USA Symposium on Flexible Automation, Jan. 1, 1996, vol. 1, ASME, New York, pp. 145-149.
Armitage, "Analysis of Overlay Distortion Patterns.", Journal of Vacuum Science. B 20(6) pp. 2891-2895, Nov. 1, 2002.
Bailey et al., Step and Flash Imprint Lithography: Defect Analysis, Journal of Vacuum Science, B 19(6), pp. 2806-2810, Nov. 1, 2001.
Bailey et al., Step and Flash Imprint Lithography: Template Surface Treatment and Defect Analysis, Journal of Vacuum Science, B 18(6), pp. 3572-3577, Nov. 1, 2000.
Bender et al., "Fabrication of Nanostructures using a UV-based Imprint Technique.", Microelectronic Engineering 53, Jan. 1, 2000, pp. 233-236.
Bender et al., "Multiple Imprinting in UV-based Nanoimprint Lithography: Related Material Issues.", Microelectronic Engineering 61-62, Jan. 1, 2002, pp. 407-413.
Blomquist et al., "Fluorinated Acrylates in making Low-Loss, Low-Birefringence, and Single-Mode Optical Waveguides with Exceptional Thermo-Optic Properties.", SPIE Conference on Linear Optical Properties of Waveguides and Fibers, Jul. 1, 1999, vol. 3799, pp. 266-279.
Braeuer et al., "Precise Polymer Micro-Optical Systems.", MRS Bulletin, Jul. 1, 2001, pp. 519-522.
Butter et al., Production and Wetting Properties of Fluorinated Diamond-Like Carbon Coatings, Thin Solid Films, 311(1-2); pp. 107-113, Dec. 31, 1997.
Chen et al., "Adaptive Alignment of Photomasks for Overlay Placement.", Journal of Vacuum Science. B20(6) pp. 3099-3105, Nov. 1, 2002.
Choi et al., "Design of Orientation Stages for Step and Flash Imprint Lithography.", Precision Engineering, Jan. 1, 2001, pp. 192-199.
Chou et al., "Imprint Lithography with 25-Nanometer Resolution." Science vol. 272, Apr. 5, 1996, pp. 85-87.
Chou et al., "Imprint Lithography with Sub-10 nm Feature Size and High Throughput.", Microelectronic Engineering 35, Jan. 1, 1997, pp. 237-240.
Chou et al., "Imprint of Sub-25 nm Vias and Trenches in Polymers.", Applied Physics Letter, Nov. 20, 1995, 67 (21).
Chou et al., "Lithographically Induced Self-assembly of Periodic Polymer Micropillar Arrays.", J. Vac. Sci. Technol., Nov. 1, 1999, B 17(6), pp. 3197-3202.
Chou et al., "Nanoimprint Lithography and Lithographically Induced Self-Assembly.", MRS Bulletin, Jul. 1, 2001, pp. 512-517.
Chou et al., Nanoimprint Lithography, Journal of Vacuum Science Technolgoy B 14(16), pp. 4129-4133, Nov. 1, 1996.
Chou, Ultrafast and Direct Imprint of Nanostructures in Silicon, Nature, Col. 417, (Jun. 2002), pp. 835-837.
CIBA Specialty Chemicals, "What is UV Curing?", www.cibasc.com/image.asp?id=4040.
Colburn et al., "Step and Flash Imprint Lithography for sub-100 nm Patterning.", Proceedings of SPIE, Jan. 1, 2000, vol. 3997, pp. 453-457.
Colburn et al., "Step and Flash Imprint Lithography: A New Approach to High-Resolution Patterning.", Proc of SPIE, vol. 3676.
Colburn et al., Characterization and Modeling of Volumetric and Mechanical Properties for Step and Flash Imprint Lithography Photopolymers, Journal of Vacuum Science Technology. Vol b. 19(6), Nov. 1, 2001.
Colburn et al., Development and Advantages of Step-and-Flash Lithography, Solid State Technology, Jul. 1, 2001.
Compon et al., Electroanalysis at Diamond-Like and Doped-Diamond Electrodes, Electroanalysis 15(17); pp. 1349-1363, Sep. 1, 2003.
Cowie, "Polymers: Chemistry and Physics of Modern Materials.", 2<SUP>nd </SUP>Ed., Jan. 1, 1991, pp. 408-409.
Data Sheet for Cycat 4040, www.cytec.com, 12:00:00 AM.
Data Sheet for Cymel 303ULF, www.cytec.com, 12:00:00 AM.
Data Sheet for Dow Corning Z-6018, , 12:00:00 AM.
Data Sheet for gamma-Glycidoxypropyltrimethoxysilane, www.powerchemical.net/3100.htm, Dec. 5, 2003.
Data Sheet for MAK (Methyl n-Amyl Ketone), www.sp-chem.com/fine<SUB>-</SUB>e, Jan. 1, 2003.
Data Sheet for Methyl Amyl Ketone, www.arb.ca.gov/db/solvents/solvent<SUB>-</SUB>pages/Ketones-HTML/methyl<SUB>-</SUB>amyl.htm, Mar. 31, 2005.
Data Sheet for p-Toluenesulfonic Acid, NIOSH Manual of Analytical Methods (NMAM), Fourth Edition, 12:00:00 AM.
Eldada et al., "Advanced Polymer Systems for Optoelectronic Integrated Circuit Applications.", SPIE, Jan. 1, 1997, vol. 3006, pp. 344-361.
Eldada et al., "Affordable WDM Components: The Polymer Solution.", SPIE, Jan. 1, 19998, vol. 3234, pp. 161-174.
Eldada et al., "Robust Photopolymers for MCM, Board, and Backplane Optical Interconnects.", SPIE, Jan. 1, 1998, vol. 3288, pp. 175-191.
Electronic Devices and Circuits, people.deas.harvard.edu/~jones/es154/lectures/lecture<SUB>-</SUB>2/materials/materials.html, Mar. 31, 2005.
Feldman et al., "Wafer Chuck Magnification Correction in X-Ray Lithography.", J. Vac. Sci. Technol. B 16(6), Nov. 1, 1998, pp. 3476-3479.
Feynman, "There's Plenty of Room at the Bottom.", International Appl. No. PCT/US2002/015551.
Gokan et al., "Dry Etch Resistance of Organic Materials.", J. Electrochem. Soc.: Solid-State Science and Technology, Jan. 1, 1983, pp. 143-146.
Goldfarb et al., "A Well-Behaved Revolute Flexure Joint for Compliant Mechanism Design.", ASME Journal of Mechanical Design, Sep. 1, 1999, vol. 121, No. 3, pp. 424-429.
Hakovirta et al., Heat Resistance of Fluorinated Diamond-Like Carbon Films, Diamond and Related Materiasl 10(8); pp. 1486-1490, Aug. 1, 2001.
Howell et al., "A Loop-Closure Theory for the Analysis and Synthesis of Compliant Mechanisms.", Journal of Mechanical Design, Mar. 1, 1996, vol. 188, pp. 121-125.
Hu et al., "Fluorescence Probe Techniques (FPT) for Measuring the Relative Efficiencies of Free-Radical Photoinitiators.", Macromolecules, May 29, 1998, 31, pp. 4107-4113.
International Application No. PCT/US2002/015551, Communication Relating to the Results of the Partial International Search.
International Chemical Safety Card for p-Toluenseulfonic Acid, www.itcilo.it/english/actrav/teleam/osh/ic/104154.htm, Dec. 5, 2003.
International Search Report for PCT/US 00/30041, Oct. 18, 2001.
International Search Report for PCT/US 01/26049, Feb. 19, 2002.
Johnson et al., "Advances in Step and Flash Imprint Lithography.", SPIE Microlithography Conference, Feb. 23, 2003.
Kanetomo et al., "Robot for Use in Ultrahigh Vacuum.", Solid State Technology, Aug. 1, 1997, pp. 63-72.
Kawata et al., "Imprint/Photo Hybrid Lithography Using Conventional Contact Aligner.", Japanese Journal of Applied Physics, Jun. 29, 2004, vol. 43, No. 68, pp. 4027-4030.
Kim et al., "High-precision Magnetic Levitation Stage for Photolithography.", Precision Engineering, Apr. 1, 1998, vol. 22, No. 2, pp. 66-77.
Kim et al., "Reducing Photocurable Polymer Pattern Shrinkage and Roughness during Dry Etching in Photo-Nanoimprint Lithography.", Japanese Journal of Applied Physics, Jun. 29, 2004, vol. 43, No. 6B, pp. 4022-4026.
Kim et al., "Surface Energy and Polarity of Treated Indium-Tin-Oxide Anodes for Polymer Light-Emitting Diodes Studied by Contact Angle Measurements.", Journal of Applied Physics, 1999, pp. 2774-2778, vol. 86, No. 5.
Mansano et al., Protective Carbon Layer for Chemical Corrosion of Stainless Steel, Diamond and Related Materials 12 (3-7); pp. 749-752, Mar. 1, 2003.
Martin et al., "Predication of Fabrication Distortions in Step and Flash Imprint Lithography Templates.", Journal of Vacuum Science. B 20(6) pp. 2891-2895, Nov. 1, 2002.
Merlet, "Parallel Manipulators: State of the Art and Perspectives.", Advanced Robotics, Jan. 1, 1994, vol. 8, pp. 589-596.
Mirkin et al., "Emerging Methods for Micro-and-Nanofabrication.", MRS Bulletin, Jul. 1, 2001, pp. 506-509.
Mitsui et al., "Application of Two-Wavelength Optical Heterodyne Alignment System in XS-1.", Part of the SPIE Conference on Emerging Lithographic Technologies III, Mar. 1, 1999, SPIE vol. 3676, pp. 455-464.
Mittal, "Precision Motion Control of a Magnetic Suspension Actuator Using a Robust Nonlinear Compensation Scheme", IEE/ASME Transactions on Mechatronics., Dec. 1, 1997, vol. 2., No. 4, pp. 268-280.
Moon et al., Interferometric-Spatial-Phase Imaging for Six-Axis Mask Control, Oct. 17, 2003, MIT Nanostructures Laboratory, Research Laboratory of Electronics.
MSDS for Dow Corning Z-6018 intermediate. *
Nakamatsu et al., "Bilayer Resist Method for Room-Temperature Nanoimprint Lithography.", Japanese Journal of Applied Physics, Jun. 29, 2004, vol. 43, No. 6B, pp. 4050-4053.
NERAC.COM Retro Search, "Imprint Lithography." Oct. 16, 2004.
NERAC.COM Retro Search, "Multi-Layer Resists", Sep. 2, 2004.
NERAC.COM Retro Search, "Reduction of Dimension of Contact Holes", Aug. 31, 2004.
NERAC.COM Retro Search, "Trim Etching of Features Formed on an Organic Layer", Sep. 2, 2004.
Nguyen, "Asymmetric Fluid-Structure Dynamics in Nanoscale Imprint Lithography." The University of Texas at Austin, Aug. 1, 2001, pp. 1-111.
Office Action from U.S. Pub. 2003-0133126-A1.
Ohya et al., "Development of 3-DOF Finger Module for Micro Manipulation", Proc. of IEEE, Mar. 1, 1999, Intl. Conf. on Intelligent Robots and Systems, pp. 894-899.
Otto et al., "Characterization and Application of a UV-based Imprint Technique", Microelectronic Engineering 57-58, Jan. 1, 2001, pp. 361-366.
Otto et al., "Reproducibility and Homogeneity in Step and Repeat UV-Nanoimprint Lithography", Microelectronic Engineering 73-74, Jan. 1, 2004, pp. 152-156.
Otto et al., "Step and Repeat UV-Nanoimprint Lithography: Material Issues", NNT02 San Francisco, Dec. 11, 2002.
Papirer et al., "Abstract of The Grafting of Perfluorinated Silanes onto the Surface of Silica: Characterization by Inverse Gas Chromatography", Journal of Colloid and Interface Science 159, Aug. 1, 1993, pp. 238-242.
Papirer et al., "The Grafting of Perflourinated Silanes onto the Surface of Silica: Characterization by Inverse Gas Chromatography", Journal of Colloid and Interface Science 159, Aug. 1, 2003, pp. 238-242.
Parikh et al., "An Intrinsic Relationship between Molecular Structure in Self-Assembled n-Alkylsiloxane Monolayers and Deposition Temperature.", Journal of Phys. Chem., Jul. 1, 1994, pp. 7577-7590.
Paros et al., "How to design Flexure Hinges.", Machine Design, Nov. 25, 1965, pp. 151-156.
Peng et al., "Compliant Motion Control of Kinematically Redundant Manipulators.", IEEE Transactions on Robotics and Automation, Dec. 1, 1993, vol. 9, No. 6, pp. 831-837.
Sagiv, "Organized Monolayers by Absorption. 1, Formation and Structure of Oleophobic Mixed Monolayers on Solid Surfaces.", Journal of American Chemical Society/102:1, Jan. 2, 1980.
Scheer et al., "Problems of the Nanoimprinting Technique for Nanometer Scale Pattern Definition.", J. Vac. Sci. Techno. B., Nov. 1, 1998, pp. 3917-3921.
Schneider et al., "The Wave-Printer: Towards Large-Area, Multilayer Microcontact Printing.", Proc. of 4<SUP>th </SUP>Euspen International Conference, Glasgos, Scotland (UK), May 1, 2004.
Schneider et al., Stripes of Partially Fluorinated Alkyl Chains: Dipolar Langmuir Monolayers.
Silicon or Silica, www.mii.org/Minerals/photosil, Mar. 31, 2005.
Slocum, "Precision Machine Design: Macromachine Design Philosophy and Its Applicability to the Design of Micromachines.", Micro Electro Mechanical Systems, Feb. 4, 1992.
Sowah, "Diamond Used to Break the Mould [online].", [Retrieved on Sep. 2, 2003.] Retried from the Internet: <URL: http: HTTP://eetuk.com/showArticle,jhtml?articleID=19203691>., Sep. 2, 2003.
Sreenivasan et al., "IDF Spin Cast Imprinting.", Oct. 21, 2004, Powerpoint Presentation.
Srinivasan et al., "Alkyltrichlorosilane-Based Self-Assembled Monolayer Films for Stiction Reduction in Silicon Micromachines.", Journal of Microelectromechanical Systems, Jun. 1, 1998, vol. 7, No. 2, p. 252-260.
U.S. Appl. No. 10/919,062, filed Aug. 16, 2004, Xu et al.
U.S. Appl. No. 10/948,511, filed Sep. 23, 2004, Xu et al.
U.S. Appl. No. 10/967,740, filed Oct 18, 2004, Xu et al.
Yao et al., Structural, Mechanical and Hydrophobic Properties of Fluorine-Doped Diamond-Like Carbon Films Synthesized by Plasma Immersion Ion Implantation and Deposition (PIII-D), Applied Surface Science 230; pp. 172-178, Apr. 17, 2004.
Yu et al., Properties of Fluorinated Amorphous Diamond Like Carbon Films by PECVD, Applied Surface Science 219 (3-4); pp. 228-237, Dec. 1, 2003.
Zhu et al., The Improvement of the Oxidation Resistance of TiAl Alloys by Fluorine Plasma-Based Ion Implantation, Surface and Coatings Technology 158; pp. 502-507, Sep. 1, 2002.

Cited By (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7906180B2 (en) 2004-02-27 2011-03-15 Molecular Imprints, Inc. Composition for an etching mask comprising a silicon-containing material
US20080097065A1 (en) * 2004-02-27 2008-04-24 Molecular Imprints, Inc. Composition for an etching mask comprising a silicon-containing material
US20110140306A1 (en) * 2004-02-27 2011-06-16 Molecular Imprints, Inc. Composition for an Etching Mask Comprising a Silicon-Containing Material
US7981481B2 (en) 2004-09-23 2011-07-19 Molecular Imprints, Inc. Method for controlling distribution of fluid components on a body
US8889332B2 (en) 2004-10-18 2014-11-18 Canon Nanotechnologies, Inc. Low-K dielectric functional imprinting materials
US20070077763A1 (en) * 2005-09-30 2007-04-05 Molecular Imprints, Inc. Deposition technique to planarize a multi-layer structure
US20070222079A1 (en) * 2006-03-27 2007-09-27 Fujifilm Corporation Method of manufacturing wiring substrate, and liquid ejection head manufactured by same
US8329089B2 (en) * 2006-04-25 2012-12-11 Lg Display Co., Ltd. Method for forming a resist pattern
US20070246441A1 (en) * 2006-04-25 2007-10-25 Jin Wuk Kim Resist composition, method for forming resist pattern using the same, array substrate fabricated using the same and method of fabricating the array substrate
US20100093923A1 (en) * 2007-05-04 2010-04-15 Chang Soo Woo Compound for gap-filling of semiconductor device and coating composition using the same
US8383737B2 (en) * 2007-05-04 2013-02-26 Cheil Industries, Inc. Compound for gap-filling of semiconductor device and coating composition using the same
US8136224B1 (en) 2008-05-15 2012-03-20 Western Digital (Fremont), Llc Method and system for providing a perpendicular magnetic recording head utilizing a mask having an undercut line
US8284517B1 (en) 2008-05-15 2012-10-09 Western Digital (Fremont), Llc Perpendicular magnetic recording head
US20100098940A1 (en) * 2008-10-20 2010-04-22 Molecular Imprints, Inc. Nano-Imprint Lithography Stack with Enhanced Adhesion Between Silicon-Containing and Non-Silicon Containing Layers
US8415010B2 (en) 2008-10-20 2013-04-09 Molecular Imprints, Inc. Nano-imprint lithography stack with enhanced adhesion between silicon-containing and non-silicon containing layers
US20100237042A1 (en) * 2009-03-23 2010-09-23 Intevac, Inc. Process for optimization of island to trench ratio in patterned media
US8715515B2 (en) 2009-03-23 2014-05-06 Intevac, Inc. Process for optimization of island to trench ratio in patterned media
US8829514B2 (en) 2011-12-14 2014-09-09 E Ink Holdings Inc. Thin film transistor and method for manufacturing the same

Also Published As

Publication number Publication date
US20050192421A1 (en) 2005-09-01

Similar Documents

Publication Publication Date Title
US7122079B2 (en) Composition for an etching mask comprising a silicon-containing material
US20050276919A1 (en) Method for dispensing a fluid on a substrate
CN1802265B (en) Positive tone bi-layer imprint lithography method and compositions therefor
US20110140306A1 (en) Composition for an Etching Mask Comprising a Silicon-Containing Material
US7547504B2 (en) Pattern reversal employing thick residual layers
WO2005110699A2 (en) Method of patterning a conductive layer on a substrate
US7179079B2 (en) Conforming template for patterning liquids disposed on substrates
US7041604B2 (en) Method of patterning surfaces while providing greater control of recess anisotropy
US8012394B2 (en) Template pattern density doubling
US7858528B2 (en) Positive tone bi-layer method
US7241395B2 (en) Reverse tone patterning on surfaces having planarity perturbations
US7256131B2 (en) Method of controlling the critical dimension of structures formed on a substrate
US7205244B2 (en) Patterning substrates employing multi-film layers defining etch-differential interfaces
US20060036051A1 (en) Composition to provide a layer with uniform etch characteristics
EP2146370A2 (en) Method of forming an in-situ recessed structure
EP1614004B1 (en) Positive tone bi-layer imprint lithography method and compositions therefor
US7252777B2 (en) Method of forming an in-situ recessed structure
Stacey et al. Compositions for dark-field polymerization and method of using the same for imprint lithography processes

Legal Events

Date Code Title Description
AS Assignment

Owner name: MOLECULAR IMPRINTS, INC., TEXAS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:XU, FRANK Y.;MILLER, MICHAEL N.;WATTS, MICHAEL P.C.;REEL/FRAME:015039/0328

Effective date: 20040226

AS Assignment

Owner name: VENTURE LENDING & LEASING IV, INC., CALIFORNIA

Free format text: SECURITY INTEREST;ASSIGNOR:MOLECULAR IMPRINTS, INC.;REEL/FRAME:016133/0369

Effective date: 20040928

Owner name: VENTURE LENDING & LEASING IV, INC.,CALIFORNIA

Free format text: SECURITY INTEREST;ASSIGNOR:MOLECULAR IMPRINTS, INC.;REEL/FRAME:016133/0369

Effective date: 20040928

STCF Information on status: patent grant

Free format text: PATENTED CASE

FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Free format text: PAYER NUMBER DE-ASSIGNED (ORIGINAL EVENT CODE: RMPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

AS Assignment

Owner name: MOLECULAR IMPRINTS, INC.,TEXAS

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:VENTURE LENDING & LEASING IV, INC.;REEL/FRAME:019072/0882

Effective date: 20070326

Owner name: MOLECULAR IMPRINTS, INC., TEXAS

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:VENTURE LENDING & LEASING IV, INC.;REEL/FRAME:019072/0882

Effective date: 20070326

FPAY Fee payment

Year of fee payment: 4

AS Assignment

Owner name: CANON INC., JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:MOLECULAR IMPRINTS, INC.;REEL/FRAME:026842/0929

Effective date: 20110901

AS Assignment

Owner name: CANON INC., JAPAN

Free format text: CORRECTIVE ASSIGNMENT TO CORRECT THE NATURE OF CONVEYANCE FROM AN "ASSIGNMENT" TO "SECURITY AGREEMENT" PREVIOUSLY RECORDED ON REEL 026842 FRAME 0929. ASSIGNOR(S) HEREBY CONFIRMS THE THE ORIGINAL DOCUMENT SUBMITTED WAS A "SECURITY AGREEMENT";ASSIGNOR:MOLECULAR IMPRINTS, INC.;REEL/FRAME:031003/0031

Effective date: 20110901

FEPP Fee payment procedure

Free format text: PAT HOLDER NO LONGER CLAIMS SMALL ENTITY STATUS, ENTITY STATUS SET TO UNDISCOUNTED (ORIGINAL EVENT CODE: STOL); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

FPAY Fee payment

Year of fee payment: 8

AS Assignment

Owner name: CANON INC., JAPAN

Free format text: RELEASE OF SECURITY INTEREST;ASSIGNOR:MOLECULAR IMPRINTS, INC.;REEL/FRAME:033161/0705

Effective date: 20140613

AS Assignment

Owner name: MOLECULAR IMPRINTS, INC., TEXAS

Free format text: CORRECTIVE ASSIGNMENT TO CORRECT THE ASSIGNOR AND ASSIGNEE PREVIOUSLY RECORDED ON REEL 033161 FRAME 0705. ASSIGNOR(S) HEREBY CONFIRMS THE ASSIGNMENT;ASSIGNOR:CANON INC.;REEL/FRAME:033227/0398

Effective date: 20140613

AS Assignment

Owner name: MII NEWCO, INC., TEXAS

Free format text: ASSIGNMENT OF JOINT OWNERSHIP;ASSIGNOR:MOLECULAR IMPRINTS, INC.;REEL/FRAME:033329/0280

Effective date: 20140710

AS Assignment

Owner name: CANON NANOTECHNOLOGIES, INC., TEXAS

Free format text: CHANGE OF NAME;ASSIGNOR:MOLECULAR IMPRINTS, INC.;REEL/FRAME:033400/0184

Effective date: 20140417

AS Assignment

Owner name: MOLECULAR IMPRINTS, INC., TEXAS

Free format text: CHANGE OF NAME;ASSIGNOR:MII NEWCO, INC.;REEL/FRAME:033449/0684

Effective date: 20140423

AS Assignment

Owner name: MOLECULAR IMPRINTS, INC., TEXAS

Free format text: CONFIRMATORY ASSIGNMENT OF JOINT PATENT OWNERSHIP;ASSIGNOR:CANON NANOTECHNOLOGIES, INC.;REEL/FRAME:035507/0559

Effective date: 20150427

FEPP Fee payment procedure

Free format text: MAINTENANCE FEE REMINDER MAILED (ORIGINAL EVENT CODE: REM.)

FEPP Fee payment procedure

Free format text: 11.5 YR SURCHARGE- LATE PMT W/IN 6 MO, LARGE ENTITY (ORIGINAL EVENT CODE: M1556)

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 12TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1553)

Year of fee payment: 12

AS Assignment

Owner name: JP MORGAN CHASE BANK, N.A., NEW YORK

Free format text: PATENT SECURITY AGREEMENT;ASSIGNORS:MAGIC LEAP, INC.;MOLECULAR IMPRINTS, INC.;MENTOR ACQUISITION ONE, LLC;REEL/FRAME:050138/0287

Effective date: 20190820

AS Assignment

Owner name: CITIBANK, N.A., NEW YORK

Free format text: ASSIGNMENT OF SECURITY INTEREST IN PATENTS;ASSIGNOR:JPMORGAN CHASE BANK, N.A.;REEL/FRAME:050967/0138

Effective date: 20191106