US7011866B1 - Method and apparatus for film deposition - Google Patents

Method and apparatus for film deposition Download PDF

Info

Publication number
US7011866B1
US7011866B1 US09/646,680 US64668000A US7011866B1 US 7011866 B1 US7011866 B1 US 7011866B1 US 64668000 A US64668000 A US 64668000A US 7011866 B1 US7011866 B1 US 7011866B1
Authority
US
United States
Prior art keywords
gas
voltage
silicon
film
film forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related, expires
Application number
US09/646,680
Inventor
Hideo Yamanaka
Kikuo Kaise
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sony Corp
Original Assignee
Sony Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sony Corp filed Critical Sony Corp
Assigned to SONY CORPORATION reassignment SONY CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: YAMANAKA, HIDEO, KAISE, KIKUO
Priority to US10/751,923 priority Critical patent/US20040134429A1/en
Application granted granted Critical
Publication of US7011866B1 publication Critical patent/US7011866B1/en
Adjusted expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/503Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using dc or ac discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02422Non-crystalline insulating materials, e.g. glass, polymers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02658Pretreatments

Definitions

  • This invention relates to a film forming method and a film forming apparatus for vapor growth of a predetermined film made of polycrystal silicon or the like.
  • a chemical vapor deposition (CVD) method for a polycrystal silicon layer has been used in manufacturing a metal-insulator-semiconductor field effect transistor (MISFET), for example, a MIS thin film transistor (MISTFT), in which a polycrystal silicon layer formed on a substrate is used as source, drain and channel regions.
  • MISFET metal-insulator-semiconductor field effect transistor
  • MISTFT MIS thin film transistor
  • reactive species which are produced by decomposition of a material gas in a vapor phase reach the substrate and react on the substrate, thereby forming a film.
  • the reactive species react in a region very close to the surface of the substrate and are deposited thereon.
  • the reactive species must migrate on the surface of the substrate.
  • a two-frequency method for utilizing plasma potential control with the action of a high-frequency field or for applying a low-frequency bias field is used to control the migration or the kinetic energy of deposition species.
  • an ion cluster beam (ICB) method an acceleration voltage is controlled.
  • the device is complicated and expensive and requires complicated maintenance work.
  • the catalyzed CVD method disclosed in the Japanese Publication of Unexamined Patent Application No. S63-40314 draws attention as an excellent CVD method which enables formation of a polycrystal silicon film or a silicon nitride film at a low temperature on an insulating substrate such as a glass substrate.
  • a silane gas is brought in contact with a heated metal catalyzer and is thus decomposed, thereby forming reactive species having high energy, for example, a radical silicon molecule or a group of molecules, a silicon atom or a group of atoms, and a radical hydrogen ion.
  • reactive species having high energy for example, a radical silicon molecule or a group of molecules, a silicon atom or a group of atoms, and a radical hydrogen ion.
  • the catalyzed CVD method is a simple method, particularly the momentum of deposition species can only be controlled in accordance with the kinetic theory of gases. That is, the migration or the kinetic energy of deposition species is only the thermal energy in vacuum. Since it depends exclusively on the thermal energy, lowering of the deposition temperature is restricted. Therefore, it is difficult to use a plastic film substrate having a poor heat resistance property and the degree of freedom in selection of the substrate material is limited. Also, since the control of the momentum of deposition species is insufficient, burying of a metal for connection into a via-hole (through-hole for connection between wirings) having a particularly large aspect ratio and the step coverage tend to be insufficient.
  • a reaction gas is brought into contact with a heated catalyzer and an electric field of not higher than a glow discharge starting voltage is caused to act on the produced reactive species, thereby providing kinetic energy and carrying out vapor growth of a predetermined film on a substrate.
  • a film forming apparatus includes reaction gas supply means, a catalyzer, heating means for the catalyzer, electric field application means for applying an electric field of not higher than a glow discharge starting voltage, and a suscepter for supporting a base on which a film to be formed.
  • a reaction gas is brought into contact with a heated catalyzer as in the conventional catalyzed CVD method, and in depositing the produced deposition species or their precursors and radical ions onto the base, an electric field of not higher than a glow discharge starting voltage, that is, an electric field of not higher than a plasma generation voltage in accordance with the Paschen's law, is caused to act to provide kinetic energy. Therefore, the film forming method and apparatus has the following advantages.
  • a directional acceleration field with the above-described voltage as well as the catalytic action of the catalyzer and its thermal energy are applied to the deposition species or their precursors and the radical ions. Therefore, the kinetic energy is increased and the deposition species or the like can be efficiently led onto the base. Also, sufficient migration on the base and sufficient diffusion in a film in the process of formation are realized.
  • the kinetic energy of the reactive species generated by the catalyzer can be controlled independently for each electric field in comparison with the conventional catalyzed CVD method, it is possible to realize improvement in tight contact between the produced film and the case improvement in the density of the produced film, uniformity or improvement in the smoothness of the produced film, improvement in the burying property into the via-hole and the step coverage, further lowering of the temperature of base, and stress control for the produced film, and a film of high quality such as a silicon film or a metal film having a bulk-like property can be obtained.
  • the temperature of the base can be further lowered and a large and inexpensive insulating substrate such as a glass substrate or a heat-resistant resin substrate can be used to reduce the cost.
  • FIG. 1 is a schematic cross-sectional view showing a DC-bias catalyzed CVD device according to a first embodiment of the present invention.
  • FIG. 2 is a schematic cross-sectional view showing the catalyzed CVD device at the time of CVD.
  • FIG. 3 is a schematic cross-sectional view showing the catalyzed CVD device further in detail.
  • FIG. 4 is a schematic cross-sectional view showing the catalyzed CVD device at the time of cleaning.
  • FIGS. 5A to 5K are cross-sectional views showing a manufacturing process for an MOSTFT using the catalyzed CVD device, in the order of process steps.
  • FIGS. 6A to 6I are cross-sectional views showing a manufacturing process for an LCD using the catalyzed CVD device, in the order of process steps.
  • FIG. 7 is a schematic cross-sectional view showing essential parts of a DC-bias catalyzed CVD device according to a second embodiment of the present invention.
  • FIG. 8 is a schematic cross-sectional view showing essential parts of a DC-bias catalyzed CVD device according to a third embodiment of the present invention.
  • FIG. 9 is a schematic cross-sectional view showing essential parts of a DC-bias catalyzed CVD device according to a fourth embodiment of the present invention.
  • FIG. 10 is a schematic perspective view showing an accelerating electrode used for a DC-bias catalyzed CVD device according to a fifth embodiment of the present invention.
  • FIG. 11 is a schematic perspective view showing another example of the accelerating electrode used for the DC-bias catalyzed CVD device according to a fifth embodiment of the present invention.
  • FIG. 12 is a schematic cross-sectional view showing essential parts of a DC-bias catalyzed CVD device according to a sixth embodiment of the present invention.
  • FIG. 13 is a schematic cross-sectional view showing essential parts of a DC-bias catalyzed CVD device according to a seventh embodiment of the present invention.
  • FIG. 14 is a schematic cross-sectional view showing essential parts of another DC-bias catalyzed CVD device.
  • FIG. 15 is a schematic cross-sectional view showing another DC-bias catalyzed CVD device.
  • FIG. 16 is a schematic cross-sectional view showing another DC-bias catalyzed CVD device.
  • FIG. 17 is a schematic plan view showing essential parts of still another DC-bias catalyzed CVD device.
  • FIG. 18 is a schematic cross-sectional view showing an RF/DC-bias catalyzed CVD device according to a ninth embodiment of the present invention.
  • FIG. 19 is a schematic cross-sectional view showing the catalyzed CVD device at the time of CVD.
  • FIG. 20 is a schematic cross-sectional view showing essential parts of an RF/DC-bias catalyzed CVD device according to a tenth embodiment of the present invention.
  • FIG. 21 is a schematic cross-sectional view showing essential parts of an RF/DC-bias catalyzed CVD device according to an eleventh embodiment of the present invention.
  • FIG. 22 is a schematic cross-sectional view showing an AC/DC-bias catalyzed CVD device according to a twelfth embodiment of the present invention.
  • FIG. 23 shows a combination of various material gases and produced films in DC, RF/DC or AC/DC-bias catalyzed CVD according to a thirteenth embodiment of the present invention.
  • FIGS. 24A and 24B are schematic views showing various voltage application methods at the time of bias catalyzed CVD according to the present invention.
  • a DC voltage of not higher than a glow discharge starting voltage that is, a voltage not higher than a plasma-generating voltage determined by the Paschen's law, for example, a voltage of not higher than 1 kV and not less than tens of V, as the above-described electric field, and to direct the above-described reactive species toward the base.
  • a voltage which is not higher than the glow discharge starting voltage and is produced by superimposing an AC voltage on the DC voltage that is, a voltage not higher than the plasma-generating voltage determined by the Paschen's law, for example, a voltage of not higher than 1 kV and not less than tens of V is applied, the kinetic energy with a minute change in the electric field due to the AV voltage superimposed on the DC voltage can be provided for the reactive species. Therefore, in addition to the above-described effect, a uniform film having highly tight contact and high density can be formed which provides good step coverage on the base surface of a complicated shape having steps and a via-hole of a high aspect ratio.
  • the AC voltage may be a high-frequency voltage (RF, VHF, UHF, microwave) and/or a low-frequency voltage (AC).
  • RF high-frequency voltage
  • VHF low-frequency voltage
  • AC low-frequency voltage
  • the frequency of the high-frequency voltage is 1 MHZ to 10 GHz and that the frequency of the low-frequency voltage is less than 1 MHZ.
  • a method of applying a positive electrode potential to an electrode and applying a negative electrode (or ground) potential to a suscepter (substrate), or a method of applying a ground potential to the electrode and applying a negative electrode potential to the suscepter (substrate) may be employed.
  • the method may be determined in accordance with the structure of the apparatus, the type of the power source, and the bias effect.
  • a catalyzer can be installed between the base or suscepter and the electrode for applying the electric field.
  • the catalyzer and the electrode for applying the electric field may be installed between the base or suscepter and the reaction gas supply means. It is desired that this electrode is made of a high heat-resistant material such as a material having the same melting point as the catalyzer or a higher melting point. (This applies to the following description.)
  • the catalyzer or the electrode for applying the electric field may be formed in the shape of a coil, wire, mesh or porous plate, and a plurality of such catalyzers or electrodes may be provided along the gas flow.
  • a plurality of such catalyzers or electrodes may be provided along the gas flow.
  • the gas flow can be effectively formed and the contact area between the catalyzer and the gas can be increased to generate sufficient catalytic reaction.
  • these catalyzers or electrodes may be made of the same or different materials.
  • different electric fields such as DC and AC/DC, DC and RF/DC, AC/DC and RF/DC may be applied to control the plurality of catalyzers independently.
  • ions may be generated in the reaction gas due to the catalytic action of the catalyzer and may charge up the base to deteriorate the performance of the film or device.
  • the base is taken out of the deposition chamber and a voltage is applied between predetermined electrodes, for example, between the suscepter and a counter-electrode, to cause plasma discharge.
  • the reaction gas is CF 4 , C 2 F 6 , SF 6 , H 2 , NF 3 or the like
  • foreign matters attached to the inner wall surface and each constituent member of the deposition chamber at the time of vapor deposition can be removed by etching. Since this can be realized when the film forming apparatus for carrying out vapor growth is used as it is, it is not necessary to take out and clean the constituent members from the deposition chamber.
  • the catalyzer can be cleaned at the same time, it may be taken out and separately cleaned outside of the deposition chamber.
  • the catalyzer is heated to a temperature within a range of 800 to 2000° C. and lower than the melting point, for example, by electrifying the catalyzer to heat it by its resistance heating.
  • Reactive species produced by catalytic reaction or thermal decomposition of at least a part of the reaction gas with the heated catalyzer, are used as material species and a thin film is deposited by a thermal CVD method on the substrate heated to the room temperature to 550° C.
  • the heating temperature of the catalyzer is lower than 800° C., the catalytic reaction or thermal decomposition of the reaction gas is insufficient and the deposition rate tends to be lowered. If the heating temperature exceeds 2000° C., the component material of the catalyzer is mixed into the deposited film, thus hindering the electrical property of the film and deteriorating the quality of the film. The beating to the melting point of the catalyzer or higher should be avoided since it causes loss of shape stability.
  • the heating temperature of the catalyzer is preferably lower than the melting point of the component material and 1100 to 1800° C.
  • the temperature of the substrate is preferably the room temperature to 550° C., and more preferably, 200 to 300° C. for efficient formation of a film of high quality. If the temperature of the substrate exceeds 550° C., inexpensive boro-silicated glass or alumino-silicated glass cannot be used. In forming a passivation film for an integrated circuit, distribution of doping concentration of impurity is easily changed by the influence of heat.
  • the temperature of the substrate In the case of forming a polysilicon film by the ordinary thermal CVD method, the temperature of the substrate must be approximately 600 to 900° C. In the film forming method according to the present invention, however, it is extremely advantageous that thermal CVD at a low temperature as described above is made possible without requiring plasma or optical excitation. Since a low temperature of the substrate is used at the time of the catalyzed CVD of the present invention as described above, glass such as boro-silicated glass or alumino-silicated glass having a low strain point of 470 to 670° C. can be used as the glass substrate. Such glass is inexpensive, easy to form into a thin plate, and enables formation of a large-size glass plate (1 m 2 or greater). It also allows production of an elongated rolled glass plate. For example, a thin film can be continuously or discontinuously on the elongated rolled glass plate by using the above-described technique.
  • the material gas (i.e., components of the reaction gas) used for vapor growth according to the present invention may be any one of the following gases (a) to (p):
  • the following films and the like can be formed by vapor growth: polycrystal silicon; single-crystal silicon; amorphous silicon; microcrystal silicon; compound semiconductors such as gallium-arsenide, gallium-phosphorus, gallium-indium-phosphorus, gallium-nitride and the like; semiconductor thin films of silicon carbide, silicon-germanium and the like; a diamond thin film; an n-type or p-type carrier impurity-containing diamond thin film; a diamond-like carbon thin film; an insulating thin films of silicon oxide, impurity-containing silicon oxides such as phosphorus silicate glass (PSG), boron silicate glass (BSG), boron phosphorus silicate glass (BPSG) and the like, silicon nitride, silicon oxynitride, titanium oxide, tantalum oxide, aluminum oxide and the like; oxidative thin films of indium oxide, indium-tin oxide, palladium oxide and the like; metal thin films of
  • the catalyzer can be made of at least one type of material selected from the group consisting of tungsten, thoria-containing tungsten, molybdenum, platinum, palladium, vanadium, silicon, titanium, alumina, ceramics with metal adhered thereto, and silicon carbide.
  • the present invention is suitable for forming a thin film for a silicon semiconductor device, a silicon semiconductor integrated circuit device, a silicon-germanium semiconductor device, a silicon-germanium semiconductor integrated circuit device, a compound semiconductor device, a compound semiconductor integrated circuit device, a high dielectric memory semiconductor device, a ferroelectric memory semiconductor device, a silicon carbide semiconductor device, a silicon carbide semiconductor integrated circuit device, a liquid crystal display device, an electroluminescence display device, a plasma display panel (PDP) device, a field emission display (FED) device, a light-emitting polymer display device, a light-emitting diode display device, a CCD area/linear sensor device, a MOS sensor device, or a solar battery device.
  • a silicon semiconductor integrated circuit device a silicon-germanium semiconductor device, a silicon-germanium semiconductor integrated circuit device, a compound semiconductor device, a compound semiconductor integrated circuit device, a high dielectric memory semiconductor device, a ferroelectric memory semiconductor device, a silicon carbide
  • FIGS. 1 to 10 A first embodiment of the present invention will be described with reference to FIGS. 1 to 10 .
  • a reaction gas made of a hydrogen-based carrier gas and a material gas such as a silane gas or the like, is brought in contact with a heated catalyzer made of tungsten or the like, and an electric field of not higher than a glow discharge starting voltage is caused to act on the radical deposition species or its precursor thus produced and radical hydrogen ions, thus providing kinetic energy.
  • a predetermined film of polycrystal silicon or the like is formed by vapor growth on a substrate.
  • a DC voltage not higher than the glow discharge starting voltage that is, a DC voltage determined by the Paschen's law, for example, a voltage not higher than 1 kV is applied between the substrate and a counter-electrode, thus directing the radical deposition species or its precursor and radical hydrogen ions toward the substrate.
  • the CVD method of the present embodiment is referred to as a DC-bias catalyzed CVD method.
  • This DC-bias catalyzed CVD method is carried out using a film forming device as shown in FIGS. 1 to 3 .
  • a reaction gas made of a hydrogen-based carrier gas, a material gas 40 of silicon hydride such as mono-silane or the like, and if necessary, a doping gas of B 2 H 6 , PH 3 or the like, is fed from a supply duct 41 to a deposition chamber 44 through a supply port 43 of a shower head 42 , as shown in FIG. 1 .
  • a suscepter 45 for supporting a substrate 1 made of glass or the like, the shower head 42 having high heat resistance property and made of a material preferably having the same melting point as a catalyzer 46 or higher, the coil-shaped catalyzer 46 made of tungsten or the like, and a shutter 47 that can be open/closed are arranged, as shown in FIG. 2 .
  • a magnetic seal 52 is provided between the suscepter 45 and the deposition chamber 44 .
  • the deposition chamber 44 is connected to the end of a previous chamber 53 for carrying: out a previous step, and is exhausted via a valve 55 by a turbo-molecular pump 54 or the like, as shown in FIG. 3 .
  • the substrate 1 is heated by heating means such as a heater wire 51 in the suscepter 45 , and the catalyzer 46 is heated for activation to a temperature not higher than the melting point, particularly 800 to 2000° C., as a resistance wire, and approximately 1600 to 1700° C. in the case of tungsten.
  • Both terminals of the catalyzer 46 are connected to a DC or AC catalyzer power source 48 and are heated to a predetermined temperature by electrification from the power source.
  • the shower head 42 is connected as an accelerating electrode to the positive electrode side of a variable DC power source (not higher than 1 kV, for example, 500 V) 49 through the duct 41 , and a DC-bias voltage not higher than 1 kV is applied between the shower head 42 and the suscepter 45 supporting the substrate 1 on the negative electrode side.
  • a variable DC power source not higher than 1 kV, for example, 500 V
  • the degree of vacuum in the deposition chamber 44 is set at 10 ⁇ 6 to 10 ⁇ 8 Torr, and the hydrogen-based carrier gas is supplied at 100 to 200 SCCM (standard cc per minute).
  • the reaction gas 40 made of the silicon hydride (e.g., mono-silane) gas at 1 to 20 SCCM (including an appropriate quantity of the doping gas made of B 2 H 6 , PH 3 or the like, if necessary) is fed from the supply duct 41 through the supply port 43 of the shower head 42 , and the gas pressure is set at 10 ⁇ 1 to 10 ⁇ 3 Torr, for example, 10 ⁇ 2 Torr.
  • the hydrogen-based carrier gas may be any gas that is produced by mixing an appropriate quantity of inert gas with hydrogen, for example, hydrogen, hydrogen+argon, hydrogen+helium, hydrogen
  • the hydrogen-base carrier gas is not necessarily required, depending on the type of the material gas. That is, there is known a method for forming polysilicon by catalytic reaction of silane alone without using the hydrogen-based carrier gas (known as a hot wire method), and the present invention can also apply to this method.
  • At least a part of the reaction gas 40 contacts the catalyzer 46 and is catalytically decomposed, thus forming a group of reactive species including ions and radicals such as silicon having high energy, that is, deposition species or their precursors and radical hydrogen ions, by catalytic decomposition or thermal decomposition reaction.
  • a predetermined film of polycrystal silicon or the like is formed by vapor growth on the substrate 1 which is held at the room temperature to 550° C. (e.g., 200 to 300° C.).
  • the reaction gas can be efficiently changed to the reactive species, which can be uniformly deposited on the substrate 1 by thermal CVD using the DC field. Since these deposition species 56 migrate on the substrate 1 and are diffused in the thin film, a minute, flat and uniform thin film having high step coverage can be formed.
  • the production of the thin film is controlled by the independent, arbitrary DC field, in comparison with the temperature of the substrate, the temperature of the catalyzer, the gas pressure (the flow rate of the reaction gas), the type of the material gas or the like as control factors of the conventional catalyzed CVD. Therefore, the tight contact between the produced film and the substrate, the density of the produced film, the uniformity or smoothness of the produced film, burying into a via-hole or the like, and the step coverage are improved and the temperature of the substrate is lowered further to enable stress control of the produced film.
  • a film of high quality for example, a silicon film or metal film having a bulk-like property, can be provided.
  • the reactive species produced by the catalyzer 46 can be independently controlled by the DC field and efficiently deposited on the substrate, it is possible to realize higher utilization efficiency of the reaction gas, a higher production speed, improvement in productivity, and reduction in cost due to reduction in the quantity of the reaction gas.
  • the deposition species have large kinetic energy even when the temperature of the substrate is lowered, an intended film of good quality is obtained. Therefore, the temperature of the substrate can be lowered further as described above and an insulating substrate such as a glass substrate made of boro-silicate glass, alumino-silicate glass or the like, or a heat-resistant resin substrate made of polyimide or the like can be used. It is again possible to realize reduction in cost.
  • the shower head 42 for supplying the reaction gas can be also used as the electrode for accelerating the reactive species, a simple structure may be employed.
  • an apparatus of normal-pressure type is more simple and inexpensive than an apparatus of reduced-pressure type. Since the above-described electric field is applied in the normal-pressure type, too, a film of high quality having excellent density, uniformity and tight contact is provided. In this case, too, the normal-pressure type realizes a greater throughput, higher productivity and greater reduction in cost than the reduced-pressure type.
  • the DC voltage is affected by the gas pressure (the flow rate of the reaction gas) and the type of the material gas. In any case, it is necessary to adjust the DC voltage to an arbitrary voltage not higher than the glow discharge starting voltage. In the case of the normal-pressure type, though there is no discharge, it is desired to adjust the exhaust gas flow so as not to contact the substrate, in order to prevent the flow of the material gas and reactive species from adversely affecting the thickness and quality of the film.
  • the substrate heater 51 may be installed as described above, if necessary.
  • the catalyzer 46 may be in the shape of a coil, mesh, wire or porous plate, it is preferred to provide the catalyzer in a plurality of stages, for example, two to three stages, in the direction of the gas flow so as to increase the contact area with the gas.
  • the substrate 1 is set on the lower surface of the suscepter 45 and thus arranged above the shower head 42 , no particle generated in the deposition chamber 44 will fall and adhere to the substrate 1 and the film thereon.
  • the substrate 1 is taken out of the deposition chamber 44 and a reaction gas 57 of CF 4 , C 2 F 6 , SF 6 , H 2 , NF 3 or the like (with the degree of vacuum equal to 10 ⁇ 2 to several Torr) is fed, as shown in FIG. 4 .
  • a high-frequency voltage 58 or a DC voltage is applied between the suscepter 45 of the substrate 1 and the shower head 42 as the counter-electrode, thereby causing plasma discharge.
  • the plasma-generating voltage in this case is not lower than 1 kV, particularly, several kV to tens of kV, for example, 10 kV.
  • the foreign matters attached to the inner wall surface of the deposition chamber 44 and the respective constituent members such as the suscepter 45 , the shower head 42 , the shutter 47 and the catalyzer 46 at the time of vapor growth can be removed by etching. Since this can be realized when the film forming apparatus for carrying out vapor growth is used as it is, it is not necessary to take out and clean the constituent members from the deposition chamber 44 . Although the catalyzer 46 can be cleaned at the same time (with the catalyzer power source 46 being off), it may be taken out and separately cleaned outside of the deposition chamber 44 .
  • the temperature of the substrate is the room temperature to 550° C., for example, 200 to 300° C.
  • the gas pressure is 10 ⁇ 1 to 10 ⁇ 3 Torr, for example, 10 ⁇ 2 Torr.
  • the degree of vacuum in the deposition chamber 44 is set to 10 ⁇ 6 to 10 ⁇ 8 Torr, and the hydrogen-based carrier gas is supplied at 100 to 200 SCCM.
  • the reaction gas 40 made of the silicon hydride (e.g., mono-silane) gas at 1 to 20 SCCM (including an appropriate quantity of the doping gas made of B 2 H 6 , PH 3 or the like, if necessary) is fed from the supply duct 41 through the supply port 43 of the shower head 42 , and the gas pressure is set at 10 ⁇ 1 to 10 ⁇ 3 Torr, for example, 10 ⁇ 2 Torr.
  • the hydrogen-based carrier gas may be any of hydrogen, hydrogen+argon, hydrogen+neon, hydrogen+helium, hydrogen+xenon, hydrogen+krypton or the like.
  • the substrate 1 is heated from the room temperature to 550° C., for example, 200 to 300° C., by the heater wire 51 in the suscepter 45 , and the catalyzer 46 is heated for activation to a temperature not higher than the melting point, particularly 800 to 2000 C., as a resistance wire in the hydrogen-based carrier gas, for example, by heating a tungsten wire to approximately 1650° C. for activation.
  • the reaction gas 40 is brought in contact with the heated catalyzer 46 of tungsten or the like, and the shutter 47 is opened.
  • At least a part of the reaction gas 40 contacts the catalyzer 46 and is catalytically decomposed, thus forming a group of silicon ions having high energy and radical hydrogen ions, that is, radical deposition species or their precursors and radical hydrogen ions, by catalytic decomposition or thermal decomposition reaction.
  • the polycrystal silicon film 7 is formed by vapor growth on the substrate 1 which is held at the room temperature to 550° C., for example, 200 to 300° C.
  • the polycrystal silicon film 7 with a thickness of approximately 0.1 ⁇ m is thus deposited.
  • the deposition time is calculated from the thickness of the film to be grown.
  • the supply of the material gas is stopped.
  • the hydrogen-based carrier gas is stopped after the temperature of the catalyzer is lowered.
  • the atmospheric pressure is restored and the substrate 1 is taken out. In this case, it is important to use the hydrogen-based carrier gas atmosphere during the rise and fall of the temperature of the catalyzer in order to prevent oxidation and deterioration of the catalyzer.
  • a gate oxide film 8 with a thickness of 350 ⁇ is formed on the surface of the polycrystal silicon film 7 by the DC-bias catalyzed CVD method as described above, during the thermal oxidation at 950° C., or during the supply of an oxygen gas diluted with a helium gas or the supply of a mono-silane gas.
  • the temperature of the substrate, the temperature of the catalyzer and the DC-bias voltage are similar to those described above.
  • the flow rate of the oxygen gas diluted with the helium gas may be 1 to 2 SCCM
  • the flow rate of the mono-silane gas may be 20 SCCM.
  • the flow rate of the hydrogen-based carrier gas may be 150 SCCM.
  • the P-channel MOS transistor portion is masked by a photoresist 9 , and P-type impurity ions, for example, B + 10, in a dosage of 2.7 ⁇ 10 12 atoms/cm 2 are implanted at 30 keV, thereby changing the conductivity of the polycrystal silicon film 7 to P-type so as to form a P-type polycrystal silicon film 11 , as shown in FIG. 5C .
  • P-type impurity ions for example, B + 10
  • the N-channel MOS transistor portion is masked by a photoresist 12 , and N-type impurity ions, for example, P + 13, in a dosage of 1 ⁇ 10 12 atoms/cm 2 are implanted at 50 keV, thereby compensating the P-type of the polycrystal silicon film 7 so as to form a polycrystal silicon film 14 , as shown in FIG. 5D .
  • a phosphorus-doped polycrystal silicon film 15 as a gate electrode material with a thickness of 4000 ⁇ is deposited by the DC-bias catalyzed CVD method (with the temperature of the substrate equal to 200 to 300° C.), during the supply of PH 3 at 2 to 20 SCCM and the mono-silane gas at 20 SCCM, as shown in FIG. 5E .
  • a photoresist 16 is formed in a predetermined pattern, and using this photoresist 16 as a mask, the polycrystal silicon film 15 is patterned into the shape of the electrode, as shown in FIG. 5F .
  • an oxide film 17 is formed on the surface of the gate polycrystal silicon film 15 by oxidation at 900° C. for 60 minutes in an O 2 atmosphere, as shown in FIG. 5G .
  • the P-channel MOS transistor portion is masked by a photoresist 18 , and As + ions 19 as N-type impurity in a dosage of 5 ⁇ 10 15 atoms/cm 2 are implanted at 80 keV.
  • As + ions 19 as N-type impurity in a dosage of 5 ⁇ 10 15 atoms/cm 2 are implanted at 80 keV.
  • an N + -type source region 20 and a drain region 21 of the N-channel MOS transistor are formed.
  • the N-channel MOS transistor portion is masked by a photoresist 22 , and B + ions 23 as P-type impurity in a dosage of 5 ⁇ 10 15 atoms/cm 2 are implanted at 30 keV.
  • B + ions 23 as P-type impurity in a dosage of 5 ⁇ 10 15 atoms/cm 2 are implanted at 30 keV.
  • a SiO 2 film 26 with a thickness of 500 ⁇ at 200° C. during the supply of O 2 diluted with a helium gas at 1 to 2 SCCM and the supply of SiH 4 at 15 to 20 SCCM, and a SiN film 27 with a thickness of 2000 ⁇ at 200° C. during the supply of NH 3 at 50 to 60 SCCM and the supply of SiH 4 at 15 to 20 SCCM, are stacked on the entire surface using the hydrogen-based carrier gas at 150 SCCM as a common carrier gas, as shown in FIG. 5J .
  • a boron- and phosphorus-doped silicate glass (BPSG) film 28 with a thickness of 6000 ⁇ at 200° C. is formed as a reflow film, and the reflow of the BPSG film 28 is carried out at 900° C. in an N 2 atmosphere.
  • BPSG boron- and phosphorus-doped silicate glass
  • a contact window is opened at a predetermined position on the above-described insulation film, and an electrode material such as aluminum with a thickness of 1 ⁇ m at 150° C. is deposited on the entire surface including each contact hole by a sputtering method or the like.
  • the deposited material is patterned to form a source or drain electrode 29 (S or D) and a gate lead-out electrode or wiring 30 of the P-channel MOSTFT and N-channel MOSTFT, thus forming each MOS transistor of a top gate type.
  • an aluminum film may be formed by the DC-bias catalyzed CVD method of the present invention.
  • the degree of vacuum in the deposition chamber 44 is set to 10 ⁇ 6 to 10 ⁇ 8 Torr, and the hydrogen-based carrier gas is supplied at 100 to 200 SCCM.
  • the reaction gas 40 made of the silicon hydride (e.g., mono-silane) gas at 1 to 20 SCCM (including an appropriate quantity of the doping gas made of B 2 H 6 , PH 3 or the like, if necessary) is fed from the supply duct 41 through the supply port 43 of the shower head 42 , and the gas pressure is set at 10 ⁇ 1 to 10 ⁇ 3 Torr, for example, 10 ⁇ 2 Torr.
  • the hydrogen-based carrier gas may be any of hydrogen, hydrogen+argon, hydrogen+neon, hydrogen+helium, hydrogen+xenon, hydrogen+krypton or the like.
  • the substrate 1 is heated to the room temperature to 550° C., for example, 200 to 300° C., by the heater wire 51 in the suscepter 45 , and the catalyzer 46 is heated for activation to a temperature not higher than the melting point, particularly 800 to 2000° C., as a resistance wire in the hydrogen-based carrier gas, for example, by heating a tungsten wire to approximately 1650° C. for activation.
  • the reaction gas 40 is brought in contact with the heated catalyzer 46 of tungsten or the like, and the shutter 47 is opened.
  • At least a part of the reaction gas 40 contacts the catalyzer 46 and is catalytically decomposed, thus forming a group of silicon ions having high energy and radical hydrogen ions, that is, radical deposition species or their precursors and radical hydrogen ions, by catalytic decomposition or thermal decomposition reaction.
  • the polycrystal silicon film 67 is formed by vapor growth on the substrate 1 which is held at the room temperature to 550° C., for example, 200 to 300° C.
  • the polycrystal silicon film 67 with a thickness of approximately 0.1 ⁇ m is thus deposited.
  • the deposition time is calculated from the thickness of the film to be grown.
  • the supply of the material gas is stopped.
  • the hydrogen-based carrier gas is stopped after the temperature of the catalyzer is lowered.
  • the atmospheric pressure is restored and the substrate 1 is taken out. In this case, it is important to use the hydrogen-based carrier gas atmosphere during the rise and fall of the temperature of the catalyzer in order to prevent oxidation and deterioration of the catalyzer.
  • the polycrystal silicon film 67 is patterned using a photoresist mask, thereby forming a transistor active layer of each portion, as shown in FIG. 6B .
  • a gate oxide film 68 with a thickness of 350 ⁇ is formed on the surface of the polycrystal silicon film 67 by the DC-bias catalyzed CVD method as described above, during the thermal oxidation at 950° C., or during the supply of an oxygen gas diluted with a helium gas or the supply of a mono-silane gas.
  • the temperature of the substrate, the temperature of the catalyzer and the DC-bias voltage are similar to those described above.
  • the flow rate of the oxygen gas diluted with the helium gas may be 1 to 2 SCCM
  • the flow rate of the mono-silane gas may be 15 to 20 SCCM.
  • the flow rate of the hydrogen-based carrier gas may be 150 SCCM.
  • predetermined impurity such as B + or P + as described above is carried out for controlling the concentration of the impurity in the channel region of the transistor active layer 67
  • aluminum with a thickness of 4000 ⁇ is deposited as a gate electrode material by sputtering, or a phosphorus-doped polycrystal silicon film as a gate electrode material with a thickness of 4000 ⁇ is deposited by the DC-bias catalyzed CVD method (with the temperature of the substrate equal to 200 to 300° C.), during the supply of the hydrogen-based carrier gas at 150 SCCM, PH 3 at 2 to 20 SCCM and the mono-silane gas at 20 SCCM, as shown in FIG. 6D .
  • the gate electrode material layer is patterned into the shape of a gate electrode 75 .
  • an oxide film may be formed on the surface of the gate polycrystal silicon film 75 by oxidation at 900° C. for 60 minutes in an O 2 atmosphere.
  • the P-channel MOS transistor portion is masked by a photoresist 78 , and As + or P + ions 79 as N-type impurity ions in a dosage of 1 ⁇ 10 15 atoms/cm 2 are implanted at 80 keV.
  • As + or P + ions 79 as N-type impurity ions in a dosage of 1 ⁇ 10 15 atoms/cm 2 are implanted at 80 keV.
  • an N + -type source region 80 and a drain region 81 of the N-channel MOS transistor are formed.
  • the N-channel MOS transistor portion is masked by a photoresist 82 , and B + ions 83 as P-type impurity in a dosage of 5 ⁇ 10 15 atoms/cm 2 are implanted at 30 keV.
  • B + ions 83 as P-type impurity in a dosage of 5 ⁇ 10 15 atoms/cm 2 are implanted at 30 keV.
  • a SiO 2 film with a thickness of 500 ⁇ at 200° C. during the supply of O 2 diluted with He at 1 to 2 SCCM and the supply of SiH 4 at 15 to 20 SCCM, and a SiN film with a thickness of 2000 ⁇ at 200° C. during the supply of NH 3 at 50 to 60 SCCM and the supply of SiH 4 at 15 to 20 SCCM, are stacked on the entire surface using the hydrogen-based carrier gas at 150 SCCM as a common carrier gas, as shown in FIG. 6G .
  • a boron- and phosphorus-doped silicate glass (BPSG) film with a thickness of 6000 ⁇ at 200° C. is formed as a reflow film, and the reflow of this BPSG film is carried out at 900° C. in an N 2 atmosphere.
  • BPSG boron- and phosphorus-doped silicate glass
  • These insulation films are stacked to form an interlayer insulation film 86 .
  • Such interlayer insulation film may also be formed by a method different from the above-described method, for example, by a plasma CVD method.
  • a contact window is opened at a predetermined position on the above-described insulation film 86 , and an electrode material such as aluminum with a thickness of 1 ⁇ m at 150° C. is deposited on the entire surface including each contact hole by a sputtering method or the like.
  • the deposited material is patterned to form a source electrode 87 of the N-channel MOSTFT of the pixel portion, and source electrodes 88 , 90 and drain electrodes 89 , 91 of the P-channel MOSTFT and the N-channel MOSTFT of the peripheral circuit portion.
  • an aluminum film may be formed by the DC-bias catalyzed CVD method of the present invention.
  • ITO indium tin oxide
  • radical deposition species of high energy or their precursors and ions might be generated in the reaction gas due to catalytic action of a catalyzer 46 , and charge up the substrate 1 , thus causing unevenness in the film formation and deterioration in the performance of the film or device.
  • the charges on the substrate 1 can be neutralized to enable satisfactory prevention of the charge-up.
  • the substrate 1 is made of an insulation material, electric charges tend to be accumulated. Therefore, the use of the electron shower 100 turns out to be effective.
  • a third embodiment of the present invention will now be described with reference to FIG. 8 .
  • a mesh electrode 101 for accelerating reactive species is provided between a substrate 1 and a catalyzer 46 as shown in FIG. 8 , in the DC-bias catalyzed CVD method and the device therefor of the first embodiment.
  • a plurality of mesh electrodes 101 a and 101 b having gas passage holes 101 c are provided between the substrate 1 and the catalyzer 46 , and a DC voltage 49 not higher than 1 kV is applied between them, thereby providing kinetic energy in the direction toward the substrate 1 to the reactive species generated by decomposition of the reaction gas due to the catalyzer 46 as described above. Therefore, in addition to the effect similar to that of the first embodiment, an accelerating electrode which is designed and processed in advance can be easily inserted as the mesh electrode 101 into the gap between the substrate 1 and the catalyzer 46 , and the accelerating electrode can be arranged after it is processed into a shape for improving the acceleration efficiency. It is desired that the mesh electrode 101 and the shower head 42 are made of a material having high heat resistance property, and preferably having the same melting point as that of the catalyzer 46 or higher.
  • a fourth embodiment of the present invention will now be described with reference to FIG. 9 .
  • the present embodiment is different from the third embodiment in that one mesh electrode 101 a for acceleration is provided between a catalyzer 46 and a shower head 42 while the other mesh electrode 101 b for acceleration is provided between a substrate 1 and a catalyzer 46 .
  • the mesh electrodes 101 a and 101 b exist on both sides of the catalyzer 46 , it is easy to direct the generated reactive species toward the substrate 1 . It is desired that the mesh electrodes 101 a and 101 b are made or a material having high heat resistance property, and preferably having the same melting point as that of the catalyzer 46 or higher.
  • FIGS. 10 and 11 A fifth embodiment of the present invention will now be described with reference to FIGS. 10 and 11 .
  • the above-described accelerating electrode 101 is formed in the shape of a porous plate as shown in FIG. 10 or in a mesh-shape as shown in FIG. 11 so as to realize an efficient acceleration effect without preventing the gas flow.
  • Such shape is similarly applicable to a catalyzer 46 .
  • FIG. 12 A sixth embodiment of the present invention will now be described with reference to FIG. 12 .
  • an air passage hole 102 is formed in a suscepter 45 to lead an exhaust gas 103 from the peripheral region of a substrate 1 upward, as shown in FIG. 12 , and toward an exhaust port, not shown, so as to prevent the exhaust gas flow contacting the film on the substrate 1 .
  • the device is operated under the normal pressure, a film of high quality having no contamination can be formed on the substrate 1 . Since the device is of the normal-pressure type, it has a simple structure and improved throughput.
  • a seventh embodiment of the present invention will now be described with reference to FIGS. 13 to 17 .
  • the substrate 1 is arranged above the shower head 42 .
  • the present embodiment is different in that the substrate 1 is arranged under the shower head 42 , as shown in FIG. 13 .
  • the other parts of the structure and the operating method are the same as those of the foregoing embodiments. Therefore, basically the same advantages as those of the first embodiment are provided.
  • a normal-pressure type device may be employed as a specific exemplary structure.
  • a plurality of substrates 1 are arranged via a rotatable stage 104 on a suscepter 45 having a rotatable heater, and a reaction gas 40 is supplied from a rotatable shower head 42 having a duct/rotating shaft 105 in the center hole of the suscepter.
  • reactive species produced by a catalyzer 46 are deposited to form films on the substrates 1 in a DC field generated by a DC power source 49 .
  • the exhaust gas is led downward from the peripheral region of the suscepter 45 .
  • the films are formed by accelerating the reactive species toward the substrates while rotating the plurality of substrates 1 and the shower head 42 , high productivity is realized and the uniform distribution of the gas improves the uniformity of the produced films.
  • a rotation/revolution type is employed in which a suscepter 45 having a rotatable heater 106 revolves around a conical buffer 107 , and substrates 1 are fixed on the respective suscepters 45 .
  • a reaction gas 40 is supplied from a shower head 42 above a conical belljar 108 , and reactive species produced by a catalyzer 46 are accelerated by a DC voltage applied to mesh electrodes 101 as shown in FIG. 12 , thereby forming films on the substrates 1 .
  • the films are formed by accelerating the reactive species toward the substrates while causing the plurality of substrates 1 to rotate and revolve in the conical belljar, high productivity is realized and the uniform distribution of the gas improves the uniformity of the produced films.
  • FIG. 16 shows another example of the continuous normal-pressure film forming device.
  • a substrate 1 is arranged on a carrier belt 109 and a reaction gas 40 is supplied from a shower head 42 .
  • Reactive species produced by a catalyzer 46 are accelerated by a DC voltage applied to a mesh electrode 101 as shown in FIG. 8 , thereby forming a film on the substrate 1 . Since an exhaust gas 103 is led upward from the substrate 1 , there is no problem of contamination of the produced film.
  • the film forming device of the present embodiment selectively uses, for example, five chambers which are capable of sequentially forming films.
  • the device is adapted for forming an entire film, for example, a multilayer-insulation film as shown in FIG. 5J , by stacking various films.
  • a substrate 1 is vacuum-sucked to a suscepter 45 and is loaded into a loading section 111 by a robot 110 of a loading station. Then, the substrate 1 is sequentially sent to each chamber by a dispersion head 112 , during which formation of a film is carried out in the face-down state where the substrate surface faces downward as shown in FIG. 1 .
  • the above-described catalyzer 46 and accelerating electrode are not shown in the drawing.
  • This embodiment is advantageous for formation of a multilayer film. Since a heat source of the substrate 1 is located above, there is less convection effect. Also, since the substrate 1 faces downward, attachment of particles thereto can be restrained.
  • the film can be formed at a much lower temperature than in an epitaxial growth device. Since no corrosive gas is used, the design of the chamber is easier.
  • FIGS. 18 and 19 A ninth embodiment of the present invention will now be described with reference to FIGS. 18 and 19 .
  • a reaction gas made of a hydrogen-based carrier gas and a material gas such as a silane gas or the like, is brought in contact with a heated catalyzer made of tungsten or the like, and an electric field of not higher than a glow discharge starting voltage is caused to act on the radical deposition species or its precursor thus produced and radical hydrogen ions, thus providing kinetic energy.
  • a predetermined film of polycrystal silicon or the like is formed by vapor growth on an insulating substrate.
  • a voltage which is produced by superimposing a high-frequency voltage onto a DC voltage and is not higher than the glow discharge starting voltage (a voltage determined by the Paschen's law, for example, a voltage not higher than 1 kV) is applied between the substrate and a counter-electrode, thus directing the radical deposition species or its precursor and radical hydrogen ions toward the substrate, and providing kinetic energy with minutes changes of the electric field.
  • the CVD method of the present embodiment is referred to as an RF/DC-bias catalyzed CVD method.
  • This RF/DC-bias catalyzed CVD method is carried out using a film forming device as shown in FIGS. 18 and 19 .
  • a reaction gas made of a hydrogen-based carrier gas and a material gas 40 of silicon hydride (such as mono-silane) (also including an appropriate quantity of a doping gas of B 2 H 6 , PH 3 or the like, if necessary), is fed from a supply duct 41 to a deposition chamber 44 through a supply port of a shower head 42 , as described in FIGS. 1 to 3 .
  • a suscepter 45 for supporting a substrate 1 made of glass or the like, the shower head 42 having high heat resistance property and made of a material preferably having the same melting point as a catalyzer 46 or higher, the coil-shaped catalyzer 46 made of tungsten or the like, and a shutter 47 that can be open/closed are arranged inside the deposition chamber 44 .
  • a magnetic seal is provided between the suscepter 45 and the deposition chamber 44 .
  • the deposition chamber 44 is connected to the end of a previous chamber for carrying out a previous step, and is exhausted via a valve by a turbo-molecular pump or the like.
  • the substrate 1 is heated to the room temperature to 550° C., for example, 200 to 300° C., by heating means such as a heater wire in the suscepter 45 , and the catalyzer 46 is heated in the hydrogen-based carrier gas for activation to a temperature not higher than the melting point, particularly 800 to 2000° C., as a resistance wire, and approximately 1600 to 1700° C. in the case of tungsten.
  • Both terminals of the catalyzer 46 are connected to a DC or AC catalyzer power source 48 and are heated to a predetermined temperature by electrification from the power source.
  • the shower head 42 is connected as an accelerating electrode to the positive electrode side of a variable DC power source (not higher than 1 kV, for example, 500 V) 49 from the duct 41 via a low-pass (high-frequency) filter 113 , and is also connected to a high-frequency power source 115 (100 to 200 V P—P and 1 to 100 MHZ, for example, 150 V P—P and 13.56 MHZ) via a matching circuit 114 .
  • a DC-bias voltage with a high-frequency voltage superimposed thereon, not higher than 1 kV is applied between the shower head 42 and the suscepter 45 supporting the substrate 1 .
  • the degree of vacuum in the deposition chamber 44 is set at 10 ⁇ 6 to 10 ⁇ 8 Torr.
  • the substrate is heated to 200 to 300° C., and the reaction gas 40 made of the hydrogen-based carrier gas and the material gas of a silane gas or the like is fed from the supply port of the shower head 42 .
  • the gas pressure is set at 10 ⁇ 2 to 10 ⁇ 3 Torr, for example, 10 ⁇ 2 Torr, and at the same time, the reaction gas is brought into contact with the catalyzer 46 of tungsten or the like heated to 800 to 2000° C., for example, 1650° C. Then, the shutter 47 is opened as shown in FIG. 19 .
  • At least a part of the reaction gas 40 contacts the catalyzer 46 and is catalytically decomposed, thus forming a group of reactive species including ions and radicals such as silicon having high energy, that is, radical deposition species or their precursors and radical hydrogen ions, by catalytic decomposition or thermal decomposition reaction.
  • reactive species including ions and radicals such as silicon having high energy, that is, radical deposition species or their precursors and radical hydrogen ions
  • a predetermined film of polycrystal silicon or the like is formed by vapor growth on the substrate 1 which is held at the room temperature to 550° C., for example, 200 to 300° C.
  • the reaction gas can be efficiently changed to the reactive species, which can be uniformly deposited on the substrate 1 by thermal CVD using the (DC+high frequency) field.
  • a semiconductor film of minute (high-density), flat and uniform polycrystal silicon or the like having high step coverage, a metal film made of aluminum or copper, or an insulation thin film made of silicon nitride or the like can be formed in tight contact with the surface of the substrate having a complicated shape with steps and a via-hole of a high aspect ratio like a very-large-scale integrated circuit (VLSI).
  • VLSI very-large-scale integrated circuit
  • the production of the thin film is controlled by the independent, arbitrary (DC+high frequency) field, in comparison with the temperature of the substrate, the temperature of the catalyzer, the gas pressure (the flow rate of the reaction gas), the type of the material gas or the like as control factors of the conventional catalyzed CVD. Therefore, the tight contact between the produced film and the substrate, the density of the produced film, the uniformity or smoothness of the produced film, burying into a via-hole or the like, and the step coverage are improved and the temperature of the substrate is lowered further to enable stress control of the produced film.
  • a film of high quality for example, a silicon film or metal film having a bulk-like property
  • the reactive species produced by the catalyzer 46 can be independently controlled by the (DC+high frequency) field and efficiently deposited on the base, it is possible to realize higher utilization efficiency of the reaction gas, a higher production speed, improvement in productivity, and reduction in cost due to reduction in the quantity of the reaction gas.
  • the deposition species have large kinetic energy even when the temperature of the substrate is lowered, an intended film of good quality is obtained. Therefore, the temperature of the substrate can be lowered further as described above and an insulating substrate such as a glass substrate made of boro-silicate glass, alumino-silicate glass or the like, or a heat-resistant resin substrate made of polyimide or the like can be used. It is again possible to realize reduction in cost.
  • the shower head 42 for supplying the reaction gas can be also used as the electrode for accelerating the reactive species, a simple structure may be employed.
  • an apparatus of normal-pressure type is more simple and inexpensive than an apparatus of reduced-pressure type. Since the above-described electric field is applied in the normal-pressure type, too, a film of high quality having excellent density, uniformity and tight contact is provided. In this case, too, the normal-pressure type realizes a greater throughput, higher productivity and greater reduction in cost than the reduced-pressure type.
  • the (DC+high frequency) voltage is affected by the gas pressure (the flow rate of the reaction gas) and the type of the material gas. In any case, it is necessary to adjust the DC voltage to an arbitrary voltage not higher than the glow discharge starting voltage. In the case of the normal-pressure type, though there is no discharge, it is desired to adjust the exhaust gas flow so as not to contact the substrate, in order to prevent the flow of the material gas and reactive species from adversely affecting the thickness and quality of the film.
  • the substrate heater 51 may be installed as described above, if necessary. While the catalyzer 46 is in the coil-shape (it may also be in the shape of a mesh or porous plate), it is preferred to provide the catalyzer in a plurality of stages, for example, two to three stages, in the direction of the gas flow so as to increase the contact area with the gas. In this CVD, since the substrate 1 is set on the lower surface of the suscepter 45 and thus arranged above the shower head 42 , no particle generated in the deposition chamber 44 will fall and adhere to the substrate 1 and the film thereon.
  • the substrate 1 is taken out of the deposition chamber 44 and a reaction gas 57 of CF 4 , C 2 F 6 , SF 6 , H 2 , NF 3 or the like (with the degree of vacuum equal to 10 ⁇ 2 to several Torr) is fed, as shown in FIG. 4 .
  • a high-frequency voltage 58 or a DC voltage is applied between the suscepter 45 of the substrate 1 and the shower head 42 as the counter-electrode, thereby causing plasma discharge.
  • the plasma-generating voltage in this case is not lower than 1 kV, particularly, several kV to tens of kV, for example, 10 kV.
  • the RF/DC-bias catalyzed CVD method in place of the DC-bias catalyzer CVD method can be applied to the manufacture of a MOSTFT and the manufacture of a liquid crystal display device (LCD) shown in FIGS. 5 and 6 .
  • a switch 116 may be provided on the previous stage of the matching circuit 114 , as indicated by a dotted line in FIGS. 18 and 19 , so that the switch 116 is turned on to carry out the above-described RF/DC-bias catalyzed CVD method. If the switch 116 is turned off, the DC-bias catalyzed CVD method of the first embodiment for actuating only the DC power source 49 can be carried out.
  • radical deposition species of high energy or their precursors and ions might be generated in the reaction gas due to catalytic action of a catalyzer 46 , and charge up the substrate 1 , thus causing unevenness in the film formation and deterioration in the performance of the film or device.
  • the charges on the substrate 1 can be neutralized to enable satisfactory prevention of the charge-up.
  • the substrate 1 is made of an insulation material, electric charges tend to be accumulated. Therefore, the use of the electron shower 100 turns out to be effective.
  • a suscepter 45 having a mesh electrode 101 for acceleration and an air passage hole 102 as described in the third to sixth embodiments, the similar effect can be provided.
  • the substrate 1 is arranged above the shower head 42 .
  • the present embodiment is different in that the substrate 1 is arranged under the shower head 42 , as shown in FIG. 13 .
  • the other parts of the structure and the operating method are the same as those of the foregoing embodiments. Therefore, basically the same advantages as those of the ninth embodiment are provided.
  • a numeral 101 represents a mesh electrode, and a DC voltage having a high-frequency voltage superimposed thereon is applied between the mesh electrode or the shower head 42 and the substrate 1 .
  • a normal-pressure type device may be employed as a specific exemplary structure, which may be applied to a film forming device of the structure shown in FIGS. 14 to 17 .
  • a reaction gas made of a hydrogen-based carrier gas and a material gas such as a silane gas or the like, is brought in contact with a heated catalyzer made of tungsten or the like, and an electric field of not higher than a glow discharge starting voltage is caused to act on the radical deposition species or its precursor thus produced and radical hydrogen ions, thus providing kinetic energy.
  • a predetermined film of polycrystal silicon or the like is formed by vapor growth on an insulating substrate.
  • a voltage which is produced by superimposing a low-frequency voltage onto a DC voltage and is not higher than the glow discharge starting voltage that is, a voltage determined by the Paschen's law, for example, a voltage not higher than 1 kV, is applied between the substrate and a counter-electrode, thus directing the radical deposition species or its precursor and radical hydrogen ions toward the substrate, and providing kinetic energy with changes of the electric field.
  • the CVD method of the present embodiment is referred to as an AC/DC-bias catalyzed CVD method.
  • This AC/DC-bias catalyzed CVD method is carried out using a film forming device which uses a low-frequency power source 125 in place of the high-frequency power source 115 of the ninth-embodiment, with the other parts of the structure being the same as those of the ninth embodiment, as shown in FIG. 22 .
  • the shower head 42 is connected as an accelerating electrode to the positive electrode side of a variable DC power source (not higher than 1 kV, for example, 500 V) 49 via the duct 41 (the above-described low-pass filter 113 can be omitted), and is also connected to the low-frequency power source 125 (100 to 200 V P—P and not higher than 1 MHZ, for example, 150 V P—P and 26 kHz) via a matching circuit 114 .
  • a DC-bias voltage with a low-frequency voltage superimposed thereon, not higher than 1 kV is applied between the shower head 42 and the suscepter 45 supporting the substrate 1 .
  • the reaction gas can be efficiently changed to the reactive species, which can be uniformly deposited on the substrate 1 by thermal CVD using the (DC+low frequency) field.
  • a semiconductor film of minute (high-density), flat and uniform polycrystal silicon or the like having high step coverage, a metal film made of aluminum or copper, or an insulation thin film made of silicon nitride or the like can be formed in tight contact with the surface of the substrate having a complicated shape with steps and a via-hole of a high aspect ratio like a very-large-scale integrated circuit (VLSI).
  • VLSI very-large-scale integrated circuit
  • the substrate 1 is taken out of the deposition chamber 44 and a reaction gas 57 of CF 4 , C 2 F 6 , SF 6 , H 2 , NF 3 or the like (with the degree of vacuum equal to 10 ⁇ 2 to several Torr) is fed, as shown in FIG. 4 .
  • a high-frequency voltage 58 or a DC voltage is applied between the suscepter 45 of the substrate 1 and the shower head 42 as the counter-electrode, thereby causing plasma discharge.
  • the inside of the deposition chamber 44 can be cleaned.
  • the AC/DC-bias catalyzed CVD method in place of the DC-bias catalyzer CVD method can be applied to the manufacture of a MOSTFT and the manufacture of a liquid crystal display device (LCD) shown in FIGS. 5 and 6 .
  • a switch 116 may be provided on the previous stage of the matching circuit 114 , as indicated by a dotted line in FIG. 22 , so that the switch 116 is turned on to carry out the above-described AC/DC-bias catalyzed CVD method. If the switch 116 is turned off, the DC-bias catalyzed CVD method of the first embodiment for actuating only the DC power source 49 can be carried out.
  • FIGS. 7 , 8 and 9 may be applied to the AC/DC-bias catalyzed CVD method of the present embodiment, so as to irradiate with electron beams for neutralizing electric charges or to use the mesh electrode as an accelerating electrode.
  • a thirteenth embodiment of the present invention will now be described with reference to FIG. 23 .
  • various material gases are used in the above-described embodiments, thus forming various thin films corresponding to the material gases.
  • any of the above-described DC-bias, RF/DC-bias and AC/DC-bias catalyzed CVD methods is applicable.
  • a predetermined shape of step is formed at a predetermined position on the surface of the insulating substrate by means of dry etching or the like, and with the bottom corner of this step as a seed, deposition of single-crystal silicon, that is, so-called grapho-epitaxial growth, can be carried out at a lower temperature by the catalyzed CVD method during the application of the DC-bias, AC/DC-bias, or RF/DC-bias field of the present invention.
  • hetero epitaxial growth that is, deposition of single-crystal silicon, can be carried out at a lower temperature, using the produced layer as a seed, by the catalyzed CVD method during the application of the DC-bias, AC/DC-bias, or RF/DC-bias field of the present invention.
  • a material having good lattice matching with single-crystal silicon for example, a crystalline sapphire layer, or a spinel structure such as a layer of magnesia spinel (MgO ⁇ Al 2 O 3 ) or calcium fluoride (CaF 2 )
  • hetero epitaxial growth that is, deposition of single-crystal silicon, can be carried out at a lower temperature, using the produced layer as a seed, by the catalyzed CVD method during the application of the DC-bias, AC/DC-bias, or RF/DC-bias field of the present invention.
  • a substrate of low cost and good property such as a glass substrate having a relatively low strain point that can be easy to obtain can be used, thereby enabling increase in the size of the substrate.
  • the crystalline sapphire layer serves as a diffusion barrier against various atoms, diffusion of impurity from the glass substrate can be restrained.
  • the electron mobility of such silicon single-crystal thin film is not lower than 540 cm 2 /v ⁇ sec, which is as large a value as that of a silicon substrate.
  • semiconductor devices such as high-performance diode, capacitor and resistor, or an electronic circuit formed by integrating these devices, can be prepared on an insulating substrate of a heat-resistant resin substrate or a glass substrate.
  • a method of applying a positive electrode potential to the accelerating electrode and applying a negative electrode or ground potential to the suscepter (substrate), as shown in FIG. 24A , or a method of applying a ground potential to the accelerating electrode and applying a negative electrode potential to the suscepter (substrate), as shown in FIG. 24B , may be employed.
  • the application of the electric field can be carried out, using only a high-frequency AC voltage, or only a low-frequency AC voltage, or an AC voltage produced by superimposing a high-frequency AC voltage on a low-frequency AC voltage.
  • the absolute value of the AC voltage is not higher than the glow discharge starting voltage.
  • a voltage produced by superimposing a high-frequency AC voltage and a low-frequency AC voltage onto a DC voltage may be used.
  • the absolute value of the voltage is not higher than the glow discharge starting voltage. This voltage may be varied during the formation of the film.
  • the quality of the film may be detected during the formation of the film.
  • the value of the current at the characteristic value during the application of the electric field may be fed back to the power source for the application of the electric field, the power source for the heat catalyzer, or the mass flow controller of the gas supply system, so as to provide constantly uniform quality of the film.
  • a reaction gas is brought in contact with a heated catalyzer and an electric field of not higher than a glow discharge starting voltage is caused to act on the produced reactive species so as to provide directional kinetic energy, thus forming a predetermined film on a base by vapor growth. Therefore, since the reactive species are provided with a catalytic action of the catalyzer and its thermal energy as well as an acceleration field due to the voltage, large directional kinetic energy is provided. Thus, the reactive species can be efficiently led onto the base, and sufficient migration on the base and diffusion in the film during the formation process are realized.

Abstract

A reaction gas made of a hydrogen-based carrier gas and a silane gas or the like is brought in contact with a heated catalyzer of tungsten or the like, and a DC voltage not higher than a glow discharge starting voltage or a voltage produced by superimposing an AV voltage or an RF voltage on the DC voltage is applied on the produced reactive species, so as to provide kinetic energy and carry out vapor growth of a predetermined film on a substrate, thereby providing a film of high quality.

Description

TECHNICAL FIELD
This invention relates to a film forming method and a film forming apparatus for vapor growth of a predetermined film made of polycrystal silicon or the like.
BACKGROUND ART
Conventionally, a chemical vapor deposition (CVD) method for a polycrystal silicon layer has been used in manufacturing a metal-insulator-semiconductor field effect transistor (MISFET), for example, a MIS thin film transistor (MISTFT), in which a polycrystal silicon layer formed on a substrate is used as source, drain and channel regions.
In the case of forming a polycrystal silicon layer of this type by the ordinary CVD method, reactive species which are produced by decomposition of a material gas in a vapor phase reach the substrate and react on the substrate, thereby forming a film. Alternatively, the reactive species react in a region very close to the surface of the substrate and are deposited thereon. In order for the film to be produced and epitaxially grow, the reactive species must migrate on the surface of the substrate.
In a plasma CVD method known as a CVD method, a two-frequency method for utilizing plasma potential control with the action of a high-frequency field or for applying a low-frequency bias field is used to control the migration or the kinetic energy of deposition species. In an ion cluster beam (ICB) method, an acceleration voltage is controlled.
These film forming methods have problems as follows.
First, in the case of the plasma CVD method, the use of plasma leads to the following drawbacks.
(1) Lack of uniformity and fluctuation of a plasma field, and a non-uniform electric field in plasma-induced electric charges are generated. These may cause damages and short circuits t the transistor (e.g., charge-up or discharge breakdown of a gate oxide film, discharge between wirings, and the like). Particularly, such phenomenon tends to occur at the time of switching on/off the plasma.
(2) There is a possibility of ultraviolet damage due to light emission from the plasma.
(3) Plasma discharge is difficult in a large area, and occurrence of a standing wave makes it difficult to realize uniformity.
(4) The device is complicated and expensive and requires complicated maintenance work.
In the case of the ICB method, too, since cluster ions are led onto the substrate through an aperture of an accelerating electrode so as to collide with the substrate, it is difficult to realize uniformity and to form a film of a large area, that is, a film on a large substrate.
On the other hand, the catalyzed CVD method disclosed in the Japanese Publication of Unexamined Patent Application No. S63-40314 draws attention as an excellent CVD method which enables formation of a polycrystal silicon film or a silicon nitride film at a low temperature on an insulating substrate such as a glass substrate.
According to the catalyzed CVD method, for example, a silane gas is brought in contact with a heated metal catalyzer and is thus decomposed, thereby forming reactive species having high energy, for example, a radical silicon molecule or a group of molecules, a silicon atom or a group of atoms, and a radical hydrogen ion. These are brought in contact with the substrate so as to react and be deposited thereon. Therefore, a silicon film can be deposited in a large area at a temperature lower than the deposition temperature of the ordinary thermal CVD method and without using plasma.
In the catalyzed CVD method as described above, formation of a film is controlled by a relatively small number of parameters such as the temperature of the substrate, the temperature of the catalyzer, the gas pressure or the flow rate of the reaction gas. Although this proves that the catalyzed CVD method is a simple method, particularly the momentum of deposition species can only be controlled in accordance with the kinetic theory of gases. That is, the migration or the kinetic energy of deposition species is only the thermal energy in vacuum. Since it depends exclusively on the thermal energy, lowering of the deposition temperature is restricted. Therefore, it is difficult to use a plastic film substrate having a poor heat resistance property and the degree of freedom in selection of the substrate material is limited. Also, since the control of the momentum of deposition species is insufficient, burying of a metal for connection into a via-hole (through-hole for connection between wirings) having a particularly large aspect ratio and the step coverage tend to be insufficient.
SUMMARY OF THE INVENTION
In view of the foregoing status of the art, it is an object of the present invention to provide a film forming method which controls the kinetic energy of reactive species (deposition species and their precursors) and radical ions like silicon ions of high energy or radical hydrogen ions while utilizing the advantages of the above-described catalyzed CVD method, thereby enabling improvement in tight contact between a produced film and a substrate, improvement in the density of the produced film, improvement in the forming speed, improvement in the smoothness of the produced film, improvement in the burying property into a via-hole and the step coverage, further lowering of the temperature of the substrate, and stress control for the produced film without damaging the substrate, and thus enabling a film of high quality, and a film forming apparatus used for this method.
In a film forming method according to the present invention, a reaction gas is brought into contact with a heated catalyzer and an electric field of not higher than a glow discharge starting voltage is caused to act on the produced reactive species, thereby providing kinetic energy and carrying out vapor growth of a predetermined film on a substrate.
A film forming apparatus according to the present invention includes reaction gas supply means, a catalyzer, heating means for the catalyzer, electric field application means for applying an electric field of not higher than a glow discharge starting voltage, and a suscepter for supporting a base on which a film to be formed.
In the film forming method and apparatus according to the present invention, a reaction gas is brought into contact with a heated catalyzer as in the conventional catalyzed CVD method, and in depositing the produced deposition species or their precursors and radical ions onto the base, an electric field of not higher than a glow discharge starting voltage, that is, an electric field of not higher than a plasma generation voltage in accordance with the Paschen's law, is caused to act to provide kinetic energy. Therefore, the film forming method and apparatus has the following advantages.
(1) A directional acceleration field with the above-described voltage as well as the catalytic action of the catalyzer and its thermal energy are applied to the deposition species or their precursors and the radical ions. Therefore, the kinetic energy is increased and the deposition species or the like can be efficiently led onto the base. Also, sufficient migration on the base and sufficient diffusion in a film in the process of formation are realized. Thus, since the kinetic energy of the reactive species generated by the catalyzer can be controlled independently for each electric field in comparison with the conventional catalyzed CVD method, it is possible to realize improvement in tight contact between the produced film and the case improvement in the density of the produced film, uniformity or improvement in the smoothness of the produced film, improvement in the burying property into the via-hole and the step coverage, further lowering of the temperature of base, and stress control for the produced film, and a film of high quality such as a silicon film or a metal film having a bulk-like property can be obtained.
(2) Since no plasma is generated, there is no damage due to plasma and a film of low stress is provided.
(3) Since the reactive species generated by the catalyzer can be controlled independently for each electric field and can be efficiently deposited on the base, high utilization efficiency of the reaction gas, a higher forming speed and reduction in cost can be realized.
(4) A much more simple and inexpensive apparatus is realized in comparison with the plasma CVD method. In this case, though operation can be done under a reduced pressure or under a normal pressure, an apparatus of normal-pressure type is more simple and inexpensive than an apparatus of reduced-pressure type.
(5) Since the above-described electric field is applied in the normal-pressure type, too, a film of high quality having excellent density, uniformity and tight contact is provided. In this case, too, the normal-pressure type realizes a greater throughput, higher productivity and greater reduction in cost than the reduced-pressure type.
(6) Even when the temperature of the base is lowered, the large kinetic energy of the reactive species enables formation of a film of good quality. therefore, the temperature of the base can be further lowered and a large and inexpensive insulating substrate such as a glass substrate or a heat-resistant resin substrate can be used to reduce the cost.
The other objects and specific advantages of the present invention will be clarified by the following description of the embodiments.
BRIEF DESCRIPTION OF THE DRAWINGS
FIG. 1 is a schematic cross-sectional view showing a DC-bias catalyzed CVD device according to a first embodiment of the present invention.
FIG. 2 is a schematic cross-sectional view showing the catalyzed CVD device at the time of CVD.
FIG. 3 is a schematic cross-sectional view showing the catalyzed CVD device further in detail.
FIG. 4 is a schematic cross-sectional view showing the catalyzed CVD device at the time of cleaning.
FIGS. 5A to 5K are cross-sectional views showing a manufacturing process for an MOSTFT using the catalyzed CVD device, in the order of process steps.
FIGS. 6A to 6I are cross-sectional views showing a manufacturing process for an LCD using the catalyzed CVD device, in the order of process steps.
FIG. 7 is a schematic cross-sectional view showing essential parts of a DC-bias catalyzed CVD device according to a second embodiment of the present invention.
FIG. 8 is a schematic cross-sectional view showing essential parts of a DC-bias catalyzed CVD device according to a third embodiment of the present invention.
FIG. 9 is a schematic cross-sectional view showing essential parts of a DC-bias catalyzed CVD device according to a fourth embodiment of the present invention.
FIG. 10 is a schematic perspective view showing an accelerating electrode used for a DC-bias catalyzed CVD device according to a fifth embodiment of the present invention.
FIG. 11 is a schematic perspective view showing another example of the accelerating electrode used for the DC-bias catalyzed CVD device according to a fifth embodiment of the present invention.
FIG. 12 is a schematic cross-sectional view showing essential parts of a DC-bias catalyzed CVD device according to a sixth embodiment of the present invention.
FIG. 13 is a schematic cross-sectional view showing essential parts of a DC-bias catalyzed CVD device according to a seventh embodiment of the present invention.
FIG. 14 is a schematic cross-sectional view showing essential parts of another DC-bias catalyzed CVD device.
FIG. 15 is a schematic cross-sectional view showing another DC-bias catalyzed CVD device.
FIG. 16 is a schematic cross-sectional view showing another DC-bias catalyzed CVD device.
FIG. 17 is a schematic plan view showing essential parts of still another DC-bias catalyzed CVD device.
FIG. 18 is a schematic cross-sectional view showing an RF/DC-bias catalyzed CVD device according to a ninth embodiment of the present invention.
FIG. 19 is a schematic cross-sectional view showing the catalyzed CVD device at the time of CVD.
FIG. 20 is a schematic cross-sectional view showing essential parts of an RF/DC-bias catalyzed CVD device according to a tenth embodiment of the present invention.
FIG. 21 is a schematic cross-sectional view showing essential parts of an RF/DC-bias catalyzed CVD device according to an eleventh embodiment of the present invention.
FIG. 22 is a schematic cross-sectional view showing an AC/DC-bias catalyzed CVD device according to a twelfth embodiment of the present invention.
FIG. 23 shows a combination of various material gases and produced films in DC, RF/DC or AC/DC-bias catalyzed CVD according to a thirteenth embodiment of the present invention.
FIGS. 24A and 24B are schematic views showing various voltage application methods at the time of bias catalyzed CVD according to the present invention.
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
The film forming method according to the present invention and the film forming apparatus used for this method will now be described with reference to the drawings.
In the present invention, it is desired to apply a DC voltage of not higher than a glow discharge starting voltage, that is, a voltage not higher than a plasma-generating voltage determined by the Paschen's law, for example, a voltage of not higher than 1 kV and not less than tens of V, as the above-described electric field, and to direct the above-described reactive species toward the base.
As a voltage which is not higher than the glow discharge starting voltage and is produced by superimposing an AC voltage on the DC voltage, that is, a voltage not higher than the plasma-generating voltage determined by the Paschen's law, for example, a voltage of not higher than 1 kV and not less than tens of V is applied, the kinetic energy with a minute change in the electric field due to the AV voltage superimposed on the DC voltage can be provided for the reactive species. Therefore, in addition to the above-described effect, a uniform film having highly tight contact and high density can be formed which provides good step coverage on the base surface of a complicated shape having steps and a via-hole of a high aspect ratio. The same advantages can also be realized when only a high-frequency AC voltage, or only a low-frequency AC voltage, or a voltage produced by superimposing a high-frequency AC voltage on a low-frequency AC voltage is applied as the voltage forming the electric field (its absolute value is not higher than the glow discharge starting voltage).
In the above-described case, the AC voltage may be a high-frequency voltage (RF, VHF, UHF, microwave) and/or a low-frequency voltage (AC). However, it is preferred that the frequency of the high-frequency voltage is 1 MHZ to 10 GHz and that the frequency of the low-frequency voltage is less than 1 MHZ.
For application of the electric field, a method of applying a positive electrode potential to an electrode and applying a negative electrode (or ground) potential to a suscepter (substrate), or a method of applying a ground potential to the electrode and applying a negative electrode potential to the suscepter (substrate) may be employed. The method may be determined in accordance with the structure of the apparatus, the type of the power source, and the bias effect.
In the film forming method and the film forming apparatus according to the present invention, a catalyzer can be installed between the base or suscepter and the electrode for applying the electric field. In this case, it is preferred to form a gas supply port for leading out a reaction gas at the electrode.
Also, the catalyzer and the electrode for applying the electric field may be installed between the base or suscepter and the reaction gas supply means. It is desired that this electrode is made of a high heat-resistant material such as a material having the same melting point as the catalyzer or a higher melting point. (This applies to the following description.)
The catalyzer or the electrode for applying the electric field may be formed in the shape of a coil, wire, mesh or porous plate, and a plurality of such catalyzers or electrodes may be provided along the gas flow. Thus, the gas flow can be effectively formed and the contact area between the catalyzer and the gas can be increased to generate sufficient catalytic reaction. In the case where the plurality of catalyzers or electrodes are provided along the gas flow, these catalyzers or electrodes may be made of the same or different materials. Also, different electric fields such as DC and AC/DC, DC and RF/DC, AC/DC and RF/DC may be applied to control the plurality of catalyzers independently.
At the time of film formation or during film formation, ions may be generated in the reaction gas due to the catalytic action of the catalyzer and may charge up the base to deteriorate the performance of the film or device. In order to prevent this, it is desired to irradiate the reactive species with charged particles (such as electron beams or protons, particularly electron beams) so as to neutralize the ions. That is, charged particle irradiation means may be installed near the suscepter.
After vapor growth of a predetermined film, the base is taken out of the deposition chamber and a voltage is applied between predetermined electrodes, for example, between the suscepter and a counter-electrode, to cause plasma discharge. By cleaning the inside of the deposition chamber with the plasma discharge (the reaction gas is CF4, C2F6, SF6, H2, NF3 or the like), foreign matters attached to the inner wall surface and each constituent member of the deposition chamber at the time of vapor deposition can be removed by etching. Since this can be realized when the film forming apparatus for carrying out vapor growth is used as it is, it is not necessary to take out and clean the constituent members from the deposition chamber. Although the catalyzer can be cleaned at the same time, it may be taken out and separately cleaned outside of the deposition chamber.
In the above-described vapor growth using the catalyzed CVD method according to the present invention, specifically, the catalyzer is heated to a temperature within a range of 800 to 2000° C. and lower than the melting point, for example, by electrifying the catalyzer to heat it by its resistance heating. Reactive species, produced by catalytic reaction or thermal decomposition of at least a part of the reaction gas with the heated catalyzer, are used as material species and a thin film is deposited by a thermal CVD method on the substrate heated to the room temperature to 550° C.
If the heating temperature of the catalyzer is lower than 800° C., the catalytic reaction or thermal decomposition of the reaction gas is insufficient and the deposition rate tends to be lowered. If the heating temperature exceeds 2000° C., the component material of the catalyzer is mixed into the deposited film, thus hindering the electrical property of the film and deteriorating the quality of the film. The beating to the melting point of the catalyzer or higher should be avoided since it causes loss of shape stability. The heating temperature of the catalyzer is preferably lower than the melting point of the component material and 1100 to 1800° C.
The temperature of the substrate is preferably the room temperature to 550° C., and more preferably, 200 to 300° C. for efficient formation of a film of high quality. If the temperature of the substrate exceeds 550° C., inexpensive boro-silicated glass or alumino-silicated glass cannot be used. In forming a passivation film for an integrated circuit, distribution of doping concentration of impurity is easily changed by the influence of heat.
In the case of forming a polysilicon film by the ordinary thermal CVD method, the temperature of the substrate must be approximately 600 to 900° C. In the film forming method according to the present invention, however, it is extremely advantageous that thermal CVD at a low temperature as described above is made possible without requiring plasma or optical excitation. Since a low temperature of the substrate is used at the time of the catalyzed CVD of the present invention as described above, glass such as boro-silicated glass or alumino-silicated glass having a low strain point of 470 to 670° C. can be used as the glass substrate. Such glass is inexpensive, easy to form into a thin plate, and enables formation of a large-size glass plate (1 m2 or greater). It also allows production of an elongated rolled glass plate. For example, a thin film can be continuously or discontinuously on the elongated rolled glass plate by using the above-described technique.
The material gas (i.e., components of the reaction gas) used for vapor growth according to the present invention may be any one of the following gases (a) to (p):
(a) silicon hydride or its derivative;
(b) mixture of silicon hydride or its derivative and gas containing hydrogen, oxygen, nitrogen, germanium, carbon, tin, or lead;
(c) mixture of silicon hydride or its derivative and gas containing impurity made of a group III or group V element of the periodic table;
(d) mixture of silicon hydride or its derivative, gas containing hydrogen, oxygen, nitrogen, germanium, carbon, tin, or lead, and gas containing impurity made of a group III or group V element of the periodic table;
(e) aluminum compound gas;
(f) mixture of aluminum compound gas and gas containing hydrogen or oxygen;
(g) indium compound gas;
(h) mixture of indium compound gas and gas containing oxygen;
(i) fluoride gas, chloride gas or organic compound gas of a refractory metal;
(j) mixture of fluoride-gas, chloride gas or organic compound gas of a refractory metal and silicon hydride or its derivative;
(k) mixture of titanium chloride and gas containing nitrogen and/or oxygen;
(l) copper compound gas;
(m) mixture of aluminum compound gas, hydrogen or hydrogen compound gas, silicon hydride or its derivative, and/or copper compound gas;
(n) hydrocarbon or its derivative;
(o) mixture of hydrocarbon or its derivative and hydrogen gas; and
(p) organic metal complex, alkoxide.
As the material gas as described above is used, the following films and the like can be formed by vapor growth: polycrystal silicon; single-crystal silicon; amorphous silicon; microcrystal silicon; compound semiconductors such as gallium-arsenide, gallium-phosphorus, gallium-indium-phosphorus, gallium-nitride and the like; semiconductor thin films of silicon carbide, silicon-germanium and the like; a diamond thin film; an n-type or p-type carrier impurity-containing diamond thin film; a diamond-like carbon thin film; an insulating thin films of silicon oxide, impurity-containing silicon oxides such as phosphorus silicate glass (PSG), boron silicate glass (BSG), boron phosphorus silicate glass (BPSG) and the like, silicon nitride, silicon oxynitride, titanium oxide, tantalum oxide, aluminum oxide and the like; oxidative thin films of indium oxide, indium-tin oxide, palladium oxide and the like; metal thin films of refractory metals such as tungsten, molybdenum, titanium, zirconium and the like, conductive nitride metal, copper, aluminum, aluminum-silicon alloy, aluminum-silicon-copper alloy, aluminum-copper alloy and the like; a thin film having a high dielectric constant such as BST and the like; and thin films and tubular carbon polyhedrons (carbon nano tubes) made of ferroelectrics such as PZT, LPZT, SBT, BIT and the like.
Also, the catalyzer can be made of at least one type of material selected from the group consisting of tungsten, thoria-containing tungsten, molybdenum, platinum, palladium, vanadium, silicon, titanium, alumina, ceramics with metal adhered thereto, and silicon carbide.
It is desired to heat the catalyzer in a hydrogen-based gas atmosphere before supplying the material gas. As the catalyzer is heated before supplying the material gas, the component material of the catalyzer is emitted and may be mixed into the formed film. However, such mixture can be eliminated by heating the catalyzer in the hydrogen-based gas atmosphere. Therefore, it is preferred to heat the catalyzer in the state where the deposition chamber is filled with a hydrogen-based gas and then supply the material gas (so-called reaction gas) using the hydrogen-based gas as a carrier gas.
The present invention is suitable for forming a thin film for a silicon semiconductor device, a silicon semiconductor integrated circuit device, a silicon-germanium semiconductor device, a silicon-germanium semiconductor integrated circuit device, a compound semiconductor device, a compound semiconductor integrated circuit device, a high dielectric memory semiconductor device, a ferroelectric memory semiconductor device, a silicon carbide semiconductor device, a silicon carbide semiconductor integrated circuit device, a liquid crystal display device, an electroluminescence display device, a plasma display panel (PDP) device, a field emission display (FED) device, a light-emitting polymer display device, a light-emitting diode display device, a CCD area/linear sensor device, a MOS sensor device, or a solar battery device.
Specific embodiments of the present invention will now be described in detail.
First Embodiment
A first embodiment of the present invention will be described with reference to FIGS. 1 to 10.
<DC-Bias Catalyzed CVD Method and Device Therefor>
In the present embodiment, on the basis of the catalyzed CVD method, a reaction gas, made of a hydrogen-based carrier gas and a material gas such as a silane gas or the like, is brought in contact with a heated catalyzer made of tungsten or the like, and an electric field of not higher than a glow discharge starting voltage is caused to act on the radical deposition species or its precursor thus produced and radical hydrogen ions, thus providing kinetic energy. Thus, a predetermined film of polycrystal silicon or the like is formed by vapor growth on a substrate. In this case, a DC voltage not higher than the glow discharge starting voltage, that is, a DC voltage determined by the Paschen's law, for example, a voltage not higher than 1 kV is applied between the substrate and a counter-electrode, thus directing the radical deposition species or its precursor and radical hydrogen ions toward the substrate. Hereinafter, the CVD method of the present embodiment is referred to as a DC-bias catalyzed CVD method.
This DC-bias catalyzed CVD method is carried out using a film forming device as shown in FIGS. 1 to 3.
In this film forming device (DC-bias catalyzed CVD device), a reaction gas, made of a hydrogen-based carrier gas, a material gas 40 of silicon hydride such as mono-silane or the like, and if necessary, a doping gas of B2H6, PH3 or the like, is fed from a supply duct 41 to a deposition chamber 44 through a supply port 43 of a shower head 42, as shown in FIG. 1. Inside the deposition chamber 44, a suscepter 45 for supporting a substrate 1 made of glass or the like, the shower head 42 having high heat resistance property and made of a material preferably having the same melting point as a catalyzer 46 or higher, the coil-shaped catalyzer 46 made of tungsten or the like, and a shutter 47 that can be open/closed are arranged, as shown in FIG. 2. A magnetic seal 52 is provided between the suscepter 45 and the deposition chamber 44. The deposition chamber 44 is connected to the end of a previous chamber 53 for carrying: out a previous step, and is exhausted via a valve 55 by a turbo-molecular pump 54 or the like, as shown in FIG. 3.
As shown in FIG. 3, the substrate 1 is heated by heating means such as a heater wire 51 in the suscepter 45, and the catalyzer 46 is heated for activation to a temperature not higher than the melting point, particularly 800 to 2000° C., as a resistance wire, and approximately 1600 to 1700° C. in the case of tungsten. Both terminals of the catalyzer 46 are connected to a DC or AC catalyzer power source 48 and are heated to a predetermined temperature by electrification from the power source. The shower head 42 is connected as an accelerating electrode to the positive electrode side of a variable DC power source (not higher than 1 kV, for example, 500 V) 49 through the duct 41, and a DC-bias voltage not higher than 1 kV is applied between the shower head 42 and the suscepter 45 supporting the substrate 1 on the negative electrode side.
To carry out the DC-bias catalyzed CVD method, the degree of vacuum in the deposition chamber 44 is set at 10−6 to 10−8 Torr, and the hydrogen-based carrier gas is supplied at 100 to 200 SCCM (standard cc per minute). After the catalyzer is heated to a predetermined temperature for activation, the reaction gas 40 made of the silicon hydride (e.g., mono-silane) gas at 1 to 20 SCCM (including an appropriate quantity of the doping gas made of B2H6, PH3 or the like, if necessary) is fed from the supply duct 41 through the supply port 43 of the shower head 42, and the gas pressure is set at 10−1 to 10−3 Torr, for example, 10−2 Torr. The hydrogen-based carrier gas may be any gas that is produced by mixing an appropriate quantity of inert gas with hydrogen, for example, hydrogen, hydrogen+argon, hydrogen+helium, hydrogen
+neon, hydrogen+xenon, hydrogen+krypton or the like. (This applies throughout the following description.) The hydrogen-base carrier gas is not necessarily required, depending on the type of the material gas. That is, there is known a method for forming polysilicon by catalytic reaction of silane alone without using the hydrogen-based carrier gas (known as a hot wire method), and the present invention can also apply to this method.
At least a part of the reaction gas 40 contacts the catalyzer 46 and is catalytically decomposed, thus forming a group of reactive species including ions and radicals such as silicon having high energy, that is, deposition species or their precursors and radical hydrogen ions, by catalytic decomposition or thermal decomposition reaction. A DC field from the DC power source 49 of a voltage not higher than the glow discharge starting voltage (about 1 kV), for example, 500 V, is caused to act on the resultant reactive species 50 including ions and radicals so as to provide kinetic energy, thereby directing the reactive species toward the substrate 1. Thus, a predetermined film of polycrystal silicon or the like is formed by vapor growth on the substrate 1 which is held at the room temperature to 550° C. (e.g., 200 to 300° C.).
Since the reactive species are thus provided with the catalytic action of the catalyzer 46 and with the directional kinetic energy which is obtained by adding the acceleration energy due to the DC field to the thermal energy of the catalytic action without generating plasma, the reaction gas can be efficiently changed to the reactive species, which can be uniformly deposited on the substrate 1 by thermal CVD using the DC field. Since these deposition species 56 migrate on the substrate 1 and are diffused in the thin film, a minute, flat and uniform thin film having high step coverage can be formed.
Thus, in the DC-bias catalyzed CVD of the present embodiment, the production of the thin film is controlled by the independent, arbitrary DC field, in comparison with the temperature of the substrate, the temperature of the catalyzer, the gas pressure (the flow rate of the reaction gas), the type of the material gas or the like as control factors of the conventional catalyzed CVD. Therefore, the tight contact between the produced film and the substrate, the density of the produced film, the uniformity or smoothness of the produced film, burying into a via-hole or the like, and the step coverage are improved and the temperature of the substrate is lowered further to enable stress control of the produced film. Thus, a film of high quality, for example, a silicon film or metal film having a bulk-like property, can be provided. In addition, since the reactive species produced by the catalyzer 46 can be independently controlled by the DC field and efficiently deposited on the substrate, it is possible to realize higher utilization efficiency of the reaction gas, a higher production speed, improvement in productivity, and reduction in cost due to reduction in the quantity of the reaction gas.
Since the deposition species have large kinetic energy even when the temperature of the substrate is lowered, an intended film of good quality is obtained. Therefore, the temperature of the substrate can be lowered further as described above and an insulating substrate such as a glass substrate made of boro-silicate glass, alumino-silicate glass or the like, or a heat-resistant resin substrate made of polyimide or the like can be used. It is again possible to realize reduction in cost. In addition, since the shower head 42 for supplying the reaction gas can be also used as the electrode for accelerating the reactive species, a simple structure may be employed.
Moreover, since no plasma is generated, a film having no damage due to plasma and with low stress can be provided and a device which is more simple and inexpensive than in the plasma CVD method can be realized.
In this case, though operation can be done under a reduced pressure (e.g., 10−3 to 10−2 Torr) or under a normal pressure, an apparatus of normal-pressure type is more simple and inexpensive than an apparatus of reduced-pressure type. Since the above-described electric field is applied in the normal-pressure type, too, a film of high quality having excellent density, uniformity and tight contact is provided. In this case, too, the normal-pressure type realizes a greater throughput, higher productivity and greater reduction in cost than the reduced-pressure type.
In the case of the reduced-pressure type, the DC voltage is affected by the gas pressure (the flow rate of the reaction gas) and the type of the material gas. In any case, it is necessary to adjust the DC voltage to an arbitrary voltage not higher than the glow discharge starting voltage. In the case of the normal-pressure type, though there is no discharge, it is desired to adjust the exhaust gas flow so as not to contact the substrate, in order to prevent the flow of the material gas and reactive species from adversely affecting the thickness and quality of the film.
In the above-described CVD, though the temperature of the substrate is increased by heat radiation from the catalyzer 46, the substrate heater 51 may be installed as described above, if necessary. While the catalyzer 46 may be in the shape of a coil, mesh, wire or porous plate, it is preferred to provide the catalyzer in a plurality of stages, for example, two to three stages, in the direction of the gas flow so as to increase the contact area with the gas. In this CVD, since the substrate 1 is set on the lower surface of the suscepter 45 and thus arranged above the shower head 42, no particle generated in the deposition chamber 44 will fall and adhere to the substrate 1 and the film thereon.
In the present embodiment, after the above-described DC-bias catalyzed CVD is carried out, the substrate 1 is taken out of the deposition chamber 44 and a reaction gas 57 of CF4, C2F6, SF6, H2, NF3 or the like (with the degree of vacuum equal to 10−2 to several Torr) is fed, as shown in FIG. 4. Then, a high-frequency voltage 58 or a DC voltage is applied between the suscepter 45 of the substrate 1 and the shower head 42 as the counter-electrode, thereby causing plasma discharge. Thus, the inside of the deposition chamber 44 can be cleaned. The plasma-generating voltage in this case is not lower than 1 kV, particularly, several kV to tens of kV, for example, 10 kV.
Specifically, the foreign matters attached to the inner wall surface of the deposition chamber 44 and the respective constituent members such as the suscepter 45, the shower head 42, the shutter 47 and the catalyzer 46 at the time of vapor growth can be removed by etching. Since this can be realized when the film forming apparatus for carrying out vapor growth is used as it is, it is not necessary to take out and clean the constituent members from the deposition chamber 44. Although the catalyzer 46 can be cleaned at the same time (with the catalyzer power source 46 being off), it may be taken out and separately cleaned outside of the deposition chamber 44.
<Manufacture of MOSTFT>
An example of manufacture of a MOSTFT using the DC-bias catalyzed CVD method of the present embodiment will now be described.
Using the film forming device shown in FIGS. 1 to 3, a polycrystal silicon film 7 with a thickness of several μm to 0.005 μm, for example 0.1 μm, is grown on one major surface of a heat-resistant insulating substrate 1 made of quartz glass or crystalline glass (with a strain point of approximately 800 to 1400° C. and a thickness of 50 micron to several mm) by the above-described DC-bias catalyzed CVD method, as shown in FIG. 5A. The temperature of the substrate is the room temperature to 550° C., for example, 200 to 300° C., and the gas pressure is 10−1 to 10−3 Torr, for example, 10−2 Torr.
In this case, the degree of vacuum in the deposition chamber 44 is set to 10−6 to 10−8 Torr, and the hydrogen-based carrier gas is supplied at 100 to 200 SCCM. After the catalyzer is heated to a predetermined temperature for activation, the reaction gas 40 made of the silicon hydride (e.g., mono-silane) gas at 1 to 20 SCCM (including an appropriate quantity of the doping gas made of B2H6, PH3 or the like, if necessary) is fed from the supply duct 41 through the supply port 43 of the shower head 42, and the gas pressure is set at 10−1 to 10−3 Torr, for example, 10−2 Torr. The hydrogen-based carrier gas may be any of hydrogen, hydrogen+argon, hydrogen+neon, hydrogen+helium, hydrogen+xenon, hydrogen+krypton or the like.
The substrate 1 is heated from the room temperature to 550° C., for example, 200 to 300° C., by the heater wire 51 in the suscepter 45, and the catalyzer 46 is heated for activation to a temperature not higher than the melting point, particularly 800 to 2000 C., as a resistance wire in the hydrogen-based carrier gas, for example, by heating a tungsten wire to approximately 1650° C. for activation. The reaction gas 40 is brought in contact with the heated catalyzer 46 of tungsten or the like, and the shutter 47 is opened.
At least a part of the reaction gas 40 contacts the catalyzer 46 and is catalytically decomposed, thus forming a group of silicon ions having high energy and radical hydrogen ions, that is, radical deposition species or their precursors and radical hydrogen ions, by catalytic decomposition or thermal decomposition reaction. A DC field from the DC power source 49 of a voltage not higher than the glow discharge starting voltage, for example, 500 V, is caused to act on the resultant reactive species 50 including ions and radicals so as to provide kinetic energy, thereby directing the reactive species toward the substrate 1. Thus, the polycrystal silicon film 7 is formed by vapor growth on the substrate 1 which is held at the room temperature to 550° C., for example, 200 to 300° C.
The polycrystal silicon film 7 with a thickness of approximately 0.1 μm is thus deposited. The deposition time is calculated from the thickness of the film to be grown. After the end of growth, the supply of the material gas is stopped. The hydrogen-based carrier gas is stopped after the temperature of the catalyzer is lowered. Then, the atmospheric pressure is restored and the substrate 1 is taken out. In this case, it is important to use the hydrogen-based carrier gas atmosphere during the rise and fall of the temperature of the catalyzer in order to prevent oxidation and deterioration of the catalyzer.
Next, a MOS transistor (TFT) using the polycrystal silicon layer 7 as a channel region is produced.
Specifically, as shown in FIG. 5B, a gate oxide film 8 with a thickness of 350 Å is formed on the surface of the polycrystal silicon film 7 by the DC-bias catalyzed CVD method as described above, during the thermal oxidation at 950° C., or during the supply of an oxygen gas diluted with a helium gas or the supply of a mono-silane gas. In the case of forming the gate oxide film 8 by the DC-bias catalyzed CVD method, the temperature of the substrate, the temperature of the catalyzer and the DC-bias voltage are similar to those described above. However, the flow rate of the oxygen gas diluted with the helium gas may be 1 to 2 SCCM, and the flow rate of the mono-silane gas may be 20 SCCM. The flow rate of the hydrogen-based carrier gas may be 150 SCCM.
Then, for controlling the concentration of the impurity in the channel region of the N-channel MOS transistor, the P-channel MOS transistor portion is masked by a photoresist 9, and P-type impurity ions, for example, B +10, in a dosage of 2.7×1012 atoms/cm2 are implanted at 30 keV, thereby changing the conductivity of the polycrystal silicon film 7 to P-type so as to form a P-type polycrystal silicon film 11, as shown in FIG. 5C.
Then, for controlling the concentration of the impurity in the channel region of the P-channel MOS transistor, the N-channel MOS transistor portion is masked by a photoresist 12, and N-type impurity ions, for example, P + 13, in a dosage of 1×1012 atoms/cm2 are implanted at 50 keV, thereby compensating the P-type of the polycrystal silicon film 7 so as to form a polycrystal silicon film 14, as shown in FIG. 5D.
Next, a phosphorus-doped polycrystal silicon film 15 as a gate electrode material with a thickness of 4000 Å is deposited by the DC-bias catalyzed CVD method (with the temperature of the substrate equal to 200 to 300° C.), during the supply of PH3 at 2 to 20 SCCM and the mono-silane gas at 20 SCCM, as shown in FIG. 5E.
Then, a photoresist 16 is formed in a predetermined pattern, and using this photoresist 16 as a mask, the polycrystal silicon film 15 is patterned into the shape of the electrode, as shown in FIG. 5F. In addition, after the photoresist 16 is removed, an oxide film 17 is formed on the surface of the gate polycrystal silicon film 15 by oxidation at 900° C. for 60 minutes in an O2 atmosphere, as shown in FIG. 5G.
Next, as shown in FIG. 5H, the P-channel MOS transistor portion is masked by a photoresist 18, and As+ ions 19 as N-type impurity in a dosage of 5×1015 atoms/cm2 are implanted at 80 keV. By annealing at 950° C. for five minutes in a N2 atmosphere, an N+-type source region 20 and a drain region 21 of the N-channel MOS transistor are formed.
Then, as shown in FIG. 5I, the N-channel MOS transistor portion is masked by a photoresist 22, and B+ ions 23 as P-type impurity in a dosage of 5×1015 atoms/cm2 are implanted at 30 keV. By annealing at 950° C. for five minutes in a N2 atmosphere, a P+-type source region 24 and a drain region 25 of the P-channel MOS transistor are formed.
By the DC-bias catalyzed CVD method as described above, a SiO2 film 26 with a thickness of 500 Å at 200° C. during the supply of O2 diluted with a helium gas at 1 to 2 SCCM and the supply of SiH4 at 15 to 20 SCCM, and a SiN film 27 with a thickness of 2000 Å at 200° C. during the supply of NH3 at 50 to 60 SCCM and the supply of SiH4 at 15 to 20 SCCM, are stacked on the entire surface using the hydrogen-based carrier gas at 150 SCCM as a common carrier gas, as shown in FIG. 5J. In addition, during the supply of B2H6 at 1 to 20 SCCM, PH3 at 1 to 20 SCCM, O2 diluted with helium at 1 to 2 SCCM and SiH4 at 15 to 20 SCCM, a boron- and phosphorus-doped silicate glass (BPSG) film 28 with a thickness of 6000 Å at 200° C. is formed as a reflow film, and the reflow of the BPSG film 28 is carried out at 900° C. in an N2 atmosphere.
As shown in FIG. 5K, a contact window is opened at a predetermined position on the above-described insulation film, and an electrode material such as aluminum with a thickness of 1 μm at 150° C. is deposited on the entire surface including each contact hole by a sputtering method or the like. The deposited material is patterned to form a source or drain electrode 29 (S or D) and a gate lead-out electrode or wiring 30 of the P-channel MOSTFT and N-channel MOSTFT, thus forming each MOS transistor of a top gate type. In this process, an aluminum film may be formed by the DC-bias catalyzed CVD method of the present invention.
<Manufacture of LCD>
An example of manufacture of a liquid crystal display device (LCD) using the DC-bias catalyzed CVD method of the present embodiment will now be described.
Using the film forming device shown in FIGS. 1 to 3, a polycrystal silicon film 67 with a thickness of several μm to 0.005 μm, for example 0.1 μm, is grown on one major surface of a heat-resistant insulating substrate 1 made of quartz glass or crystalline glass (with a strain point of approximately 800 to 1400° C. and a thickness of 50 micron to several mm) in a pixel portion and a peripheral circuit portion by the above-described DC-bias catalyzed CVD method (with the temperature of the substrate equal to the room temperature to 550° C., for example, 400° C., and the gas pressure equal to 10−1 to 10−3 Torr, for example, 10−2 Torr), as shown in FIG. 6A.
In this case, the degree of vacuum in the deposition chamber 44 is set to 10−6 to 10−8 Torr, and the hydrogen-based carrier gas is supplied at 100 to 200 SCCM. After the catalyzer is heated to a predetermined temperature for activation, the reaction gas 40 made of the silicon hydride (e.g., mono-silane) gas at 1 to 20 SCCM (including an appropriate quantity of the doping gas made of B2H6, PH3 or the like, if necessary) is fed from the supply duct 41 through the supply port 43 of the shower head 42, and the gas pressure is set at 10−1 to 10−3 Torr, for example, 10−2 Torr. The hydrogen-based carrier gas may be any of hydrogen, hydrogen+argon, hydrogen+neon, hydrogen+helium, hydrogen+xenon, hydrogen+krypton or the like.
The substrate 1 is heated to the room temperature to 550° C., for example, 200 to 300° C., by the heater wire 51 in the suscepter 45, and the catalyzer 46 is heated for activation to a temperature not higher than the melting point, particularly 800 to 2000° C., as a resistance wire in the hydrogen-based carrier gas, for example, by heating a tungsten wire to approximately 1650° C. for activation. The reaction gas 40 is brought in contact with the heated catalyzer 46 of tungsten or the like, and the shutter 47 is opened.
At least a part of the reaction gas 40 contacts the catalyzer 46 and is catalytically decomposed, thus forming a group of silicon ions having high energy and radical hydrogen ions, that is, radical deposition species or their precursors and radical hydrogen ions, by catalytic decomposition or thermal decomposition reaction. A DC field from the DC power source 49 of a voltage: not higher than the glow discharge starting voltage, for example, 500 V, is caused to act on the resultant reactive species 50 including ions and radicals so as to provide kinetic energy, thereby directing the reactive species toward the substrate 1. Thus, the polycrystal silicon film 67 is formed by vapor growth on the substrate 1 which is held at the room temperature to 550° C., for example, 200 to 300° C.
The polycrystal silicon film 67 with a thickness of approximately 0.1 μm is thus deposited. The deposition time is calculated from the thickness of the film to be grown. After the end of growth, the supply of the material gas is stopped. The hydrogen-based carrier gas is stopped after the temperature of the catalyzer is lowered. Then, the atmospheric pressure is restored and the substrate 1 is taken out. In this case, it is important to use the hydrogen-based carrier gas atmosphere during the rise and fall of the temperature of the catalyzer in order to prevent oxidation and deterioration of the catalyzer.
Next, the polycrystal silicon film 67 is patterned using a photoresist mask, thereby forming a transistor active layer of each portion, as shown in FIG. 6B.
Then, as shown in FIG. 6C, a gate oxide film 68 with a thickness of 350 Å is formed on the surface of the polycrystal silicon film 67 by the DC-bias catalyzed CVD method as described above, during the thermal oxidation at 950° C., or during the supply of an oxygen gas diluted with a helium gas or the supply of a mono-silane gas. In the case of forming the gate oxide film 68 by the DC-bias catalyzed CVD method, the temperature of the substrate, the temperature of the catalyzer and the DC-bias voltage are similar to those described above. However, the flow rate of the oxygen gas diluted with the helium gas may be 1 to 2 SCCM, and the flow rate of the mono-silane gas may be 15 to 20 SCCM. The flow rate of the hydrogen-based carrier gas may be 150 SCCM.
After ion implantation of predetermined impurity such as B+ or P+ as described above is carried out for controlling the concentration of the impurity in the channel region of the transistor active layer 67, aluminum with a thickness of 4000 Å is deposited as a gate electrode material by sputtering, or a phosphorus-doped polycrystal silicon film as a gate electrode material with a thickness of 4000 Å is deposited by the DC-bias catalyzed CVD method (with the temperature of the substrate equal to 200 to 300° C.), during the supply of the hydrogen-based carrier gas at 150 SCCM, PH3 at 2 to 20 SCCM and the mono-silane gas at 20 SCCM, as shown in FIG. 6D. Then, using a photoresist mask, the gate electrode material layer is patterned into the shape of a gate electrode 75. After the photoresist mask is removed, an oxide film may be formed on the surface of the gate polycrystal silicon film 75 by oxidation at 900° C. for 60 minutes in an O2 atmosphere.
Then, as shown in FIG. 6E, the P-channel MOS transistor portion is masked by a photoresist 78, and As+ or P+ ions 79 as N-type impurity ions in a dosage of 1×1015 atoms/cm2 are implanted at 80 keV. By annealing at 950° C. for five minutes in a N2 atmosphere, an N+-type source region 80 and a drain region 81 of the N-channel MOS transistor are formed.
Then, as shown in FIG. 6F, the N-channel MOS transistor portion is masked by a photoresist 82, and B+ ions 83 as P-type impurity in a dosage of 5×1015 atoms/cm2 are implanted at 30 keV. By annealing at 950° C. for five minutes in a N2 atmosphere, a P+-type source region 84 and a drain region 85 of the P-channel MOS transistor are formed.
By the DC-bias catalyzed CVD method as described above, a SiO2 film with a thickness of 500 Å at 200° C. during the supply of O2 diluted with He at 1 to 2 SCCM and the supply of SiH4 at 15 to 20 SCCM, and a SiN film with a thickness of 2000 Å at 200° C. during the supply of NH3 at 50 to 60 SCCM and the supply of SiH4 at 15 to 20 SCCM, are stacked on the entire surface using the hydrogen-based carrier gas at 150 SCCM as a common carrier gas, as shown in FIG. 6G. In addition, during the supply of B2H6 at 1 to 20 SCCM, PH3 at 1 to 20 SCCM, O2 diluted with He at 1 to 2 SCCM and SiH4 at 15 to 20 SCCM, a boron- and phosphorus-doped silicate glass (BPSG) film with a thickness of 6000 Å at 200° C. is formed as a reflow film, and the reflow of this BPSG film is carried out at 900° C. in an N2 atmosphere. These insulation films are stacked to form an interlayer insulation film 86. Such interlayer insulation film may also be formed by a method different from the above-described method, for example, by a plasma CVD method.
As shown in FIG. 6H, a contact window is opened at a predetermined position on the above-described insulation film 86, and an electrode material such as aluminum with a thickness of 1 μm at 150° C. is deposited on the entire surface including each contact hole by a sputtering method or the like. The deposited material is patterned to form a source electrode 87 of the N-channel MOSTFT of the pixel portion, and source electrodes 88, 90 and drain electrodes 89, 91 of the P-channel MOSTFT and the N-channel MOSTFT of the peripheral circuit portion. In this process, an aluminum film may be formed by the DC-bias catalyzed CVD method of the present invention.
After an interlayer insulation film 92 of SiO2 is formed on the surface by the CVD method, a contact hole is opened in the interlayer insulation films 92 and 86 of the pixel portion, as shown in FIG. 6I. Then, indium tin oxide (ITO: a transparent electrode material produced by doping indium oxide with tin) is deposited on the entire surface by a vacuum evaporation method, and is patterned to form a transparent pixel electrode 93 connected to the drain region 81. Thus, a transmission LCD can be produced. The above-described process is similarly applicable to the manufacture of a reflection LCD.
Second Embodiment
A second embodiment of the present invention will now be described with reference to FIG. 7.
In the present embodiment, using the DC-bias catalyzed CVD method and the device therefor of the first embodiment, charged particles or ions are provided, that is, an electron shower 100 is provided near a substrate 1 or a suscepter 45 as shown in FIG. 7. Therefore, in addition to the effect of the first embodiment, an excellent effect can be realized as follows.
At the time of or during the formation of the above-described polycrystal silicon film, radical deposition species of high energy or their precursors and ions might be generated in the reaction gas due to catalytic action of a catalyzer 46, and charge up the substrate 1, thus causing unevenness in the film formation and deterioration in the performance of the film or device. However, by irradiating the ions and the like with electrons having directionality and concentration due to a DC field from the electron shower 100, the charges on the substrate 1 can be neutralized to enable satisfactory prevention of the charge-up. Particularly, when the substrate 1 is made of an insulation material, electric charges tend to be accumulated. Therefore, the use of the electron shower 100 turns out to be effective.
Third Embodiment
A third embodiment of the present invention will now be described with reference to FIG. 8.
In the present embodiment, a mesh electrode 101 for accelerating reactive species is provided between a substrate 1 and a catalyzer 46 as shown in FIG. 8, in the DC-bias catalyzed CVD method and the device therefor of the first embodiment.
Specifically, a plurality of mesh electrodes 101 a and 101 b having gas passage holes 101 c are provided between the substrate 1 and the catalyzer 46, and a DC voltage 49 not higher than 1 kV is applied between them, thereby providing kinetic energy in the direction toward the substrate 1 to the reactive species generated by decomposition of the reaction gas due to the catalyzer 46 as described above. Therefore, in addition to the effect similar to that of the first embodiment, an accelerating electrode which is designed and processed in advance can be easily inserted as the mesh electrode 101 into the gap between the substrate 1 and the catalyzer 46, and the accelerating electrode can be arranged after it is processed into a shape for improving the acceleration efficiency. It is desired that the mesh electrode 101 and the shower head 42 are made of a material having high heat resistance property, and preferably having the same melting point as that of the catalyzer 46 or higher.
Fourth Embodiment
A fourth embodiment of the present invention will now be described with reference to FIG. 9.
The present embodiment is different from the third embodiment in that one mesh electrode 101 a for acceleration is provided between a catalyzer 46 and a shower head 42 while the other mesh electrode 101 b for acceleration is provided between a substrate 1 and a catalyzer 46.
Therefore, in the present embodiment, since the mesh electrodes 101 a and 101 b exist on both sides of the catalyzer 46, it is easy to direct the generated reactive species toward the substrate 1. It is desired that the mesh electrodes 101 a and 101 b are made or a material having high heat resistance property, and preferably having the same melting point as that of the catalyzer 46 or higher.
Fifth Embodiment
A fifth embodiment of the present invention will now be described with reference to FIGS. 10 and 11.
In the present embodiment, the above-described accelerating electrode 101 is formed in the shape of a porous plate as shown in FIG. 10 or in a mesh-shape as shown in FIG. 11 so as to realize an efficient acceleration effect without preventing the gas flow. Such shape is similarly applicable to a catalyzer 46.
Sixth Embodiment
A sixth embodiment of the present invention will now be described with reference to FIG. 12.
In the present embodiment, in the case of operating the DC-bias catalyzer CVD device of the first embodiment under the normal pressure, an air passage hole 102 is formed in a suscepter 45 to lead an exhaust gas 103 from the peripheral region of a substrate 1 upward, as shown in FIG. 12, and toward an exhaust port, not shown, so as to prevent the exhaust gas flow contacting the film on the substrate 1.
Therefore, even in the case where the device is operated under the normal pressure, a film of high quality having no contamination can be formed on the substrate 1. Since the device is of the normal-pressure type, it has a simple structure and improved throughput.
Seventh Embodiment
A seventh embodiment of the present invention will now be described with reference to FIGS. 13 to 17.
In each of the above-described embodiments, the substrate 1 is arranged above the shower head 42. The present embodiment is different in that the substrate 1 is arranged under the shower head 42, as shown in FIG. 13. The other parts of the structure and the operating method are the same as those of the foregoing embodiments. Therefore, basically the same advantages as those of the first embodiment are provided.
A normal-pressure type device may be employed as a specific exemplary structure. As shown in FIG. 14, a plurality of substrates 1 are arranged via a rotatable stage 104 on a suscepter 45 having a rotatable heater, and a reaction gas 40 is supplied from a rotatable shower head 42 having a duct/rotating shaft 105 in the center hole of the suscepter. Thus, reactive species produced by a catalyzer 46 (its power source is not shown here and in the following description, too) are deposited to form films on the substrates 1 in a DC field generated by a DC power source 49. The exhaust gas is led downward from the peripheral region of the suscepter 45.
In this example, since the films are formed by accelerating the reactive species toward the substrates while rotating the plurality of substrates 1 and the shower head 42, high productivity is realized and the uniform distribution of the gas improves the uniformity of the produced films.
In an example shown in FIG. 15, a rotation/revolution type is employed in which a suscepter 45 having a rotatable heater 106 revolves around a conical buffer 107, and substrates 1 are fixed on the respective suscepters 45. A reaction gas 40 is supplied from a shower head 42 above a conical belljar 108, and reactive species produced by a catalyzer 46 are accelerated by a DC voltage applied to mesh electrodes 101 as shown in FIG. 12, thereby forming films on the substrates 1.
In this example, since the films are formed by accelerating the reactive species toward the substrates while causing the plurality of substrates 1 to rotate and revolve in the conical belljar, high productivity is realized and the uniform distribution of the gas improves the uniformity of the produced films.
FIG. 16 shows another example of the continuous normal-pressure film forming device. A substrate 1 is arranged on a carrier belt 109 and a reaction gas 40 is supplied from a shower head 42. Reactive species produced by a catalyzer 46 are accelerated by a DC voltage applied to a mesh electrode 101 as shown in FIG. 8, thereby forming a film on the substrate 1. Since an exhaust gas 103 is led upward from the substrate 1, there is no problem of contamination of the produced film.
In this example, since the reactive species are accelerated toward the substrate while the substrate 1 is carried into one direction, and the exhaust gas is led upward, high productivity of the produced film is realized and it is easy to form a clean film even with the normal-pressure type device.
Eighth Embodiment
An eighth embodiment of the present invention will now be described with reference to FIG. 17.
The film forming device of the present embodiment selectively uses, for example, five chambers which are capable of sequentially forming films. The device is adapted for forming an entire film, for example, a multilayer-insulation film as shown in FIG. 5J, by stacking various films. A substrate 1 is vacuum-sucked to a suscepter 45 and is loaded into a loading section 111 by a robot 110 of a loading station. Then, the substrate 1 is sequentially sent to each chamber by a dispersion head 112, during which formation of a film is carried out in the face-down state where the substrate surface faces downward as shown in FIG. 1. The above-described catalyzer 46 and accelerating electrode are not shown in the drawing.
This embodiment is advantageous for formation of a multilayer film. Since a heat source of the substrate 1 is located above, there is less convection effect. Also, since the substrate 1 faces downward, attachment of particles thereto can be restrained.
With the normal-pressure CVD devices described in the above-described respective embodiments, the film can be formed at a much lower temperature than in an epitaxial growth device. Since no corrosive gas is used, the design of the chamber is easier.
Ninth Embodiment
A ninth embodiment of the present invention will now be described with reference to FIGS. 18 and 19.
<RF/DC-Bias Catalyzed CVD Method and Device Therefor>
In the present embodiment, on the basis of the catalyzed CVD method, a reaction gas, made of a hydrogen-based carrier gas and a material gas such as a silane gas or the like, is brought in contact with a heated catalyzer made of tungsten or the like, and an electric field of not higher than a glow discharge starting voltage is caused to act on the radical deposition species or its precursor thus produced and radical hydrogen ions, thus providing kinetic energy. Thus, a predetermined film of polycrystal silicon or the like is formed by vapor growth on an insulating substrate. In this case, a voltage which is produced by superimposing a high-frequency voltage onto a DC voltage and is not higher than the glow discharge starting voltage (a voltage determined by the Paschen's law, for example, a voltage not higher than 1 kV) is applied between the substrate and a counter-electrode, thus directing the radical deposition species or its precursor and radical hydrogen ions toward the substrate, and providing kinetic energy with minutes changes of the electric field. Hereinafter, the CVD method of the present embodiment is referred to as an RF/DC-bias catalyzed CVD method.
This RF/DC-bias catalyzed CVD method is carried out using a film forming device as shown in FIGS. 18 and 19.
In this film forming device, that is, the RF/DC-bias catalyzed CVD device, a reaction gas, made of a hydrogen-based carrier gas and a material gas 40 of silicon hydride (such as mono-silane) (also including an appropriate quantity of a doping gas of B2H6, PH3 or the like, if necessary), is fed from a supply duct 41 to a deposition chamber 44 through a supply port of a shower head 42, as described in FIGS. 1 to 3. Inside the deposition chamber 44, a suscepter 45 for supporting a substrate 1 made of glass or the like, the shower head 42 having high heat resistance property and made of a material preferably having the same melting point as a catalyzer 46 or higher, the coil-shaped catalyzer 46 made of tungsten or the like, and a shutter 47 that can be open/closed are arranged. A magnetic seal is provided between the suscepter 45 and the deposition chamber 44. The deposition chamber 44 is connected to the end of a previous chamber for carrying out a previous step, and is exhausted via a valve by a turbo-molecular pump or the like.
The substrate 1 is heated to the room temperature to 550° C., for example, 200 to 300° C., by heating means such as a heater wire in the suscepter 45, and the catalyzer 46 is heated in the hydrogen-based carrier gas for activation to a temperature not higher than the melting point, particularly 800 to 2000° C., as a resistance wire, and approximately 1600 to 1700° C. in the case of tungsten. Both terminals of the catalyzer 46 are connected to a DC or AC catalyzer power source 48 and are heated to a predetermined temperature by electrification from the power source. The shower head 42 is connected as an accelerating electrode to the positive electrode side of a variable DC power source (not higher than 1 kV, for example, 500 V) 49 from the duct 41 via a low-pass (high-frequency) filter 113, and is also connected to a high-frequency power source 115 (100 to 200 VP—P and 1 to 100 MHZ, for example, 150 VP—P and 13.56 MHZ) via a matching circuit 114. Thus, a DC-bias voltage with a high-frequency voltage superimposed thereon, not higher than 1 kV, is applied between the shower head 42 and the suscepter 45 supporting the substrate 1.
To carry out the RF/DC-bias catalyzed CVD method, the degree of vacuum in the deposition chamber 44 is set at 10−6 to 10−8 Torr. The substrate is heated to 200 to 300° C., and the reaction gas 40 made of the hydrogen-based carrier gas and the material gas of a silane gas or the like is fed from the supply port of the shower head 42. The gas pressure is set at 10−2 to 10−3 Torr, for example, 10−2 Torr, and at the same time, the reaction gas is brought into contact with the catalyzer 46 of tungsten or the like heated to 800 to 2000° C., for example, 1650° C. Then, the shutter 47 is opened as shown in FIG. 19.
At least a part of the reaction gas 40 contacts the catalyzer 46 and is catalytically decomposed, thus forming a group of reactive species including ions and radicals such as silicon having high energy, that is, radical deposition species or their precursors and radical hydrogen ions, by catalytic decomposition or thermal decomposition reaction. An RF/DC-bias field produced by superimposing a high-frequency voltage from the high-frequency power source 115 of 150 Vp—p and 13.56 MHZ onto the DC voltage from the DC power source 49 of a voltage not higher than the glow discharge starting voltage, for example, 500 V, is caused to act on the resultant reactive species 50 so as to provide kinetic energy with minute changes of the electric field, thereby directing and concentrating the reactive species toward the substrate 1 and activating the migration at the time of film formation. Thus, a predetermined film of polycrystal silicon or the like is formed by vapor growth on the substrate 1 which is held at the room temperature to 550° C., for example, 200 to 300° C.
Since the reactive species are thus provided with the catalytic action of the catalyzer 46 and with the directional kinetic energy which is obtained by adding the acceleration energy accompanying changes of the electric field due to the (DC+high frequency) field to the thermal energy of the catalytic action without generating plasma, the reaction gas can be efficiently changed to the reactive species, which can be uniformly deposited on the substrate 1 by thermal CVD using the (DC+high frequency) field. Since these deposition species 56 migrate on the substrate 1 and are diffused in the thin film, a semiconductor film of minute (high-density), flat and uniform polycrystal silicon or the like having high step coverage, a metal film made of aluminum or copper, or an insulation thin film made of silicon nitride or the like can be formed in tight contact with the surface of the substrate having a complicated shape with steps and a via-hole of a high aspect ratio like a very-large-scale integrated circuit (VLSI).
Thus, in the RF/DC-bias catalyzed CVD of the present embodiment, the production of the thin film is controlled by the independent, arbitrary (DC+high frequency) field, in comparison with the temperature of the substrate, the temperature of the catalyzer, the gas pressure (the flow rate of the reaction gas), the type of the material gas or the like as control factors of the conventional catalyzed CVD. Therefore, the tight contact between the produced film and the substrate, the density of the produced film, the uniformity or smoothness of the produced film, burying into a via-hole or the like, and the step coverage are improved and the temperature of the substrate is lowered further to enable stress control of the produced film. Thus, a film of high quality, for example, a silicon film or metal film having a bulk-like property, can be provided. In addition, since the reactive species produced by the catalyzer 46 can be independently controlled by the (DC+high frequency) field and efficiently deposited on the base, it is possible to realize higher utilization efficiency of the reaction gas, a higher production speed, improvement in productivity, and reduction in cost due to reduction in the quantity of the reaction gas.
Since the deposition species have large kinetic energy even when the temperature of the substrate is lowered, an intended film of good quality is obtained. Therefore, the temperature of the substrate can be lowered further as described above and an insulating substrate such as a glass substrate made of boro-silicate glass, alumino-silicate glass or the like, or a heat-resistant resin substrate made of polyimide or the like can be used. It is again possible to realize reduction in cost. In addition, since the shower head 42 for supplying the reaction gas can be also used as the electrode for accelerating the reactive species, a simple structure may be employed.
Moreover, since no plasma is generated, a film having no damage due to plasma and with low stress can be provided and a device which is more simple and inexpensive than in the plasma CVD method can be realized.
In this case, though operation can be done under a reduced pressure, for example, 10−3 to 10−2 Torr, or under a normal pressure, an apparatus of normal-pressure type is more simple and inexpensive than an apparatus of reduced-pressure type. Since the above-described electric field is applied in the normal-pressure type, too, a film of high quality having excellent density, uniformity and tight contact is provided. In this case, too, the normal-pressure type realizes a greater throughput, higher productivity and greater reduction in cost than the reduced-pressure type.
In the case of the reduced-pressure type, the (DC+high frequency) voltage is affected by the gas pressure (the flow rate of the reaction gas) and the type of the material gas. In any case, it is necessary to adjust the DC voltage to an arbitrary voltage not higher than the glow discharge starting voltage. In the case of the normal-pressure type, though there is no discharge, it is desired to adjust the exhaust gas flow so as not to contact the substrate, in order to prevent the flow of the material gas and reactive species from adversely affecting the thickness and quality of the film.
In the above-described CVD, though the temperature of the substrate is increased by heat radiation from the catalyzer 46, the substrate heater 51 may be installed as described above, if necessary. While the catalyzer 46 is in the coil-shape (it may also be in the shape of a mesh or porous plate), it is preferred to provide the catalyzer in a plurality of stages, for example, two to three stages, in the direction of the gas flow so as to increase the contact area with the gas. In this CVD, since the substrate 1 is set on the lower surface of the suscepter 45 and thus arranged above the shower head 42, no particle generated in the deposition chamber 44 will fall and adhere to the substrate 1 and the film thereon.
In the present embodiment, after the above-described RF/DC-bias catalyzed CVD is carried out, the substrate 1 is taken out of the deposition chamber 44 and a reaction gas 57 of CF4, C2F6, SF6, H2, NF3 or the like (with the degree of vacuum equal to 10−2 to several Torr) is fed, as shown in FIG. 4. Then, a high-frequency voltage 58 or a DC voltage is applied between the suscepter 45 of the substrate 1 and the shower head 42 as the counter-electrode, thereby causing plasma discharge. Thus, the inside of the deposition chamber 44 can be cleaned. The plasma-generating voltage in this case is not lower than 1 kV, particularly, several kV to tens of kV, for example, 10 kV.
In the present embodiment, too, similarly to the first embodiment, the RF/DC-bias catalyzed CVD method in place of the DC-bias catalyzer CVD method can be applied to the manufacture of a MOSTFT and the manufacture of a liquid crystal display device (LCD) shown in FIGS. 5 and 6.
A switch 116 may be provided on the previous stage of the matching circuit 114, as indicated by a dotted line in FIGS. 18 and 19, so that the switch 116 is turned on to carry out the above-described RF/DC-bias catalyzed CVD method. If the switch 116 is turned off, the DC-bias catalyzed CVD method of the first embodiment for actuating only the DC power source 49 can be carried out.
Tenth Embodiment
A tenth embodiment of the present invention will now be described with reference to FIG. 20.
In the present embodiment, using the RF/DC-bias-catalyzed CV-D method and the device therefor of the ninth embodiment, charged particles or ions are provided, that is, an electron shower 100 is provided near a substrate 1 or a suscepter 45 as shown in FIG. 20. Therefore, in addition to the effect of the ninth embodiment, an excellent effect can be realized as follows.
At the time of or during the formation of the above-described polycrystal silicon film, radical deposition species of high energy or their precursors and ions might be generated in the reaction gas due to catalytic action of a catalyzer 46, and charge up the substrate 1, thus causing unevenness in the film formation and deterioration in the performance of the film or device. However, by irradiating the ions and the like with electrons having directionality and concentration due to a DC field from the electron shower 100, the charges on the substrate 1 can be neutralized to enable satisfactory prevention of the charge-up. Particularly, when the substrate 1 is made of an insulation material, electric charges tend to be accumulated. Therefore, the use of the electron shower 100 turns out to be effective.
Meanwhile, by providing, in the ninth embodiment, a suscepter 45 having a mesh electrode 101 for acceleration and an air passage hole 102 as described in the third to sixth embodiments, the similar effect can be provided.
Eleventh Embodiment
An eleventh embodiment of the present invention will now be described with reference to FIG. 21.
In each of the above-described embodiments, the substrate 1 is arranged above the shower head 42. The present embodiment is different in that the substrate 1 is arranged under the shower head 42, as shown in FIG. 13. The other parts of the structure and the operating method are the same as those of the foregoing embodiments. Therefore, basically the same advantages as those of the ninth embodiment are provided. In FIG. 21, a numeral 101 represents a mesh electrode, and a DC voltage having a high-frequency voltage superimposed thereon is applied between the mesh electrode or the shower head 42 and the substrate 1.
A normal-pressure type device may be employed as a specific exemplary structure, which may be applied to a film forming device of the structure shown in FIGS. 14 to 17.
Twelfth Embodiment
A twelfth embodiment of the present invention will now be described with reference to FIG. 22.
<AC/DC-Bias Catalyzed CVD Method and Device Therefor>
In the present embodiment, on the basis of the catalyzed CVD method, a reaction gas, made of a hydrogen-based carrier gas and a material gas such as a silane gas or the like, is brought in contact with a heated catalyzer made of tungsten or the like, and an electric field of not higher than a glow discharge starting voltage is caused to act on the radical deposition species or its precursor thus produced and radical hydrogen ions, thus providing kinetic energy. Thus, a predetermined film of polycrystal silicon or the like is formed by vapor growth on an insulating substrate. In this case, a voltage which is produced by superimposing a low-frequency voltage onto a DC voltage and is not higher than the glow discharge starting voltage, that is, a voltage determined by the Paschen's law, for example, a voltage not higher than 1 kV, is applied between the substrate and a counter-electrode, thus directing the radical deposition species or its precursor and radical hydrogen ions toward the substrate, and providing kinetic energy with changes of the electric field. Hereinafter, the CVD method of the present embodiment is referred to as an AC/DC-bias catalyzed CVD method.
This AC/DC-bias catalyzed CVD method is carried out using a film forming device which uses a low-frequency power source 125 in place of the high-frequency power source 115 of the ninth-embodiment, with the other parts of the structure being the same as those of the ninth embodiment, as shown in FIG. 22.
Specifically, the shower head 42 is connected as an accelerating electrode to the positive electrode side of a variable DC power source (not higher than 1 kV, for example, 500 V) 49 via the duct 41 (the above-described low-pass filter 113 can be omitted), and is also connected to the low-frequency power source 125 (100 to 200 VP—P and not higher than 1 MHZ, for example, 150 VP—P and 26 kHz) via a matching circuit 114. Thus, a DC-bias voltage with a low-frequency voltage superimposed thereon, not higher than 1 kV, is applied between the shower head 42 and the suscepter 45 supporting the substrate 1.
Since the reactive species are thus provided with the catalytic action of the catalyzer 46 and with the directional kinetic energy which is obtained by adding the acceleration energy accompanying changes of the electric field due to the (DC+low frequency) field to the thermal energy of the catalytic action without generating plasma, the reaction gas can be efficiently changed to the reactive species, which can be uniformly deposited on the substrate 1 by thermal CVD using the (DC+low frequency) field. Since these deposition species 56 migrate on the substrate 1 and are diffused in the thin film, a semiconductor film of minute (high-density), flat and uniform polycrystal silicon or the like having high step coverage, a metal film made of aluminum or copper, or an insulation thin film made of silicon nitride or the like can be formed in tight contact with the surface of the substrate having a complicated shape with steps and a via-hole of a high aspect ratio like a very-large-scale integrated circuit (VLSI). In addition, advantages similar those of the ninth embodiment can be provided.
In the present embodiment, after the above-described AC/DC-bias catalyzed CVD is carried out, the substrate 1 is taken out of the deposition chamber 44 and a reaction gas 57 of CF4, C2F6, SF6, H2, NF3 or the like (with the degree of vacuum equal to 10−2 to several Torr) is fed, as shown in FIG. 4. Then, a high-frequency voltage 58 or a DC voltage is applied between the suscepter 45 of the substrate 1 and the shower head 42 as the counter-electrode, thereby causing plasma discharge. Thus, the inside of the deposition chamber 44 can be cleaned.
In the present embodiment, too, similarly to the first embodiment, the AC/DC-bias catalyzed CVD method in place of the DC-bias catalyzer CVD method can be applied to the manufacture of a MOSTFT and the manufacture of a liquid crystal display device (LCD) shown in FIGS. 5 and 6.
A switch 116 may be provided on the previous stage of the matching circuit 114, as indicated by a dotted line in FIG. 22, so that the switch 116 is turned on to carry out the above-described AC/DC-bias catalyzed CVD method. If the switch 116 is turned off, the DC-bias catalyzed CVD method of the first embodiment for actuating only the DC power source 49 can be carried out.
Also, the embodiments shown in FIGS. 7, 8 and 9 may be applied to the AC/DC-bias catalyzed CVD method of the present embodiment, so as to irradiate with electron beams for neutralizing electric charges or to use the mesh electrode as an accelerating electrode.
Thirteenth Embodiment
A thirteenth embodiment of the present invention will now be described with reference to FIG. 23.
In the present embodiment, various material gases are used in the above-described embodiments, thus forming various thin films corresponding to the material gases. In the present embodiment, any of the above-described DC-bias, RF/DC-bias and AC/DC-bias catalyzed CVD methods is applicable.
With respect to the above-described embodiments of the present invention, various modifications can be effected based on the technical idea of the present invention.
For example, various modifications may be effected with respect to the film forming condition, the structure of the device, and the type of the material gas to be used and the film to be formed.
Depending on the substrate to be used, a predetermined shape of step is formed at a predetermined position on the surface of the insulating substrate by means of dry etching or the like, and with the bottom corner of this step as a seed, deposition of single-crystal silicon, that is, so-called grapho-epitaxial growth, can be carried out at a lower temperature by the catalyzed CVD method during the application of the DC-bias, AC/DC-bias, or RF/DC-bias field of the present invention. Also, by forming on the surface of the substrate a layer of a material having good lattice matching with single-crystal silicon, for example, a crystalline sapphire layer, or a spinel structure such as a layer of magnesia spinel (MgO·Al2O3) or calcium fluoride (CaF2), hetero epitaxial growth, that is, deposition of single-crystal silicon, can be carried out at a lower temperature, using the produced layer as a seed, by the catalyzed CVD method during the application of the DC-bias, AC/DC-bias, or RF/DC-bias field of the present invention.
As such deposition at a low temperature is made possible, a substrate of low cost and good property such as a glass substrate having a relatively low strain point that can be easy to obtain can be used, thereby enabling increase in the size of the substrate. Since the crystalline sapphire layer serves as a diffusion barrier against various atoms, diffusion of impurity from the glass substrate can be restrained. The electron mobility of such silicon single-crystal thin film is not lower than 540 cm2/v·sec, which is as large a value as that of a silicon substrate. Therefore, in addition to a high-speed and large-current-density transistor, semiconductor devices such as high-performance diode, capacitor and resistor, or an electronic circuit formed by integrating these devices, can be prepared on an insulating substrate of a heat-resistant resin substrate or a glass substrate.
Instead of the above-described electron shower for preventing the charge-up, irradiation with particles of other negative charges may be carried out, or alternatively, irradiation of particles of positive charges such as proton may be carried out depending on the polarity of the charge-up. In the ninth to twelfth embodiments, too, the electric field application means described in the third to eighth embodiments can be employed.
For the application of the electric field, a method of applying a positive electrode potential to the accelerating electrode and applying a negative electrode or ground potential to the suscepter (substrate), as shown in FIG. 24A, or a method of applying a ground potential to the accelerating electrode and applying a negative electrode potential to the suscepter (substrate), as shown in FIG. 24B, may be employed. The application of the electric field can be carried out, using only a high-frequency AC voltage, or only a low-frequency AC voltage, or an AC voltage produced by superimposing a high-frequency AC voltage on a low-frequency AC voltage. However, the absolute value of the AC voltage is not higher than the glow discharge starting voltage. Alternatively, a voltage produced by superimposing a high-frequency AC voltage and a low-frequency AC voltage onto a DC voltage may be used. However, the absolute value of the voltage is not higher than the glow discharge starting voltage. This voltage may be varied during the formation of the film. Also, by providing means for applying an electric field of a DC voltage or the like between the electrode and the suscepter and for measuring a current flowing between them, and providing a curve and tracer for displaying current-voltage characteristics, the quality of the film may be detected during the formation of the film. In addition, the value of the current at the characteristic value during the application of the electric field may be fed back to the power source for the application of the electric field, the power source for the heat catalyzer, or the mass flow controller of the gas supply system, so as to provide constantly uniform quality of the film.
INDUSTRIAL APPLICABILITY
According to the present invention, a reaction gas is brought in contact with a heated catalyzer and an electric field of not higher than a glow discharge starting voltage is caused to act on the produced reactive species so as to provide directional kinetic energy, thus forming a predetermined film on a base by vapor growth. Therefore, since the reactive species are provided with a catalytic action of the catalyzer and its thermal energy as well as an acceleration field due to the voltage, large directional kinetic energy is provided. Thus, the reactive species can be efficiently led onto the base, and sufficient migration on the base and diffusion in the film during the formation process are realized. It is thus possible to realize improvement in tight contact between the produced film and the base, improvement in the density of the produced film, improvement in the uniformity or smoothness of the produced film, improvement in the burying property into a via-hole or the like and the step coverage, further lowering of the temperature of the base, and stress control of the produced film. Thus, a film of high quality can be provided.

Claims (22)

1. A film forming method in which a reaction gas is brought into contact with a heated catalyzer and an electric field of not higher than a glow discharge starting voltage is caused to act on the produced reactive species, thereby providing kinetic energy and carrying out vapor growth of a predetermined film on a base.
2. The film forming method as claimed in claim 1, wherein a DC voltage not higher than the glow discharge starting voltage is applied to direct the reactive species toward the base.
3. The film forming method as claimed in claim 1, wherein the catalyzer and an electrode for applying the electric field are arranged between the base and a reaction gas supply means.
4. The film forming method as claimed in claim 1, wherein the catalyzer or an electrode for applying the electric field is formed in the shape of a coil, wire, mesh, or porous plate.
5. The film forming method as claimed in claim 1, wherein after vapor growth of the predetermined film, the base is taken out of a deposition chamber and a voltage is applied between predetermined electrodes to generate plasma discharge, thereby cleaning the inside of the deposition chamber with the plasma discharge.
6. The film forming method as claimed in claim 1, wherein the vapor growth is carried out under a reduced pressure or a normal pressure.
7. The film forming method as claimed in claim 1, wherein the catalyzer is made of at least one type of material selected from the group consisting of tungsten, thoria-containing tungsten, titanium, molybdenum, platinum, palladium, vanadium, silicon, alumina, ceramics with metal adhered thereto, and silicon carbide.
8. The film forming method as claimed in claim 1, wherein the catalyzer is heated in a hydrogen-based gas atmosphere before supplying the material gas.
9. The film forming method as claimed in claim 1, wherein a thin film is formed for a silicon semiconductor device, a silicon semiconductor integrated circuit device, a silicon-germanium semiconductor device, a silicon-germanium semiconductor integrated circuit device, a compound semiconductor device, a compound semiconductor integrated circuit device, a silicon carbide semiconductor device, a silicon carbide semiconductor integrated circuit device, a high dielectric memory semiconductor device, a ferroelectric memory semiconductor device, a liquid crystal display device, an electroluminescence display device, a plasma display panel (PDP) device, a field emission display (FED) device, a light-emitting polymer display device, a light-emitting diode display device, a CCD area/linear sensor device, a MOS sensor device, or a solar battery device.
10. The film forming method as claimed in claim 1, wherein as the voltage forming the electric field (with its absolute value being not higher than the glow discharge starting voltage), only a high-frequency AC voltage, or only a low-frequency AC voltage, or a voltage produced by superimposing a high-frequency AC voltage on a low-frequency AC voltage.
11. The film forming method as claimed in claim 10, wherein the high-frequency voltage has a frequency of 1 MHZ to 10 GHz and the low-frequency voltage has a frequency less than 1 MHZ.
12. The film forming method as claimed in claim 1, wherein the catalyzer is arranged between the base and an electrode for applying the electric field.
13. The film forming method as claimed in claim 12, wherein a gas supply port for leading out the reaction gas is formed in the electrode.
14. The film forming method as claimed in claim 1, wherein the reactive species are irradiated with charged particles for preventing charging.
15. The film forming method as claimed in claim 14, wherein an electron beam or proton is used as the charged particles.
16. The film forming method as claimed in claim 1, wherein the catalyzer is heated to a temperature within a range of 800 to 2000° C. and lower than its melting point, and the reactive species, produced by catalytic reaction or thermal decomposition of at least a part of the reaction gas with the heated catalyzer, are used as material species so as to deposit a thin film by a thermal CVD method on the base heated to the room temperature to 550° C.
17. The film forming method as claimed in claim 16 wherein the catalyzer is heated by its own resistance heating.
18. The film forming method as claimed in claim 1, wherein any one of the following gases (a) to (p) is used as a material gas:
(a) silicon hydride or its derivative;
(b) mixture of silicon hydride or its derivative and gas containing hydrogen, oxygen, nitrogen, germanium, carbon, tin, or lead;
(c) mixture of silicon hydride or its derivative and gas containing impurity made of a group III or group V element of the periodic table;
(d) mixture of silicon hydride or its derivative, gas containing hydrogen, oxygen, nitrogen, germanium, carbon, tin, or lead, and gas containing impurity made of a group III or group V element of the periodic table;
(e) aluminum compound gas;
(f) mixture of aluminum compound gas and gas containing hydrogen or oxygen;
(g) indium compound gas;
(h) mixture of indium compound gas and gas containing oxygen;
(i) fluoride gas, chloride gas or organic compound gas of a refractory metal;
(j) mixture of fluoride gas, chloride gas or organic compound gas of a refractory metal and silicon hydride or its derivative;
(k) mixture of titanium chloride and gas containing nitrogen and/or oxygen;
(l) copper compound gas;
(m) mixture of aluminum compound gas, hydrogen or hydrogen compound gas, silicon hydride or its derivative, and/or copper compound gas;
(n) hydrocarbon or its derivative;
(o) mixture of hydrocarbon or its derivative and hydrogen gas; and
(p) organic metal complex, alkoxide.
19. The film forming method as claimed in claim 18, wherein the following thin films and tubular carbon polyhedrons are formed by vapor growth: polycrystal silicon; single-crystal silicon; amorphous silicon; microcrystal silicon; compound semiconductors such as gallium-arsenide, gallium-phosphorus, gallium-indium-phosphorus, gallium-nitride and the like; semiconductor thin films of silicon carbide, silicon-germanium and the like; a diamond thin film; an n-type or p-type carrier impurity-containing diamond thin film; a diamond-like carbon thin film; an insulating thin films of silicon oxide, impurity-containing silicon oxide, silicon nitride, silicon oxynitride, titanium oxide, tantalum oxide, aluminum oxide and the like; oxidative thin films of indium oxide, indium-tin oxide, palladium oxide and the like; metal thin films of refractory metals such as tungsten, molybdenum, titanium, zirconium and the like, conductive nitride metal, copper, aluminum, aluminum-silicon alloy, aluminum-silicon-copper alloy, aluminum-copper alloy and the like; a thin film having a high dielectric constant such as BST and the like; and ferroelectric thin films made of PZT, LPZT, SBT, BIT and the like.
20. The film forming method as claimed in claim 1, wherein a voltage not higher than the glow discharge starting voltage and produced by superimposing an AC voltage on a DC voltage is applied.
21. The film forming method as claimed in claim 20, wherein the AC voltage is a high-frequency voltage and/or a low-frequency voltage.
22. The film forming method as claimed in claim 21, wherein the high-frequency voltage has a frequency of 1 MHZ to 10 GHz and the low-frequency voltage has a frequency less than 1 MHZ.
US09/646,680 1999-01-22 2000-01-24 Method and apparatus for film deposition Expired - Fee Related US7011866B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/751,923 US20040134429A1 (en) 1999-01-22 2004-01-07 Film forming method and film forming apparatus

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP1384399 1999-01-22
JP15146699 1999-05-31
PCT/JP2000/000328 WO2000044033A1 (en) 1999-01-22 2000-01-24 Method and apparatus for film deposition

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2000/000328 A-371-Of-International WO2000044033A1 (en) 1999-01-22 2000-01-24 Method and apparatus for film deposition

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US10/751,923 Division US20040134429A1 (en) 1999-01-22 2004-01-07 Film forming method and film forming apparatus

Publications (1)

Publication Number Publication Date
US7011866B1 true US7011866B1 (en) 2006-03-14

Family

ID=26349693

Family Applications (2)

Application Number Title Priority Date Filing Date
US09/646,680 Expired - Fee Related US7011866B1 (en) 1999-01-22 2000-01-24 Method and apparatus for film deposition
US10/751,923 Abandoned US20040134429A1 (en) 1999-01-22 2004-01-07 Film forming method and film forming apparatus

Family Applications After (1)

Application Number Title Priority Date Filing Date
US10/751,923 Abandoned US20040134429A1 (en) 1999-01-22 2004-01-07 Film forming method and film forming apparatus

Country Status (4)

Country Link
US (2) US7011866B1 (en)
KR (1) KR20010090427A (en)
TW (1) TW455912B (en)
WO (1) WO2000044033A1 (en)

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030047536A1 (en) * 2002-10-02 2003-03-13 Johnson Wayne L. Method and apparatus for distributing gas within high density plasma process chamber to ensure uniform plasma
US20030198743A1 (en) * 2002-04-22 2003-10-23 Hitoshi Morisaki Silicon nitride film forming apparatus and film forming method
US20060233692A1 (en) * 2004-04-26 2006-10-19 Mainstream Engineering Corp. Nanotube/metal substrate composites and methods for producing such composites
US20070163503A1 (en) * 2006-01-17 2007-07-19 Mitsubishi Heavy Industries, Ltd. Thin film preparation apparatus
US20080081115A1 (en) * 2001-12-12 2008-04-03 Semiconductor Energy Laboratory Co., Ltd. Film formation apparatus and film formation method and cleaning method
US20100248496A1 (en) * 2009-03-24 2010-09-30 Talwan Semiconductor Manufacturing Co., Ltd. Rotatable and tunable heaters for semiconductor furnace
US20110117748A1 (en) * 2004-08-27 2011-05-19 Fei Company Localized Plasma Processing
US20110126762A1 (en) * 2007-03-29 2011-06-02 Tokyo Electron Limited Vapor deposition system
US20130260057A1 (en) * 2012-04-03 2013-10-03 Novellus Systems, Inc. Continuous plasma and rf bias to regulate damage in a substrate processing system
US9145607B2 (en) 2013-10-22 2015-09-29 Lam Research Corporation Tandem source activation for cyclical deposition of films
US20160189957A1 (en) * 2014-12-24 2016-06-30 Tokyo Electron Limited Method of Forming Silicon Film and Apparatus Therefor
US10888895B2 (en) 2017-03-10 2021-01-12 Showa Denko K.K. Method for producing a thin film, method for producing a magnetic disk, method for producing a nanoimprint mold, and apparatus for producing a thin film
US10993058B2 (en) * 2017-02-28 2021-04-27 1More Inc Manufacturing method for diamond-like carbon vibrating diaphragm and loudspeaker

Families Citing this family (355)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW455912B (en) 1999-01-22 2001-09-21 Sony Corp Method and apparatus for film deposition
KR100360470B1 (en) * 2000-03-15 2002-11-09 삼성에스디아이 주식회사 Method for depositing a vertically aligned carbon nanotubes using thermal chemical vapor deposition
JP4710187B2 (en) * 2000-08-30 2011-06-29 ソニー株式会社 Method for growing polycrystalline silicon layer and method for epitaxial growth of single crystal silicon layer
EP1322801B1 (en) * 2000-09-22 2010-01-06 Aixtron Ag Cvd-method and gas inlet mechanism for carrying out the method
JP4599734B2 (en) * 2001-03-14 2010-12-15 ソニー株式会社 Method for forming polycrystalline semiconductor thin film and method for manufacturing semiconductor device
JP2002294450A (en) * 2001-03-29 2002-10-09 Sony Corp Method of forming polycrystalline semiconductor thin film, method for manufacturing semiconductor device, and apparatus used for carrying out these methods
JP4599746B2 (en) * 2001-04-04 2010-12-15 ソニー株式会社 Method for forming polycrystalline semiconductor thin film and method for manufacturing semiconductor device
WO2003005435A1 (en) * 2001-07-05 2003-01-16 Tokyo Electron Limited Substrate treating device and substrate treating method, substrate flattening method
WO2004070819A1 (en) * 2003-02-05 2004-08-19 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing display
US20040258547A1 (en) * 2003-04-02 2004-12-23 Kurt Burger Pump piston and/or elements sealing the pump piston, in particular a sealing ring of elastomeric material, and a device and method for coating an object of elastomeric material
JP2005082880A (en) * 2003-09-11 2005-03-31 Shoka Kagi Kofun Yugenkoshi Film deposition apparatus for organic el light emitting device
JP2005179744A (en) * 2003-12-19 2005-07-07 Toshiba Corp Catalyst cvd apparatus and catalyst cvd method
KR20050086237A (en) * 2004-02-25 2005-08-30 삼성에스디아이 주식회사 Formation method of emitter for electron emission display and electron emission display using the same
US8251012B2 (en) * 2005-03-01 2012-08-28 Hitachi Kokusai Electric Inc. Substrate processing apparatus and semiconductor device producing method
KR100688836B1 (en) * 2005-05-11 2007-03-02 삼성에스디아이 주식회사 Catalyst ehhanced chemical vapor depostion apparatus
WO2006134818A1 (en) * 2005-06-15 2006-12-21 Ulvac, Inc. Film forming device, thin-film manufacturing apparatus, and film forming method
JP2007067157A (en) * 2005-08-31 2007-03-15 Tokyo Ohka Kogyo Co Ltd Phase reaction processing apparatus
WO2008030047A1 (en) * 2006-09-06 2008-03-13 Seoul National University Industry Foundation Apparatus and method of depositing films using bias and charging behavior of nanoparticles formed during chemical vapor deposition
JP5253838B2 (en) * 2007-02-23 2013-07-31 三井化学東セロ株式会社 Thin film manufacturing method
WO2008111231A1 (en) * 2007-03-15 2008-09-18 Fujitsu Microelectronics Limited Chemical vapor-phase growing apparatus, method of forming film and process for producing semiconductor device
JP4308281B2 (en) * 2007-04-23 2009-08-05 三洋電機株式会社 Photovoltaic element manufacturing method
KR101028416B1 (en) * 2007-08-20 2011-04-13 재단법인서울대학교산학협력재단 Method for production of thin film and apparatus for manufacturing the same
JP2009111397A (en) * 2008-11-04 2009-05-21 Canon Anelva Corp Method of etching deposition film
JP2009044190A (en) * 2008-11-07 2009-02-26 Canon Anelva Corp Etching method of attached film
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US20110027719A1 (en) * 2009-07-31 2011-02-03 Pei-Chang Wang Photomask etching method for chemical vapor deposition film
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP6324924B2 (en) * 2010-03-30 2018-05-16 ソニー株式会社 Adsorbent manufacturing method and purification agent manufacturing method
JP2011225521A (en) 2010-03-30 2011-11-10 Sony Corp Fungicide, photo catalytic composite material, adsorbent, and depurative
WO2011149615A2 (en) * 2010-05-24 2011-12-01 Applied Materials, Inc. Hybrid hotwire chemical vapor deposition and plasma enhanced chemical vapor deposition method and apparatus
JP5698043B2 (en) * 2010-08-04 2015-04-08 株式会社ニューフレアテクノロジー Semiconductor manufacturing equipment
US8592005B2 (en) * 2011-04-26 2013-11-26 Asm Japan K.K. Atomic layer deposition for controlling vertical film growth
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
FR2995913B1 (en) * 2012-09-24 2014-10-10 Commissariat Energie Atomique PROCESS FOR FORMING AN EPITAXIC SILICON LAYER
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9416450B2 (en) * 2012-10-24 2016-08-16 Applied Materials, Inc. Showerhead designs of a hot wire chemical vapor deposition (HWCVD) chamber
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (en) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. Method of depositing thin film
KR102263121B1 (en) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. Semiconductor device and manufacuring method thereof
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (en) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. Method of forming metal interconnection and method of fabricating semiconductor device using the same
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (en) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10544505B2 (en) * 2017-03-24 2020-01-28 Applied Materials, Inc. Deposition or treatment of diamond-like carbon in a plasma reactor
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (en) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. Equipment with a clean mini-environment
KR102597978B1 (en) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. Storage device for storing wafer cassettes for use with batch furnaces
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
CN108048816B (en) * 2017-12-08 2023-09-22 中国科学技术大学 Apparatus and method for proximity catalytic chemical vapor deposition
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (en) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. Method of depositing a gap fill layer by plasma assisted deposition
TWI799494B (en) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 Deposition method
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
JP7124098B2 (en) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
TW202344708A (en) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
KR20190129718A (en) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
TW202013553A (en) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
KR20210027265A (en) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. Periodic deposition method for forming metal-containing material and film and structure comprising metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (en) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming device structure using selective deposition of gallium nitride, and system for the same
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
TW202044325A (en) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 Method of filling a recess formed within a surface of a substrate, semiconductor structure formed according to the method, and semiconductor processing apparatus
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
TW202104632A (en) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
KR20200102357A (en) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for plug fill deposition in 3-d nand applications
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200116033A (en) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system including a gas detector
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (en) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 Method of forming topologically controlled amorphous carbon polymer films
TW202113936A (en) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (en) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
TW202115273A (en) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210065848A (en) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. Methods for selectivley forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (en) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
KR20210100010A (en) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
KR20210116249A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. lockout tagout assembly and system and method of using same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
KR20210117157A (en) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. Method for Fabricating Layer Structure Having Target Topological Profile
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Vertical batch furnace assembly comprising a cooling gas supply
TW202140831A (en) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 Method of forming vanadium nitride–containing layer and structure comprising the same
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210134226A (en) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. Solid source precursor vessel
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
TW202219628A (en) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
KR20220027026A (en) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. Method and system for forming metal silicon oxide and metal silicon oxynitride
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3769670A (en) * 1972-05-08 1973-11-06 Gte Sylvania Inc Method of suppressing hydrogen absorption in tungsten filaments
JPS61247018A (en) 1985-04-24 1986-11-04 Canon Inc Deposition film forming method and deposition film forming equipment
US4668365A (en) * 1984-10-25 1987-05-26 Applied Materials, Inc. Apparatus and method for magnetron-enhanced plasma-assisted chemical vapor deposition
JPS6340314A (en) 1986-08-05 1988-02-20 Hiroshima Univ Manufacture of thin film by catalytic cvd method and device therefor
US4961958A (en) * 1989-06-30 1990-10-09 The Regents Of The Univ. Of Calif. Process for making diamond, and doped diamond films at low temperature
US4974544A (en) 1986-10-07 1990-12-04 Ricoh Company, Co. Vapor deposition apparatus
US5058527A (en) 1990-07-24 1991-10-22 Ricoh Company, Ltd. Thin film forming apparatus
US5112466A (en) 1987-08-05 1992-05-12 Ricoh Company, Ltd. Apparatus for forming a thin film
US5145712A (en) 1991-02-08 1992-09-08 Center For Innovative Technology Chemical deposition of diamond
US5160544A (en) 1990-03-20 1992-11-03 Diamonex Incorporated Hot filament chemical vapor deposition reactor
JPH0625856A (en) 1991-05-31 1994-02-01 Tonen Corp Formation of diamond-like carbon film
US5378285A (en) 1993-02-10 1995-01-03 Matsushita Electric Industrial Co., Ltd. Apparatus for forming a diamond-like thin film
US5384018A (en) 1992-05-26 1995-01-24 Balzers Aktiengesellschaft Process and apparatus for generating and igniting a low-voltage
US5464499A (en) * 1992-06-24 1995-11-07 Texas Instruments Incorporated Multi-electrode plasma processing apparatus
US5554222A (en) 1992-06-01 1996-09-10 Matsushita Electric Industrial Co., Ltd. Ionization deposition apparatus
JPH1072284A (en) 1996-08-28 1998-03-17 Tokai Univ Hot-filament chemical vapor deposition equipment
JPH1072286A (en) 1996-08-30 1998-03-17 Mitsubishi Electric Corp Device for forming diamond-like carbon thin film
US5900161A (en) * 1995-01-13 1999-05-04 Anelva Corporation Apparatus and method for detecting end point of post treatment
US5942854A (en) 1997-06-11 1999-08-24 Kawasaki Jukogyo Kabushiki Kaisha Electron-beam excited plasma generator with side orifices in the discharge chamber
US6045877A (en) * 1997-07-28 2000-04-04 Massachusetts Institute Of Technology Pyrolytic chemical vapor deposition of silicone films
WO2000044033A1 (en) 1999-01-22 2000-07-27 Sony Corporation Method and apparatus for film deposition
US6101972A (en) 1998-05-13 2000-08-15 Intevac, Inc. Plasma processing system and method
US6161499A (en) 1997-07-07 2000-12-19 Cvd Diamond Corporation Apparatus and method for nucleation and deposition of diamond using hot-filament DC plasma
US6203862B1 (en) 1998-05-13 2001-03-20 Intevac, Inc. Processing systems with dual ion sources
US6211622B1 (en) 1998-11-10 2001-04-03 Kawasaki Jukogyo Kabushiki Kaisha Plasma processing equipment
US6225241B1 (en) * 1997-01-20 2001-05-01 Nec Corporation Catalytic deposition method for a semiconductor surface passivation film
US6291343B1 (en) * 1994-11-14 2001-09-18 Applied Materials, Inc. Plasma annealing of substrates to improve adhesion
US6349669B1 (en) 1996-09-06 2002-02-26 Hideki Matsumura Method and apparatus for depositing a thin film, and semiconductor device having a semiconductor-insulator junction

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4404068A (en) * 1981-04-29 1983-09-13 Stanford University Solid state method for synthesis reactions
US5685914A (en) * 1994-04-05 1997-11-11 Applied Materials, Inc. Focus ring for semiconductor wafer processing in a plasma reactor
US5888591A (en) * 1996-05-06 1999-03-30 Massachusetts Institute Of Technology Chemical vapor deposition of fluorocarbon polymer thin films
JP3336897B2 (en) * 1997-02-07 2002-10-21 三菱住友シリコン株式会社 Susceptor for vapor phase epitaxy
WO2003006181A1 (en) * 2001-07-10 2003-01-23 3M Innovative Properties Company Coated medicinal inhalation devices and components method

Patent Citations (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3769670A (en) * 1972-05-08 1973-11-06 Gte Sylvania Inc Method of suppressing hydrogen absorption in tungsten filaments
US4668365A (en) * 1984-10-25 1987-05-26 Applied Materials, Inc. Apparatus and method for magnetron-enhanced plasma-assisted chemical vapor deposition
JPS61247018A (en) 1985-04-24 1986-11-04 Canon Inc Deposition film forming method and deposition film forming equipment
JPS6340314A (en) 1986-08-05 1988-02-20 Hiroshima Univ Manufacture of thin film by catalytic cvd method and device therefor
US4974544A (en) 1986-10-07 1990-12-04 Ricoh Company, Co. Vapor deposition apparatus
US5112466A (en) 1987-08-05 1992-05-12 Ricoh Company, Ltd. Apparatus for forming a thin film
US4961958A (en) * 1989-06-30 1990-10-09 The Regents Of The Univ. Of Calif. Process for making diamond, and doped diamond films at low temperature
US5160544A (en) 1990-03-20 1992-11-03 Diamonex Incorporated Hot filament chemical vapor deposition reactor
US5058527A (en) 1990-07-24 1991-10-22 Ricoh Company, Ltd. Thin film forming apparatus
US5145712A (en) 1991-02-08 1992-09-08 Center For Innovative Technology Chemical deposition of diamond
JPH0625856A (en) 1991-05-31 1994-02-01 Tonen Corp Formation of diamond-like carbon film
US5384018A (en) 1992-05-26 1995-01-24 Balzers Aktiengesellschaft Process and apparatus for generating and igniting a low-voltage
US5554222A (en) 1992-06-01 1996-09-10 Matsushita Electric Industrial Co., Ltd. Ionization deposition apparatus
US5464499A (en) * 1992-06-24 1995-11-07 Texas Instruments Incorporated Multi-electrode plasma processing apparatus
US5378285A (en) 1993-02-10 1995-01-03 Matsushita Electric Industrial Co., Ltd. Apparatus for forming a diamond-like thin film
US6291343B1 (en) * 1994-11-14 2001-09-18 Applied Materials, Inc. Plasma annealing of substrates to improve adhesion
US5900161A (en) * 1995-01-13 1999-05-04 Anelva Corporation Apparatus and method for detecting end point of post treatment
JPH1072284A (en) 1996-08-28 1998-03-17 Tokai Univ Hot-filament chemical vapor deposition equipment
JPH1072286A (en) 1996-08-30 1998-03-17 Mitsubishi Electric Corp Device for forming diamond-like carbon thin film
US6349669B1 (en) 1996-09-06 2002-02-26 Hideki Matsumura Method and apparatus for depositing a thin film, and semiconductor device having a semiconductor-insulator junction
US6225241B1 (en) * 1997-01-20 2001-05-01 Nec Corporation Catalytic deposition method for a semiconductor surface passivation film
US5942854A (en) 1997-06-11 1999-08-24 Kawasaki Jukogyo Kabushiki Kaisha Electron-beam excited plasma generator with side orifices in the discharge chamber
US6161499A (en) 1997-07-07 2000-12-19 Cvd Diamond Corporation Apparatus and method for nucleation and deposition of diamond using hot-filament DC plasma
US6045877A (en) * 1997-07-28 2000-04-04 Massachusetts Institute Of Technology Pyrolytic chemical vapor deposition of silicone films
US6101972A (en) 1998-05-13 2000-08-15 Intevac, Inc. Plasma processing system and method
US6203862B1 (en) 1998-05-13 2001-03-20 Intevac, Inc. Processing systems with dual ion sources
US6211622B1 (en) 1998-11-10 2001-04-03 Kawasaki Jukogyo Kabushiki Kaisha Plasma processing equipment
WO2000044033A1 (en) 1999-01-22 2000-07-27 Sony Corporation Method and apparatus for film deposition
US20040134429A1 (en) 1999-01-22 2004-07-15 Hideo Yamanaka Film forming method and film forming apparatus

Cited By (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080081115A1 (en) * 2001-12-12 2008-04-03 Semiconductor Energy Laboratory Co., Ltd. Film formation apparatus and film formation method and cleaning method
US7763320B2 (en) * 2001-12-12 2010-07-27 Semiconductor Energy Laboratory Co., Ltd. Film formation apparatus and film formation method and cleaning method
US20030198743A1 (en) * 2002-04-22 2003-10-23 Hitoshi Morisaki Silicon nitride film forming apparatus and film forming method
US20080020140A1 (en) * 2002-04-22 2008-01-24 Hitoshi Morisaki Silicon Nitride Film Forming Method
US7704556B2 (en) 2002-04-22 2010-04-27 Canon Anelva Corporation Silicon nitride film forming method
US20030047536A1 (en) * 2002-10-02 2003-03-13 Johnson Wayne L. Method and apparatus for distributing gas within high density plasma process chamber to ensure uniform plasma
US20060233692A1 (en) * 2004-04-26 2006-10-19 Mainstream Engineering Corp. Nanotube/metal substrate composites and methods for producing such composites
US20110117748A1 (en) * 2004-08-27 2011-05-19 Fei Company Localized Plasma Processing
US8530006B2 (en) * 2004-08-27 2013-09-10 Fei Company Localized plasma processing
US20070163503A1 (en) * 2006-01-17 2007-07-19 Mitsubishi Heavy Industries, Ltd. Thin film preparation apparatus
US9157152B2 (en) * 2007-03-29 2015-10-13 Tokyo Electron Limited Vapor deposition system
US20110126762A1 (en) * 2007-03-29 2011-06-02 Tokyo Electron Limited Vapor deposition system
US8536491B2 (en) * 2009-03-24 2013-09-17 Taiwan Semiconductor Manufacturing Co., Ltd. Rotatable and tunable heaters for semiconductor furnace
US9105591B2 (en) 2009-03-24 2015-08-11 Taiwan Semiconductor Manufacturing Co., Ltd. Rotatable and tunable heaters for semiconductor furnace
US20100248496A1 (en) * 2009-03-24 2010-09-30 Talwan Semiconductor Manufacturing Co., Ltd. Rotatable and tunable heaters for semiconductor furnace
US20130260057A1 (en) * 2012-04-03 2013-10-03 Novellus Systems, Inc. Continuous plasma and rf bias to regulate damage in a substrate processing system
US9194045B2 (en) * 2012-04-03 2015-11-24 Novellus Systems, Inc. Continuous plasma and RF bias to regulate damage in a substrate processing system
US9145607B2 (en) 2013-10-22 2015-09-29 Lam Research Corporation Tandem source activation for cyclical deposition of films
US9738972B2 (en) 2013-10-22 2017-08-22 Lam Research Corporation Tandem source activation for CVD of films
US10577688B2 (en) 2013-10-22 2020-03-03 Lam Research Corporation Tandem source activation for CVD of films
US11434567B2 (en) 2013-10-22 2022-09-06 Lam Research Corporation Substrate processing system with tandem source activation for CVD
US20160189957A1 (en) * 2014-12-24 2016-06-30 Tokyo Electron Limited Method of Forming Silicon Film and Apparatus Therefor
US9922824B2 (en) * 2014-12-24 2018-03-20 Tokyo Electron Limited Method of forming silicon film
US10993058B2 (en) * 2017-02-28 2021-04-27 1More Inc Manufacturing method for diamond-like carbon vibrating diaphragm and loudspeaker
US10888895B2 (en) 2017-03-10 2021-01-12 Showa Denko K.K. Method for producing a thin film, method for producing a magnetic disk, method for producing a nanoimprint mold, and apparatus for producing a thin film

Also Published As

Publication number Publication date
US20040134429A1 (en) 2004-07-15
WO2000044033A1 (en) 2000-07-27
TW455912B (en) 2001-09-21
KR20010090427A (en) 2001-10-18

Similar Documents

Publication Publication Date Title
US7011866B1 (en) Method and apparatus for film deposition
US6592771B1 (en) Vapor-phase processing method and apparatus therefor
US10837122B2 (en) Method and apparatus for precleaning a substrate surface prior to epitaxial growth
KR100932815B1 (en) Multilayer High Quality Gate Dielectric for Low Temperature Poly-Si Thin Film Transistor
JP4556329B2 (en) Thin film forming equipment
US6930041B2 (en) Photo-assisted method for semiconductor fabrication
US6143128A (en) Apparatus for preparing and metallizing high aspect ratio silicon semiconductor device contacts to reduce the resistivity thereof
US6649545B2 (en) Photo-assisted remote plasma apparatus and method
US6758224B2 (en) Method of cleaning CVD device
JP3353514B2 (en) Plasma processing apparatus, plasma processing method, and method for manufacturing semiconductor device
US20030143410A1 (en) Method for reduction of contaminants in amorphous-silicon film
US8975603B2 (en) Systems and methods for plasma doping microfeature workpieces
WO2005104206A1 (en) Method of controlling the uniformity of pecvd-deposited thin films
KR20170061721A (en) METHOD OF IGZO AND ZNO TFT FABRICATION WITH PECVD SiO2 PASSIVATION
US11756828B2 (en) Cluster processing system for forming a transition metal material
JP4250834B2 (en) Method for forming a thin film by catalytic sputtering
JP4126517B2 (en) Vapor processing equipment
JP4200618B2 (en) Semiconductor film forming method and thin film semiconductor device manufacturing method
JP2000223421A (en) Film growth method and its device
JP2001168029A (en) Method of forming semiconductor film and method of manufacturing thin film semiconductor device
US8609552B2 (en) Method for controlling dangling bonds in fluorocarbon films
JP3775500B2 (en) Method and apparatus for forming semiconductor thin film, and catalyst nozzle
JP2000294535A (en) Vapor phase processing method and its apparatus
JP2000243712A (en) Film forming method and device
JP2001176806A (en) Method for forming semiconductor film, and method for manufacturing semiconductor device

Legal Events

Date Code Title Description
AS Assignment

Owner name: SONY CORPORATION, JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:YAMANAKA, HIDEO;KAISE, KIKUO;REEL/FRAME:011468/0426;SIGNING DATES FROM 20000918 TO 20000919

REMI Maintenance fee reminder mailed
LAPS Lapse for failure to pay maintenance fees
STCH Information on status: patent discontinuation

Free format text: PATENT EXPIRED DUE TO NONPAYMENT OF MAINTENANCE FEES UNDER 37 CFR 1.362

FP Lapsed due to failure to pay maintenance fee

Effective date: 20100314