US6987240B2 - Thermal flux processing by scanning - Google Patents

Thermal flux processing by scanning Download PDF

Info

Publication number
US6987240B2
US6987240B2 US10/325,497 US32549702A US6987240B2 US 6987240 B2 US6987240 B2 US 6987240B2 US 32549702 A US32549702 A US 32549702A US 6987240 B2 US6987240 B2 US 6987240B2
Authority
US
United States
Prior art keywords
continuous wave
electromagnetic radiation
wave electromagnetic
substrate
stage
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime, expires
Application number
US10/325,497
Other versions
US20030196996A1 (en
Inventor
Dean C. Jennings
Mark Yam
Abhilash J. Mayur
Vernon Behrens
Paul A. O'Brien
Leonid M. Tertitski
Alexander Goldin
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/126,419 external-priority patent/US7005601B2/en
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US10/325,497 priority Critical patent/US6987240B2/en
Priority to EP03747044A priority patent/EP1507625A4/en
Priority to KR1020047016741A priority patent/KR101010492B1/en
Priority to JP2003585924A priority patent/JP5079207B2/en
Priority to TW092109147A priority patent/TWI223858B/en
Priority to AU2003262388A priority patent/AU2003262388A1/en
Priority to PCT/US2003/012291 priority patent/WO2003089184A1/en
Priority to KR1020107014478A priority patent/KR101037525B1/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: JENNINGS, DEAN C., MAYUR, ABHILASH J., O'BRIEN, PAUL, YAM, MARK, BEHRENS, VERNON, GOLDIN, ALEXANDER, TERTITSKI, LEONID M.
Publication of US20030196996A1 publication Critical patent/US20030196996A1/en
Priority to US11/079,785 priority patent/US8178819B2/en
Publication of US6987240B2 publication Critical patent/US6987240B2/en
Application granted granted Critical
Priority to US11/522,179 priority patent/US20070114214A1/en
Priority to US11/561,784 priority patent/US7875829B2/en
Priority to US11/924,298 priority patent/US7872209B2/en
Priority to US12/980,579 priority patent/US8288685B2/en
Priority to US13/442,128 priority patent/US8829393B2/en
Priority to JP2012155648A priority patent/JP5687249B2/en
Priority to US14/478,118 priority patent/US9737959B2/en
Adjusted expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02667Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth
    • H01L21/02675Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth using laser beams
    • H01L21/02683Continuous wave laser beam
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • B23K26/03Observing, e.g. monitoring, the workpiece
    • B23K26/032Observing, e.g. monitoring, the workpiece using optical means
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • B23K26/03Observing, e.g. monitoring, the workpiece
    • B23K26/034Observing the temperature of the workpiece
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • B23K26/04Automatically aligning, aiming or focusing the laser beam, e.g. using the back-scattered light
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • B23K26/06Shaping the laser beam, e.g. by masks or multi-focusing
    • B23K26/0604Shaping the laser beam, e.g. by masks or multi-focusing by a combination of beams
    • B23K26/0608Shaping the laser beam, e.g. by masks or multi-focusing by a combination of beams in the same heat affected zone [HAZ]
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • B23K26/06Shaping the laser beam, e.g. by masks or multi-focusing
    • B23K26/064Shaping the laser beam, e.g. by masks or multi-focusing by means of optical elements, e.g. lenses, mirrors or prisms
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • B23K26/06Shaping the laser beam, e.g. by masks or multi-focusing
    • B23K26/064Shaping the laser beam, e.g. by masks or multi-focusing by means of optical elements, e.g. lenses, mirrors or prisms
    • B23K26/0643Shaping the laser beam, e.g. by masks or multi-focusing by means of optical elements, e.g. lenses, mirrors or prisms comprising mirrors
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • B23K26/06Shaping the laser beam, e.g. by masks or multi-focusing
    • B23K26/064Shaping the laser beam, e.g. by masks or multi-focusing by means of optical elements, e.g. lenses, mirrors or prisms
    • B23K26/0648Shaping the laser beam, e.g. by masks or multi-focusing by means of optical elements, e.g. lenses, mirrors or prisms comprising lenses
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • B23K26/06Shaping the laser beam, e.g. by masks or multi-focusing
    • B23K26/064Shaping the laser beam, e.g. by masks or multi-focusing by means of optical elements, e.g. lenses, mirrors or prisms
    • B23K26/0652Shaping the laser beam, e.g. by masks or multi-focusing by means of optical elements, e.g. lenses, mirrors or prisms comprising prisms
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • B23K26/06Shaping the laser beam, e.g. by masks or multi-focusing
    • B23K26/0665Shaping the laser beam, e.g. by masks or multi-focusing by beam condensation on the workpiece, e.g. for focusing
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • B23K26/06Shaping the laser beam, e.g. by masks or multi-focusing
    • B23K26/073Shaping the laser spot
    • B23K26/0738Shaping the laser spot into a linear shape
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/08Devices involving relative movement between laser beam and workpiece
    • B23K26/082Scanning systems, i.e. devices involving movement of the laser beam relative to the laser head
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/08Devices involving relative movement between laser beam and workpiece
    • B23K26/083Devices involving movement of the workpiece in at least one axial direction
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/08Devices involving relative movement between laser beam and workpiece
    • B23K26/083Devices involving movement of the workpiece in at least one axial direction
    • B23K26/0838Devices involving movement of the workpiece in at least one axial direction by using an endless conveyor belt
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/08Devices involving relative movement between laser beam and workpiece
    • B23K26/0869Devices involving movement of the laser head in at least one axial direction
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/12Working by laser beam, e.g. welding, cutting or boring in a special atmosphere, e.g. in an enclosure
    • B23K26/123Working by laser beam, e.g. welding, cutting or boring in a special atmosphere, e.g. in an enclosure in an atmosphere of particular gases
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/12Working by laser beam, e.g. welding, cutting or boring in a special atmosphere, e.g. in an enclosure
    • B23K26/123Working by laser beam, e.g. welding, cutting or boring in a special atmosphere, e.g. in an enclosure in an atmosphere of particular gases
    • B23K26/125Working by laser beam, e.g. welding, cutting or boring in a special atmosphere, e.g. in an enclosure in an atmosphere of particular gases of mixed gases
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/14Working by laser beam, e.g. welding, cutting or boring using a fluid stream, e.g. a jet of gas, in conjunction with the laser beam; Nozzles therefor
    • B23K26/142Working by laser beam, e.g. welding, cutting or boring using a fluid stream, e.g. a jet of gas, in conjunction with the laser beam; Nozzles therefor for the removal of by-products
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/20Bonding
    • B23K26/32Bonding taking account of the properties of the material involved
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/34Laser welding for purposes other than joining
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/70Auxiliary operations or equipment
    • B23K26/702Auxiliary equipment
    • B23K26/705Beam measuring device
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/047Coating on selected surface areas, e.g. using masks using irradiation by energy or particles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation by radiant heating of the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C8/00Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C8/00Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals
    • C23C8/06Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases
    • C23C8/08Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases only one element being applied
    • C23C8/10Oxidising
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C8/00Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals
    • C23C8/06Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases
    • C23C8/08Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases only one element being applied
    • C23C8/20Carburising
    • C23C8/22Carburising of ferrous surfaces
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B27/00Optical systems or apparatus not provided for by any of the groups G02B1/00 - G02B26/00, G02B30/00
    • G02B27/09Beam shaping, e.g. changing the cross-sectional area, not otherwise provided for
    • G02B27/0938Using specific optical elements
    • G02B27/095Refractive optical elements
    • G02B27/0955Lenses
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/0242Crystalline insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02422Non-crystalline insulating materials, e.g. glass, polymers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02667Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth
    • H01L21/02675Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth using laser beams
    • H01L21/02678Beam shaping, e.g. using a mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02667Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth
    • H01L21/02691Scanning of a beam
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K2101/00Articles made by soldering, welding or cutting
    • B23K2101/34Coated articles, e.g. plated or painted; Surface treated articles
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K2101/00Articles made by soldering, welding or cutting
    • B23K2101/36Electric or electronic devices
    • B23K2101/40Semiconductor devices
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K2103/00Materials to be soldered, welded or cut
    • B23K2103/08Non-ferrous metals or alloys
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K2103/00Materials to be soldered, welded or cut
    • B23K2103/50Inorganic material, e.g. metals, not provided for in B23K2103/02 – B23K2103/26

Definitions

  • the invention relates generally to semiconductor device manufacture. More particularly, the invention is directed to an apparatus and method for thermally processing a substrate by scanning the substrate with a line of radiation.
  • the integrated circuit (IC) market is continually demanding greater memory capacity, faster switching speeds, and smaller feature sizes.
  • One of the major steps the industry has taken to address these demands is to change batch processing multiple substrates, such as silicon wafers, in large furnaces to single substrate processing in small reaction chambers.
  • Heat treatments are operations in which the substrate is simply heated and cooled to achieve specific results. During heat treatment no additional material is added to or removed from the substrate. Heat treatments, such as rapid thermal processing or annealing, typically require providing a relatively large amount of thermal energy (high temperature) to the substrate in a short amount of time, and thereafter rapidly cooling the substrate to terminate the thermal process. The amount of thermal energy transferred to the substrate during such processing is known as the thermal budget.
  • the thermal budget of a material is a function of temperature and the duration of the process. A low thermal budget is desired in ultra-small IC manufacturing, which can only be provided at high temperature if the time of the process is very short.
  • RTP Rapid Thermal Processing
  • impulse spike
  • thermal recipes fundamentally consist of: a temperature that the substrate must be heated to; the rate of change of temperature, i.e., the temperature ramp-up and ramp-down rates; and the time that the thermal processing system remains at a particular temperature.
  • thermal recipes may require the substrate to be heated from room temperature to distinct temperatures of 1200° C. or more, for processing times at each distinct temperature ranging up to 60 seconds, or more.
  • the amount of time that each substrate is subjected to high temperatures must be restricted.
  • the temperature ramp rates are preferably high. In other words, it is desirable to be able to adjust the temperature of the substrate from a low to a high temperature, and vise versa, in as short a time as possible so as to minimize the thermal budget.
  • Rapid Thermal Processing where typical temperature ramp-up range from 200-400° C./s, as compared to 5-15° C/minute for conventional furnaces.
  • Typical ramp-down rates are in the range of 80-150° C./s.
  • FIG. 1 is a graph 100 of thermal profiles of different prior art thermal processes. As can be seen, the thermal profile 102 of a typical RTP system has a 250° C./s ramp-up rate and a 90° C./s ramp-down rate.
  • RTP heats the entire substrate even though the IC devices reside only in the top few microns of the substrate. This limits how fast one can heat up and cool down the substrate. Moreover, once the entire substrate is at an elevated temperature, heat can only dissipate into the surrounding space or structures. As a result, today's state, of the art RTP systems struggle to achieve 400° C./s ramp-up rates and 150° C./s ramp-down rates.
  • FIG. 1 also shows a thermal profile 104 of a laser annealing process.
  • Laser annealing is used during the fabrication of Thin Film Transistor (TFT) panels. Such systems use a laser spot to melt and recrystalize polysilicon. The entire TFT panel is exposed by scanning the laser spot across successive exposure fields on the panel.
  • TFT Thin Film Transistor
  • a laser pulse is used to illuminate an exposure field for a duration of approximately 20-40 ns, where the exposure field is obtained by rastering across and down the substrate.
  • the ramp rate is nearly instantaneous at billions of degrees per second.
  • the laser pulse or flash used for laser annealing is too fast and, often does not provide enough time for sufficient annealing to occur for non-melt processes.
  • devices or structures next to the exposed regions may either be exposed to extreme temperature causing them to melt, or to temperatures that are too low resulting in too little annealing.
  • homogenization of the thermal exposure of each portion of the substrate is difficult to attain because different regions adsorb at different rates resulting in huge temperature gradients. The process is too fast for thermal diffusion to equilibrate temperature, thereby creating sever pattern dependencies.
  • this technology is not appropriate for single crystal silicon annealing because different regions on the substrate surface may be heated to vastly different temperatures causing large non-uniformities over short distances.
  • Another thermal processing system currently in development by Vortek Industries Ltd., of Canada uses flash assisted spike annealing to attempt to provide a high thermal energy to the substrate in a short amount of time and then rapidly cool the region to limit the thermal exposure.
  • Use of this thermal processing system should give the junction depth of a spike anneal to 1060° C. but improve the activation with flash to 1100° C.
  • the RTP system ramps up to the desired temperature typically around 1060° C. then begins to ramp down immediately after having reached the desired flash temperature. This is done to minimize the amount of diffusion that takes place while still getting suitable activation from the elevated temperature.
  • the thermal profile 106 of such a flash assisted spike anneal is also shown in FIG. 1 .
  • Layering is another basic fabrication operation that typically requires the addition of energy or heat.
  • Layering adds thin layers or films to a substrate's surface using a variety of techniques, of which the most widely used are growing and deposition.
  • the added layers function in the IC devices as semiconductors, dielectrics (insulators), or conductors.
  • These layers must meet various requirements, such as uniform thickness, smooth and flat surfaces, uniform composition and grain size, stress-free films, purity, and integrity.
  • CVD Chemical Vapor Deposition
  • RTCVD Rapid Thermal Chemical Vapor Deposition
  • LPCVD Low Pressure CVD
  • ALD Atomic Layer Deposition
  • CFD is the most widely used technique for physically depositing one or more layers or films, such as silicon nitride (Si 3 N 4 ), on a substrate surface.
  • various gases such as ammonia (NH 3 ) and dichlorosilane (DCS), containing the atoms or molecules required in the final film are injected into a reaction chamber.
  • Chemical reactions between the gases are induced with high energy such as heat, light, or plasma.
  • the reacted atoms or molecules deposit on the substrate surface and build up to form a thin film having a predetermined thickness.
  • Byproducts of the reactions are subsequently flushed from the reaction chamber.
  • the deposition rate can be manipulated by controlling the reaction condition of supplied energy; the amount and ratio of gases present in the reaction chamber; and/or the pressure within the reaction chamber.
  • the reaction energy is typically supplied by heat (either conduction or convection), induction RF, radiant, plasma, or ultraviolet energy sources. Temperatures typically range from room temperature to 1250° C., and more typically from 250° C. to 850° C.
  • thermally driven processes heat the entire substrate, despite the fact that only the surface of the substrate needs to be heated. Heating the entire substrate limits how fast one can heat up and cool down the substrate, as the substrate has a thermal inertia that resists changes in temperature. For example, once the entire substrate is at an elevated temperature, cooling the substrate can only occur by heat dissipating into the surrounding space or structures.
  • reaction chamber In CVD and LPCVD, the various gases are supplied or injected into the reaction chamber at the same time.
  • a gas phase reaction occurring between the reactant gases may, however, occur at any location within the reaction chamber, including the ambient space around the substrate. Reactions occurring in the ambient space are undesirable as they can form particles which can become imbedded in the film. Gas phase reactions also make the deposition dependant on flow, significant non-uniformities can arise due to flow dependance.
  • ALD was developed to address the above described gas phase reaction problems with CVD and LPCVD.
  • a first gas is injected into the reaction chamber.
  • the atoms of the first gas adhere to the surface of the substrate.
  • a purging gas is then injected to flush the first gas from the reaction chamber.
  • a second gas is injected into the reaction chamber to react with the first gas on the surface of the substrate.
  • gas phase reaction does not occur in the ambient space. This eliminates the problems associated with particle formation in the ambient space and flow dependance.
  • deposition rates for ALD are slow, taking approximately 1 Angstrom per second.
  • ALD is bound by the same temperature constraints and thermal budget issues as CVD.
  • an apparatus and method for depositing layers on a substrate that reduces gas phase reaction problems. More specifically, such an apparatus and method, should only heat the surface of the substrate and provide high ramp-up and ramp-down rates, i.e., low thermal budget.
  • Such an apparatus and method preferably meets general and specific parameters, such as uniform layer thickness, smooth and flat layer surfaces, uniform layers composition and grain size, low stress films, purity, and integrity.
  • an apparatus for depositing layers on a substrate includes a reaction chamber and a gas injector configured to inject at least one gas into the reaction chamber.
  • the apparatus also includes a continuous wave electromagnetic radiation source, a stage within the reaction chamber, and focusing optics disposed between the continuous wave electromagnetic radiation source and the stage.
  • the stage is configured to receive a substrate thereon.
  • the focusing optics are configured to focus continuous wave electromagnetic radiation from the continuous wave electromagnetic radiation source into a line of continuous wave electromagnetic radiation on an upper surface of the substrate.
  • the line of continuous wave electromagnetic radiation preferably extends across the width or diameter of the substrate.
  • the apparatus further includes a translation mechanism configured to translate the stage and the line of continuous wave electromagnetic radiation relative to one another.
  • a method for depositing one or more layers on a substrate The substrate is initially positioned in the reaction chamber. One or more gases are introduced into the reaction chamber. A predetermined speed for translating a line of radiation is determined. This predetermined speed is based on a number of factors, such as a thermal recipe for processing the substrate, the properties of the substrate, a power of the continuous wave electromagnetic radiation, a width of the line of radiation, a power density at the line of radiation, or the like.
  • Continuous wave electromagnetic radiation is then emitted from a continuous wave radiation source and preferably collimated.
  • the continuous wave electromagnetic radiation is subsequently focused into a line of radiation extending across the surface of the substrate.
  • the line of radiation is then translated relative to the surface at the constant predetermined speed.
  • the combination of the introduced gas(es) and heat generated by the line of radiation causes at least one gas to react and deposit a layer on the surface of the substrate. Undesirable byproducts of the reaction are then flushed from the reaction chamber. This process is repeated until a layer having a predetermined thickness is formed on the surface of the substrate.
  • the thermal flux processing device includes a continuous wave electromagnetic radiation source, a stage, focusing optics, and a translation mechanism.
  • the continuous wave electromagnetic radiation source is preferably one or more laser diodes.
  • the stage is configured to receive a substrate thereon.
  • the focusing optics are preferably disposed between the continuous wave electromagnetic radiation source and the stage and are configured to focus continuous wave electromagnetic radiation from the continuous wave electromagnetic radiation source into a line of continuous wave electromagnetic radiation on an upper surface of the substrate.
  • a length of the line of continuous wave electromagnetic radiation preferably extends across an entire width of the substrate.
  • the translation mechanism is configured to translate the stage and the line of continuous wave electromagnetic radiation relative to one another, and preferably includes a chuck for securely grasping the substrate.
  • Continuous wave radiation is focused into a line of radiation at an upper surface of the substrate.
  • the line of radiation is translated relative to the surface at a constant predetermined speed. This allows for every point of the substrate to have a substantially homogenous thermal exposure or history.
  • Process control is achieved by modulating scan speed rather than lamp power, thereby simplifying the control of the apparatus. This allows for highly local heating without generating defects.
  • the present invention heats only a small portion of the surface of the substrate at any given moment. This reduces the total radiated power requirement. In fact, an energy density of 150 kW/cm 2 is achievable on a 300 mm substrate with only a 5 kW radiation source, as only one chord of the substrate is heated at any one time.
  • the above described apparatus and method can heat the substrate surface to any reasonable temperature for a millisecond or less.
  • the line of radiation only applies heat to the surface of the substrate, the reaction of the gases only occurs at the surface. Where the reactions at room temperature are negligible, this allows multiple gases to be injected simultaneously without leading to undesirable gas phase reactions away from the substrate surface.
  • This method can be performed at atmospheric pressure, resulting in faster decomposition of reactants, thereby enabling high deposition rates.
  • a thermal processing device that includes a stage, a continuous wave electromagnetic radiation source, a series of lenses, a translation mechanism, a detection module and a computer system.
  • the stage is configured to receive a substrate thereon.
  • the continuous wave electromagnetic radiation source is disposed adjacent the stage, and is configured to emit continuous wave electromagnetic radiation along a path towards the substrate.
  • the series of lenses is disposed between the continuous wave electromagnetic radiation source and the stage.
  • the series of lenses are configured to condense the continuous wave electromagnetic radiation into a line of continuous wave electromagnetic radiation on a surface of the substrate. Condensing causes the radiation to converge or concentrate on or toward the line of continuous wave electromagnetic radiation.
  • the translation mechanism is configured to translate the stage and the line of continuous wave electromagnetic radiation relative to one another.
  • the detection module is positioned within the path, and is configured to detect continuous wave electromagnetic radiation.
  • the detection module is positioned between the series of lenses, more preferably between the expander lens and the remainder of the lenses that are configured to condense the continuous wave electromagnetic radiation.
  • the computer system is coupled to the detection module.
  • the line of continuous wave electromagnetic radiation is no wider than 500 microns and the has a power density of at least 30 kW/cm 2 .
  • the detection module preferably comprises at least one emitted power detector configured to detect emitted continuous wave electromagnetic radiation emitted from the continuous wave electromagnetic radiation source.
  • the detection module also preferably comprises at least one reflected power detector configured to detect reflected continuous wave electromagnetic radiation reflect from the surface.
  • At least one beam splitter is provided for sampling a portion of the emitted continuous wave electromagnetic radiation, or for sampling a portion of the reflected continuous wave electromagnetic radiation.
  • the beam splitter is preferably disposed between the continuous wave electromagnetic radiation module and the stage, and more preferably between the series of lenses, more preferably between the expander lens and the remainder of the lenses that are configured to condense the continuous wave electromagnetic radiation.
  • the emitted power detector and the reflected power detector detect continuous wave electromagnetic radiation at 810 nm.
  • At least one temperature detector is configured to detect the temperature of the surface at the line of continuous wave electromagnetic radiation by detecting continuous wave electromagnetic radiation at a wavelength other than 810 nm.
  • a filter is preferably disposed between the temperature detector and the line of continuous wave electromagnetic radiation. The filter is configured to allow only continuous wave electromagnetic radiation having a wavelength other than 810 nm to reach the temperature detector. The filter is configured to allow optical pyrometer operation between 900 nm and 2000 nm, and particularly at 1500 nm.
  • the computer system preferably includes procedures for determining emitted power that is emitted to the emitted power detector; procedures for determining reflected power that is reflected to the reflected power detector; and procedures for controlling power supplied to the continuous wave electromagnetic radiation source based on the detected, emitted, and/or reflected power.
  • the computer system may also include reflectivity procedures for determining reflectivity. Reflectivity is proportional to the reflected power divided by the emitted power.
  • the computer system may also include temperature procedures for determining a temperature of the surface at the line of continuous wave radiation. The temperature is proportional to an adsorbed power which equals the emitted power less the reflected power.
  • the series of lenses preferably include at least one expander less disposed between the continuous wave electromagnetic radiation source and the stage.
  • the at least one expander lens is configured to expand a beam of continuous wave electromagnetic radiation emitted from the continuous wave electromagnetic radiation source into an expanded beam of continuous wave electromagnetic radiation.
  • the series of lenses may further include multiple cylindrical lenses arranged in series between the continuous wave electromagnetic radiation source and the stage. The multiple cylindrical lenses are configured to focus the expanded beam of continuous wave electromagnetic radiation into a line of continuous wave electromagnetic radiation on the surface of the substrate.
  • the continuous wave electromagnetic radiation source comprises multiple sets of opposing laser diode modules, where each of the multiple sets of opposing laser diode modules are preferably controlled separately. Also, a separate detection module is preferably provided for each set of laser diodes.
  • An interleave combiner is preferably disposed between the continuous wave electromagnetic radiation source and the series of lenses.
  • the interleave combiner preferably uses dielectric stacks for enhanced reflection at continuous wave electromagnetic radiation wavelength.
  • a thermal emission signal from the substrate is preferably measured through the series of lenses as well as interleave combiner at a wavelength longer than that of the continuous wave electromagnetic radiation.
  • the interleave combiner utilizes fill ratio enhancing optics to reduce the size of the series of lenses.
  • An adjustment mechanism may also be provided to move the continuous wave electromagnetic radiation source and the stage towards one another. This allows the computer system to control the adjustment mechanism based on measurement taken by the detection module, in order to keep the line of continuous wave radiation in focus on the surface.
  • a reflective surface is provided for redirecting scattered continuous wave radiation back towards the line of continuous wave radiation.
  • a thermal processing method is provided.
  • the predetermined power density is at least 30 kW/cm 2
  • the predetermined length of time is between 100 micro-seconds and 100 milliseconds
  • the ambient temperature is less than about 500° C.
  • the process temperature is more than about 700° C.
  • the predetermined depth is 10 times a depth of interest, where the depth of interest is a maximum depth of device structures in silicon.
  • the thermal processing method may also include initially coating the surface with a thermal enhancement layer. Also, any scattered continuous wave electromagnetic radiation may be reflected back towards the line of radiation. The emitted power of the continuous wave electromagnetic radiation and the reflected power of continuous wave electromagnetic radiation reflected from the surface may be measured. The reflected power may then be compared to the emitted power. Power supplied to the continuous wave electromagnetic radiation source may be controlled based on such a comparison. Also, a separate measurement may be taken of thermal emission from the substrate at a focus of the line of continuous wave electromagnetic radiation at substantially a different wavelength than reflected continuous wave electromagnetic radiation. The temperature may be determined at the surface at the line. Also, the absorption, reflectivity, and emmisivity may be determined.
  • an optimum orientation of the substrate relative to a scan direction may be chosen.
  • the optimum orientation is determined by assuring scan direction to have a minimum overlap with principal slip planes of the substrate.
  • the substrate may be pre-heated. Pre-heating comprises of one or more prescans with the continuous wave electromagnetic radiation source, and is preferably performed using a hot plate.
  • the series of lenses include at least one expander lens and multiple cylindrical lenses.
  • the expander lens is disposed between the continuous wave electromagnetic radiation source and the stage.
  • the expander lens is configured to expand the beam of continuous wave electromagnetic radiation into and expanded beam of continuous wave electromagnetic radiation.
  • the multiple cylindrical lenses are preferably arranged in series between the at least one expander lens and the stage.
  • the multiple cylindrical lenses are configured to focus the expanded beam of continuous wave electromagnetic radiation into a line of continuous wave electromagnetic radiation on the surface of the substrate.
  • the at least one expander lens preferably comprises two expander lenses, while the multiple cylindrical lenses have spherical figure or aspherical figure. Some of the multiple cylindrical lenses may spherical figure and others may not.
  • a gas injector may be provided near the multiple lenses to circulate cooling purge gas between the multiple lenses.
  • the automatic focusing mechanism includes a continuous wave electromagnetic radiation module, a stage, at least one photo detector, a translation mechanism, an adjustment mechanism, and a controller.
  • the continuous wave electromagnetic radiation module is configured to focus continuous wave electromagnetic radiation into a line of continuous wave electromagnetic radiation on a surface of a substrate.
  • the stage is configured to receive a substrate thereon.
  • the at least one photo detector is coupled to the stage.
  • the at least one photo detector is configured to measure intensity of the continuous wave electromagnetic radiation.
  • the translation mechanism is configured to translate the stage and the continuous wave electromagnetic radiation module relative to one another.
  • the adjustment mechanism is coupled to the stage, and is configured to adjust the height, roll and pitch of the stage.
  • the controller is coupled to the continuous wave electromagnetic radiation module, the at least one photo detector, the translation mechanism, and the adjustment mechanism.
  • the at least one photo detector preferably includes three photo detectors embedded into the stage. The three photo detectors and the controller are configured to measure a pitch, roll, and height of the stage relative to the continuous wave electromagnetic radiation module.
  • the a line of continuous wave electromagnetic radiation is automatically focused on a surface of a substrate.
  • a tooling substrate having at least one aperture there through, is positioned on the stage.
  • the at least one aperture aligns with the at least one photo detector.
  • the at least one aperture is then radiated with continuous wave electromagnetic radiation from the continuous wave electromagnetic radiation source.
  • An intensity of the continuous wave electromagnetic radiation is then measured at the at least one photo detector and a position of the stage and the continuous wave electromagnetic radiation source adjusted relative to one another, based on the intensity.
  • the stage and the continuous wave electromagnetic radiation source are then translated laterally relative to one another to align another aperture in the tooling substrate with another photo detector. Another aperture is then exposed to continuous wave electromagnetic radiation from the continuous wave electromagnetic radiation source. Another intensity is then sensed of the continuous wave electromagnetic radiation at the another photo detector. Finally, a position of the stage and the continuous wave electromagnetic radiation source is set relative to one another, based on the another intensity. These steps are repeated until the stage is in a predetermined position relative to the continuous wave electromagnetic radiation source.
  • Yet another embodiment provides a method for thermally processing a semiconductor substrate.
  • Continuous wave electromagnetic radiation is focused into a line of continuous wave electromagnetic radiation extending partially across a surface of a semiconductor substrate.
  • the line of continuous wave electromagnetic radiation and the surface is then translated relative to one another at a constant predetermined speed.
  • the line of radiation is subsequently shifted along its length a distance either equal to or slightly less than its length.
  • the line of continuous wave electromagnetic radiation and the surface is again translated relative to one another at the constant predetermined speed. This over-scanning allows every exposed point of the substrate to have a substantially homogenous thermal exposure.
  • FIG. 1 is a graph of thermal profiles of different prior art thermal processes
  • FIG. 2A is a diagrammatic side view of an apparatus for thermally processing a substrate, according to an embodiment of the invention.
  • FIG. 2B is a diagrammatic top view of the substrate and stage shown in FIG. 2A ;
  • FIG. 3 is a diagrammatic side view of another apparatus for thermally processing a substrate, according to another embodiment of the invention.
  • FIG. 4 is a flow chart of a method for thermally processing a substrate
  • FIG. 5 is a graph of the temperature at a fixed point on and through the substrate during thermal processing, according to an embodiment of the invention.
  • FIG. 6 is a diagrammatic side view of an apparatus for depositing layers on a substrate, according to another embodiment of the invention.
  • FIG. 7 is a flow chart of a method for depositing layers on a substrate, according to the embodiment of the invention shown in FIG. 6 ;
  • FIG. 8 is a graph of the results of a Monte Carlo simulation for Silane decomposition at 850° C. and 740 Torr, according to the embodiment of the invention shown in FIG. 6 ;
  • FIG. 9A is a side view of yet another apparatus for thermally processing a substrate, according to yet another embodiment of the invention.
  • FIG. 9B is an oblique view of the apparatus shown in FIG. 9A ;
  • FIG. 9C is a rear view of yet another apparatus for thermally processing a substrate, according to yet another embodiment of the invention.
  • FIG. 10 is a diagrammatic side view of the interleave combiner shown in FIGS. 9A and 9B .
  • FIG. 11 is a more detailed sectional side vie of the focusing optics and the detection module shown in FIGS. 9A and 9B ;
  • FIG. 12 is a isometric vie of a prototype of the apparatus shown in FIGS. 9A and 9B ;
  • FIG. 13 is a flow chart of a method for controlling a thermal process
  • FIG. 14A is a partial sectional side view of an automated focusing mechanism
  • FIG. 14B is a top view of the tooling substrate and stage shown in FIG. 14A , as taken along line 14 B- 14 B′;
  • FIG. 14C is a flow chart of a method for automatically focusing a line of continuous wave electromagnetic radiation on an upper surface of a substrate.
  • FIG. 14D is a graph of the measured energy density versus the vertical distance from best focus at an aperture.
  • any reference numeral generally indicates the figure number in which the reference numeral was first shown.
  • 102 can be found in FIG. 1
  • 1341 can be found in FIG. 13 .
  • FIG. 2A is a diagrammatic side view of an apparatus 200 for thermally processing a substrate, according to an embodiment of the invention.
  • Thermally processing a substrate is any thermal process that requires the characteristics of the invention described below. Exemplary embodiments of such a thermal process includes thermal annealing of substrates or thermal processes used in Chemical Vapor Deposition (CVD), both of which will be described throughout the remainder of the Figures.
  • CVD Chemical Vapor Deposition
  • the apparatus 200 comprises a continuous wave electromagnetic radiation module 201 , a stage 216 configured to receive a substrate 214 thereon, and a translation mechanism 218 .
  • the continuous wave electromagnetic radiation module 201 comprises a continuous wave electromagnetic radiation source 202 and focusing optics 220 disposed between the continuous wave electromagnetic radiation source 202 and the stage 216 .
  • the substrate 214 is any suitable substrate, such as a single crystal silicon substrate; silicon on insulator (SOI); Silicon Germanium or alloys thereof; glass or quartz substrate with a silicon layer thereon, as used for manufacturing thin film transistors (TFT); or the like.
  • SOI silicon on insulator
  • TFT thin film transistors
  • the continuous wave electromagnetic radiation source 202 is capable of emitting “continuous waves” or rays of electromagnetic radiation, such as light.
  • continuous wave it is meant that the radiation source is configured to emit radiation continuously, i.e., not a burst, pulse, or flash of radiation. This is quite unlike lasers used in laser annealing, which typically use a burst or flash of light.
  • the radiation has a wavelength within the range at which the substrate absorbs radiation.
  • the continuous wave electromagnetic radiation preferably has a wavelength between 190 nm and 950 nm. More preferably, it has a wavelength of approximately 808 nm.
  • a high power continuous wave electromagnetic radiation laser source operating in or near the UV may be used, where wavelengths produced by such continuous wave electromagnetic radiation laser sources are strongly absorbed by most otherwise reflective materials.
  • the continuous wave electromagnetic radiation source 202 is capable of emitting radiation continuously for at least 15 seconds. Also, in a preferred embodiment, the continuous wave electromagnetic radiation source 202 comprises multiple laser diodes each of which produces uniform and spatially coherent light at the same wavelength. In yet another preferred embodiment, the power of the laser diode/s is in the range of 0.5 kW to 50 kW, but preferably approximately 5 kW. Suitable laser diodes are made by Coherent Inc. of Santa Clara, Calif.; Spectra-Physics of California; or by Cutting Edge Optronics, Inc. of St. Charles, Mo. A preferred laser diode is made by Cutting Edge Optronics, although another suitable laser diode is Spectra Physics' MONSOON® multi-bar module (MBM), which provides 40-480 watts of continuous wave power per laser diode module.
  • MBM Spectra Physics' MONSOON® multi-bar module
  • the focusing optics 220 preferably comprise one or more collimators 206 to collimate radiation 204 from the continuous wave electromagnetic radiation source 202 into a substantially parallel beam 208 .
  • This collimated radiation 208 is then focused by at least one lens 210 into a line of radiation 222 at an upper surface 224 of the substrate 214 .
  • Lens 210 is any suitable lens, or series of lenses, capable of focusing radiation into a line.
  • lens 210 is a cylindrical lens.
  • lens 210 may be one or more concave lenses, convex lenses, plane mirrors, concave mirrors, convex mirrors, refractive lenses, diffractive lenses, Fresnel lenses, gradient index lenses, or the like.
  • the focusing optics 220 are described in further detail below in relation to FIG. 11 .
  • the stage 216 is any platform or chuck capable of securely holding the substrate 214 during translation, as explained below.
  • the stage 216 includes a means for grasping the substrate, such as a frictional, gravitational, mechanical, or electrical system.
  • suitable means for grasping include, mechanical clamps, electrostatic or vacuum chucks, or the like.
  • the apparatus 200 also comprises a translation mechanism 218 configured to translate the stage 216 and the line of radiation 222 relative to one another.
  • the translation mechanism 218 is coupled to the stage 216 to move the stage 216 relative to the continuous wave electromagnetic radiation source 202 and/or the focusing optics 220 .
  • the translation mechanism 218 is coupled to the continuous wave electromagnetic radiation source 202 and/or the focusing optics 220 to move the continuous wave electromagnetic radiation source 202 and/or the focusing optics 220 relative to the stage 216 .
  • the translation mechanism 218 moves both the continuous wave electromagnetic radiation source 202 and/or the focusing optics 220 , and the stage 216 .
  • Any suitable translation mechanism may be used, such as a conveyor system, rack and pinion system, or the like.
  • the translation mechanism 218 is preferably coupled to a controller to control the scan speed at which the stage 216 and the line of radiation 222 move relative to one another.
  • translation of the stage 216 and the line of radiation 222 relative to one another is preferably along a path perpendicular to the line of radiation 222 and parallel to the upper surface 224 of the substrate 214 .
  • the translation mechanism 218 moves at a constant speed. Preferably, this constant speed is approximately 2 cm/s for a 35 micron wide line.
  • the translation of the stage 216 and the line of radiation 222 relative to one another is not along a path perpendicular to the line of radiation 222 .
  • FIG. 2B is a diagrammatic top view of the substrate and stage, as taken along line 2 B- 2 B′ of FIG. 2 A.
  • the substrate 214 is a circular substrate with a diameter of 200 or 300 mm, and a thickness of approximately 750 microns.
  • the line of radiation 222 has a length that extends at least across the entire diameter or width of the substrate 214 .
  • the line of radiation also preferably has a width 228 of between 3 and 500 microns. However, in a preferred embodiment, the line of radiation 222 has a width 228 of approximately 35 microns. The width is measured at half the maximum intensity of the radiation (otherwise knows as Full Width Half Max (FWHM)).
  • FWHM Full Width Half Max
  • the length of the line is longer than its width.
  • the line of radiation 222 linearly traverses the substrate 214 , such that the line is perpendicular to the direction of movement, i.e., the line remains parallel to a fixed line or chord 252 of the substrate at all times.
  • a preferred power density at the line of radiation is between 10 kW/cm 2 and 200 kM/cm 2 with a nominal range near 60 kW/cm 2 . It is not achievable to radiate the entire surface of a substrate at these power densities, but it is possible to scan across the substrate a line of radiation that has this intensity. For example, an experiment using a 400 microns wide line of radiation with a peak power density of 70 kW/cm 2 scanned at 100 cm/s, heated the surface of a substrate to approximately 1170° C. with ramp-up and ramp-down rates exceeding 4 million ° C./s.
  • FIG. 3 is a diagrammatic side view of another apparatus 300 for thermally processing a substrate, according to another embodiment of the invention.
  • This embodiment shows another arrangement of focusing optics 320 .
  • the focusing optics 320 comprise a lens 210 and one or more radiation guides, such as one or more optical fiber 308 and prism 306 .
  • Other radiation guides such as a waveguide, mirror, or diffuser may also be used.
  • Radiation from the continuous wave electromagnetic radiation source 202 is directed at the prism 306 which redirects the radiation towards one or more optical fiber(s) 308 . Radiation is transmitted through the optical fiber(s) 308 towards the lens 210 , where it is focused into a line of radiation 222 .
  • focusing optics 220 FIG. 2A
  • 320 may be used to transmit and focus the radiation from the continuous wave electromagnetic radiation source into a line of radiation.
  • a linear array of laser diodes could be used as the radiation source.
  • any suitable means for producing a uniform radiation distribution such as a radiation diffuser, may be used.
  • FIG. 4 is a flow chart 400 of a method for thermally processing a substrate 214 (FIG. 2 A).
  • An apparatus as described above in relation in FIGS. 2 and 3 is provided at step 402 .
  • the controller 226 ( FIG. 2A ) then determines, at step 404 , the scan speed at which the line of radiation 222 ( FIG. 2A ) and the substrate will move relative to one another. This determination is based on the thermal recipe for processing the substrate; the substrate properties; the power of the continuous wave electromagnetic radiation source 202 (FIG. 2 A); the width of the line of radiation; the power density at the line of radiation; etc.
  • the continuous wave electromagnetic radiation source 202 ( FIG. 2A ) emits a continuous wave of radiation 204 (FIG. 2 A), at step 406 .
  • This radiation 204 is preferably collimated into a collimated beam of radiation 208 (FIG. 2 A), at step 408 .
  • the collimated beam of radiation 208 ( FIG. 2A ) is focused into a line of radiation 222 (FIG. 2 A), at step 410 .
  • the stage 216 ( FIG. 2A ) and the line of radiation 222 ( FIG. 2A ) are translated, at step 412 , relative to one another by the translation mechanism 218 (FIG. 2 A).
  • This translation is along a path perpendicular to the line of radiation 222 and parallel to the upper surface of the substrate, such that the line of radiation traverses the entire substrate 214 .
  • the translation mechanism 218 scans the radiation source and focusing optics over the upper surface of the substrate at approximately 2 cm/s.
  • FIG. 5 is a graph 500 of the temperature versus time and depth at a fixed point on and through the substrate during thermal processing performed according to the method described above in relation to FIG. 4.
  • a temperature axis 502 indicates a temperature of between 0 and 1400° C. at the fixed point.
  • Axis 504 indicates a depth from the upper surface 224 ( FIG. 2B ) into the substrate 214 ( FIG. 2B ) at the fixed point.
  • Axis 506 indicates the time in seconds at some point after the start of scanning. The fixed point is assumed to be located at 508 .
  • the line of radiation 222 scans across the upper surface 224 ( FIG. 2B ) of the substrate 214 ( FIG. 2B ) it subjects a line or chord on the substrate to the heat it generates.
  • the temperature at the fixed point both at the upper surface and throughout a substrate cross-section at the fixed point, is ambient temperature, as indicated by reference numeral 516 .
  • the temperature at the upper surface ramps up to a process temperature, such as 1200° C. (or other desired temperature necessary for the process), at approximately 1e6C/s, as shown by reference numeral 510 .
  • the substrate acts as a heat sink resulting in a dramatic drop-off in temperature away from the surface, as indicated by reference numeral 512 .
  • reference numeral 512 For example, as shown in FIG. 5 , at 0.04 cm from the point on the upper surface the temperature is approximately 200° C.
  • the heating effect is generally localized to the upper surface only. This is extremely advantageous, as generally only the regions near the upper surface 224 ( FIG. 2A ) of the substrate require thermal processing.
  • the current invention heats a surface of a substrate with a predetermined power density and for a predetermined length of time (approximately 1 millisecond), such that the surface of the substrate is heated from an ambient temperature (T A ) of preferably less than 500° C., to a process temperature (T P ) of preferably about 700° C.
  • T A ambient temperature
  • T P process temperature
  • This predetermined depth is approximately ten times the depth of interest, i.e., ten times the maximum depth of device structures in Si. In a typical Si substrate, the maximum depth of the device structure is about 3 microns.
  • This transfer of heat to the bulk of the substrate promotes homogenous thermal exposure, as heat has enough time to diffuse from a locally strong heat absorbing region to a lower heat absorbing region. Also, pattern density effects are comparable to RTP. However, the time scale is short enough to limit the diffusion depth of the heat transfer to several microns, as opposed to the several hundred-micron thickness of the substrate, as is the case with RTP, thereby greatly reducing the total required power.
  • the bulk of the substrate is not appreciably heated, thereby providing an ideal heat sink for the temperature ramp down.
  • the present invention was able to displace the peak thermal gradient from the peak temperature, thus enabling Ultra Shallow Junction (USJ) formation suitable for the 70 nm node with a 1 keV Boron implant without introducing any dislocations. Only the typical implant related defects were observed.
  • USJ Ultra Shallow Junction
  • FIG. 6 is a diagrammatic side view of an apparatus 600 for depositing layers on a substrate, according to another embodiment of the invention.
  • the apparatus 600 is similar to the apparatus 200 shown in FIGS. 2A and 2B , and apparatus 300 shown in FIG. 3 . Components having the same reference numerals are the same as those shown in FIGS. 2A and 2B .
  • the apparatus 600 may be used to perform deposition processes, such as CVD, ALD, or the like.
  • apparatus 600 shows a reaction chamber 602 in which many of the components are housed. At least one injector 604 is used to introduce or inject one or more gases 616 into the reaction chamber 602 .
  • the gas injector 604 preferably comprises one or more gas sources 612 (1)-(N) fluidly coupled by ducts 610 to one or more gas inlets 608 in a gas manifold 606 .
  • the gas injector 604 may be located at any suitable location within the reaction chamber 602 . For example, gas may be injected at the side of the reaction chamber and flow across the surface of the substrate orthogonally to the direction of relative motion between the line of radiation and the surface of the substrate, or gas may be injected from above the substrate, as shown.
  • continuous wave electromagnetic radiation is collimated by the collimator, redirected towards the substrate by the prism 306 and focused into a line by the lens 210 .
  • the focusing optics 220 may comprise any suitable focusing optics capable of focusing a line of energy onto the upper surface 224 of the substrate 214 , as described above.
  • the focusing optics may be placed outside of the chamber, where radiation passes into the chamber via a transparent window.
  • the chamber and/or gas sources may take on any suitable shape and/or configuration.
  • FIG. 7 is a flow chart 700 of a method for depositing one or more layers on a substrate, according to the embodiment of the invention shown in FIG. 6
  • a substrate 214 ( FIG. 6 ) is positioned in the reaction chamber 602 (FIG. 6 ), at 702 .
  • One or more gases 616 (FIG. 6 ), such as ammonia (NH 3 ) and dichlorosilane (DCS), containing the atoms or molecules required in layer 614 ( FIG. 6 ) are then introduced at 704 into the reaction chamber 602 ( FIG. 6 ) containing the substrate 214 (FIG. 6 ).
  • gases 616 such as ammonia (NH 3 ) and dichlorosilane (DCS)
  • a predetermined speed for translating a line of radiation 222 (FIG. 6 ), as described below, is determined at 706 .
  • This predetermined speed is based on a number of factors, such as a thermal recipe for processing the substrate, the properties of the substrate, a power of the continuous wave electromagnetic radiation, a width of the line of radiation, a power density at the line of radiation, or the like. In a preferred embodiment, this predetermined speed is approximately 2 cm/s.
  • Continuous wave electromagnetic radiation is then emitted at 708 from a continuous wave electromagnetic radiation source 202 (FIG. 6 ), as described above.
  • the continuous wave electromagnetic radiation is preferably collimated at 710 by the collimator 206 (FIG. 6 ).
  • the continuous wave electromagnetic radiation is subsequently focused at 712 at into a line of radiation 222 ( FIG. 6 ) extending across the upper surface 224 ( FIG. 6 ) of the substrate.
  • the width 228 ( FIG. 6 ) of the line of radiation is approximately 35 microns wide.
  • the line of radiation is then translated at 714 relative to the surface at the constant predetermined speed, determined above. This translation is undertaken by the translation mechanism 218 ( FIG. 6 ) under control of the controller 226 (FIG. 6 ).
  • the combination of the introduced gas(es) 616 ( FIG. 6 ) and heat generated by the line of radiation causes at least one gas 616 to react and deposit a layer 614 ( FIG. 6 ) on the surface of the substrate.
  • This reaction may be a chemical reaction between gases, a decomposition of one or more gases, or the like. Undesirable byproducts of the reaction are then flushed from the reaction chamber at 716 .
  • the predetermined scan speed is preferably faster than that required for thermal flux annealing, described above, as, multiple scans are required to build a film/layer.
  • each deposited layer is between 8-10 Angstroms.
  • Required films/layers vary from 20 Angstroms for tunnel oxide used in flash memory to 1500 angstroms for spacer applications. Therefore, the preferred scan speed is generally in the range of a few cm/sec to about 1 m/sec.
  • the preferred line width 228 ( FIG. 6 ) is the same as that described above.
  • the chemical reaction is controlled by controlling: the temperature of the substrate surface by adjusting the continuous wave electromagnetic radiation or the line of radiation; the amount and/or ratio of the gas(es) introduced into the reaction chamber; and the pressure within the reaction chamber.
  • the above described method can heat the substrate surface to any reasonable temperature for a millisecond or less.
  • the reaction of the gases only occurs at or near the surface. The heating is very brief as the line keeps moving so only the gas right near the surface gets to react. Because gas away from the surface never gets hot, undesirable gas phase reactions are prevented. This allows, multiple gases to be injected simultaneously without leading to undesirable gas phase reactions away from the substrate surface.
  • the above described method is performed at a pressure of between a few Torr to pressures above atmospheric pressure, with atmospheric pressure being preferred.
  • FIG. 8 depicts the results of a simulation showing that sufficient decomposition of reactants can occur at such pressures on this short time scale.
  • the temperature of the line of radiation depends on the film/layer being deposited, but is generally in the range of 600 to 900° C.
  • FIG. 8 is a graph 800 of the results of a Monte Carlo simulation for Silane decomposition at 850° C. and 740 Torr, according o the embodiment of the invention shown in FIG. 6 .
  • This simulation at lower pressures duplicates a deterministic model published by Meyerson, Scott ad Tsui, Chemtronics 1 (1986) 150, which is hereby incorporated by reference.
  • This graph 800 shows that a Silane, such as dichlorosilae (DCS), which is a typical CVD gas, decomposes into molecules required for deposition onto the substrate surface.
  • Decomposition occurs at 740 Torr, which is approximately atmospheric pressure, and at a temperature of 850° C.
  • the overall time in which decomposition occurs at this temperature and pressure is approximately 6 ⁇ 10 ⁇ 4 seconds.
  • This temperature and scan speed can only be provided by the present invention, as prior art methods cannot achieve such a high temperature in such a short amount of time, while providing enough time for reactions to occur.
  • the above described apparatus and method for depositing a layer on a substrate has a number of advantages.
  • the thermal budget of the process is low due to the brief time spent at elevated temperature.
  • FIG. 9A is a side view of yet another apparatus 900 for thermally processing a substrate, according to yet another embodiment of the invention.
  • the apparatus 900 is similar to the apparatus 200 shown in FIGS. 2A and 2B , the apparatus 300 shown in FIG. 3 , and the apparatus 600 shown in FIG. 6 .
  • Like-named components are similar, except for and differences described below.
  • the apparatus 900 comprises a continuous wave electromagnetic radiation module 902 , a stage 904 configured to receive a substrate 906 thereon, and a translation mechanism (not shown) for moving the stage 904 and continuous wave electromagnetic radiation module 902 relative to one another.
  • the continuous wave electromagnetic radiation module 902 preferably includes at least one continuous wave electromagnetic radiation source 908 (A+B) and optics 910 (A+B) disposed between the continuous wave electromagnetic radiation source 908 (A+B) and the substrate 906 .
  • the substrate 906 is any suitable substrate, such as a single crystal silicon substrate; silicon on insulator (SOI); Silicon Germanium or alloys thereof; glass or quartz substrate with a silicon layer thereon, as used for manufacturing thin film transistors (TFT); or the like.
  • the continuous wave electromagnetic radiation source 908 (A+B) is similar to the continuous wave electromagnetic radiation source 202 described above in relation to FIG. 2 A.
  • the continuous wave electromagnetic radiation source 908 (A+B) provides up to 9 kW of radiation focused by the optics 910 (A+B) into a line of radiation on the surface of the substrate that is 30 microns wide and at least 300 mm long.
  • the continuous wave electromagnetic radiation source 908 (A+B) includes 15 laser diode modules 908 (A) on one side of the apparatus 900 and 16 laser diode modules 908 (B) on the other side of the apparatus 900 .
  • the laser diode modules 908 (A) are staggered in relation to the laser diode modules 908 (B), as illustrated in FIG. 9B , i.e., radiation emitted from the laser diode modules 908 (A) interdigitate radiation emitted from the laser diode modules 908 (B).
  • each set of opposing laser diode modules is electrically coupled to one or more power sources 916 .
  • each single laser diode module, or combinations of laser diode modules may be powered by one or more power sources.
  • the power source/s 916 are electrically coupled to a computer system 914 .
  • a cooling fluid such as water, is circulated within the continuous wave electromagnetic radiation source 908 (A+B) to keep it cool, as is well understood in the art.
  • the optics 910 (A+B) include focusing optics 910 (A) similar to the focusing optics described above, and an interleave combiner 910 (B).
  • the interleave combiner 910 (B) is described below in relation to FIG. 10
  • the focusing optics 910 (A) are described below in relation to FIG. 11 .
  • the apparatus 900 also preferably includes a detection module 912 (A+B+C) coupled to the computer system 914 , as described below in relation to FIG. 11 .
  • the computer system 914 includes instructions and/or procedures for performing the method described below in relation to FIG. 13 .
  • FIG. 9C is a rear view of yet another apparatus 950 for thermally processing a substrate 962 , according to yet another embodiment of the invention.
  • the line of continuous wave electromagnetic radiation does not extend across the entire width of the substrate 962 , but rather only partially extends across the diameter or width of the substrate.
  • the line of continuous wave electromagnetic radiation has a length 960 less than the diameter or width 968 of the substrate.
  • the line of continuous wave electromagnetic radiation preferably makes more than one scan across the substrate surface. Each successive scan preferably overlaps a previously scanned area, such that thermal exposure uniformity along the length of the line is improved.
  • a line shifting mechanism 966 is used to shift the line of continuous wave electromagnetic radiation and the substrate relative to one another along the length of the line, i.e., substantially collinear with the length of the line and substantially perpendicular to the scan direction. This overlap averages the thermal exposure of all points on the substrate in a similar manner to rotary averaging using in RTP.
  • the line shifting mechanism 966 preferably translates the continuous wave electromagnetic radiation module (radiation source 954 and the lenses 956 ) to translate the line of continuous electromagnetic radiation relative to the substrate.
  • the stage 964 may be translated relative to the line, or both the line and the stage may be translated relative to each other.
  • such an embodiment requires fewer laser diode modules 966 , as the length 960 of the line of continuous wave electromagnetic radiation need only span partially across the diameter or width of the substrate 962 .
  • two laser diode modules may be interleaved between three opposing laser diode modules 966 .
  • FIG. 10 is a diagrammatic side view of the interleave combiner 910 (B) shown in FIGS. 9A and 9B .
  • the interleave combiner 910 (B) forms part of the optics 910 (A+B) and is used to improve the fill ratio of the emitted continuous wave electromagnetic radiation, as explained below.
  • the interleave combiner 910 (B) is an interleaving prism assembly.
  • a preferred embodiment of the apparatus 900 includes micro lenses (not shown) to collimate the fast axis output of each laser diode module 908 (A or 908 (B).
  • the pitch 1002 of each laser diode module is 2.2 mm
  • the aperture 1004 of the fast axis collimating micro lens is 0.9 mm.
  • a fill ratio is the area exposed to continuous wave electromagnetic radiation divided by the total area of the continuous wave electromagnetic radiation module.
  • the fill ratio is 900 microns/2.2 mm or 41%, i.e., only 41% of the emitting area of the continuous wave electromagnetic radiation module is actually emitting continuous wave electromagnetic radiation, while 59% of the space or area on the face of the laser module dark.
  • the dark areas are 1 cm by 1.3 m (2.2-0.9) wide. This leads to substantially empty areas where no continuous wave electromagnetic radiation is present.
  • the fill ratio is preferably increased by the interleave combiner 910 (B), thereby requiring a smaller subsequent series of lenses 910 (A+B) (FIGS. 9 A and 9 B).
  • the interleave combiner 910 (B) doubles the fill ratio.
  • continuous wave electromagnetic radiation output from the 4 th and 5 th laser diode modules is interleaved in between continuous wave electromagnetic radiation emitted from the 2 nd and 3 rd laser diode modules, as shown in FIG. 10 .
  • the power output i that of five laser diode bars compressed into the space of three laser diode bars. This makes subsequent beam expansion and focusing easier so that suitably high power densities can be achieved.
  • the interleave combiner 910 (B) uses multilayer dielectric mirrors on a suitable optical glass such as BK7 or fused silica for enhanced reflection at continuous wave electromagnetic radiation wavelength.
  • FIG. 11 is a more detailed sectional side view of the focusing optics 910 (A) and the detection module 912 (A+B+C).
  • the purpose of the focusing optics 910 (A) is to focus continuous wave electromagnetic radiation emitted from the continuous wave electromagnetic radiation source 908 (A+B) ( FIGS. 9A and 9B ) into a line of continuous wave radiation on the surface of the substrate 906 .
  • the focusing optics 910 (A) include a series of seven lenses, labeled as A-G. All of the lenses A-G are preferably cylindrical lenses having spherical or plano figure.
  • Such cylindrical lenses having spherical figure are selected for their relative ease, and low cost, of manufacture as compared to cylindrical lenses having aspherical figure.
  • fewer aspherical lenses or cylindrical lenses having aspherical figure can be substituted for the seven cylindrical lenses having spherical or plano figure shown.
  • the totality of the cylindrical lenses significantly reduces any optical aberrations.
  • lens A is an expander lens that has a substantially optically flat entry side and a cylindrical exit side.
  • the expander lens is used to expand the continuous wave electromagnetic radiation condensed by the interleave combiner 910 (B) ( FIGS. 9A and 9B ) for subsequent focussing by the remainder of the focusing lenses B-G.
  • the beam of continuous wave electromagnetic radiation is expanded to 20 mm wide and the fast axis divergence is reduced to less than 0.1°. The reduced divergence makes it possible to achieve a narrower line width.
  • the wider beam makes it possible to achieve an acceptable working distance for a 0.4 numerical aperture.
  • the final lens G preferably has opposing substantially optically flat entry and exit sides, and acts merely as a quartz window to isolate the wafer environment from the lens environment. It also shifts the focus somewhat away from the radiation source.
  • the distance from the window to the substrate is approximately 8 mm.
  • the lenses A-G have the following prescription data:
  • “SURFACE” refers to the surface of the lens, where “ entry ” refers to the entry surface of the lens and “ exit ” refers to the exit surface of the lens.
  • Material refers to the material the lens is made from
  • “X”, “AX” and “SX” data refer to the shape of the aperture, rectangular or elliptical, where “X” means special aperture data, “S” means the aperture radium number in the previous column is calculated rather than specified, “A” means an aperture stop, basically a window that rays must be able to pass through.
  • the entry surface “A ENTRY” of lens A FIG.
  • the lenses A-G are preferably held in place within the focusing optics 910 (A) by a frame 1102 .
  • the frame 1102 is made from machined stainless steel.
  • the frame 1102 also preferably includes some tolerances to ensure a robust system should be lenses not align in use, where any misalignment merely shifts the line of focus towards or away from the substrate surface (or it moves laterally). This shift in focus is then adjusted by an automated focusing system, as described below in relation to FIGS. 14A-D .
  • purge gas is pumped into the frame and through a gas injector 1104 into spaces 1108 between the lenses to keep the lenses cool.
  • This purge gas is preferably Nitrogen, at room temperature (to avoiding condensation forming on the lenses).
  • the detection module 912 (A+B+C) preferably includes at least one reflected power detector 912 (A), at least one emitted power detector 912 (B), and/or at least one beam splitter 912 (C).
  • the emitted power detector 912 (B) is configured to detect a portion of the emitted continuous wave electromagnetic radiation emitted from the continuous wave electromagnetic radiation source 908 (A+B) (FIGS. 9 A and 9 B), while the reflected power detector 912 (A) is configured to detect a portion of reflected continuous wave electromagnetic radiation reflected from the surface of the substrate 906 .
  • the emitted power detector 912 (B) monitors the output of the continuous wave electromagnetic radiation source, while the reflected power detector 912 (A) is used to detect reflectivity, emissitivity, energy absorbed by the substrate, and/or the temperature of the substrate.
  • Suitable emitted power detectors 912 (B) and reflected power detectors 912 (A) are made by Hamamatsu.
  • the beam splitter 912 (C) is configured to sample a portion of the emitted continuous wave electromagnetic radiation by reflecting a portion of the emitted continuous wave electromagnetic radiation incident on a first substantially planar surface thereof towards the emitted power detector 912 (B).
  • a second planar surface of the beam splitter 912 (C), opposite the first planar surface is used to reflect continuous wave electromagnetic radiation reflected from the surface of the substrate towards the reflected power detector 912 (A).
  • the beam splitter is preferably disposed between the continuous wave electromagnetic radiation source 908 (A+B) and the stage 904 (FIGS. 9 A and 9 B).
  • the beam splitter 912 (C) is also preferably coated with an anti-reflective coating, such as MgF. In use, the beam splitter 912 (C) reflects or samples less than 1% of the continuous wave electromagnetic radiation emitted by the continuous wave electromagnetic radiation source 908 (A+B).
  • the ratio of the detected emitted power to the detected reflected power provides a measurement of the absorption at the substrate.
  • Absorption is the process by which radiant energy is absorbed, converted into other forms of energy, such as heat, and then reradiated at a longer wavelength, according to Planck's Law for thermal radiation.
  • the emitted power detector 912 (B) and the reflected power detector 912 (A) detect continuous wave electromagnetic radiation at 810 nm.
  • at least one detector 912 (A) is configured as a temperature detector to detect the temperature on the substrate at the line of continuous wave electromagnetic radiation. To detect temperature, the temperature detector detects continuous wave electromagnetic radiation at a wavelength other than 810 nm, such as 1500 nm. This is achieved by positioning a filter 1106 between the reflected continuous wave electromagnetic radiation and the detector 912 (A).
  • the filter 1106 is configured to allow only continuous wave electromagnetic radiation having a wavelength other than 810 nm to reach the detector 912 (A) making it act as an optical pyrometer and to assure that the detected signal is an emission signal and not a reflection from the lightsource. In other words, only radiation that is reflected has a wavelength of other than 810 nm.
  • the filter is configured to allow optical pyrometer operation between 900 nm and 2000 nm, with 1500 nm being a preferred wavelength. This temperature measurement is, however, susceptible to emissivity variation.
  • the reflected power detector 912 (A) and emitted power detector 912 (B) also preferably include pinhole apertures to maximize the signal detected while minimizing the collection of any stray radiation that may be scattered within the optics due to the non-zero reflectivity of the lenses in the apparatus.
  • 15 pairs of reflected power detectors 912 (A) and emitted power detectors 912 (B) are preferably provided. Every other reflected power detector 912 (A) is preferably configured as a temperature detector, as described above.
  • An alternative embodiment also includes reflectors 1110 positioned between the focusing optics 910 (A) and the substrate 906 .
  • the reflectors 1110 are configured to reflect radiation reflected from the surface of the substrate back to the line of continuous wave electromagnetic radiation.
  • the reflectors 1110 are cylindrical mirrors with center of curvature at the focus of the lens.
  • FIG. 12 is an isometric view of a prototype of the apparatus 900 shown in FIGS. 9A and 9B .
  • a substrate such as a semiconductor wafer
  • a continuous wave electromagnetic radiation module 902 is coupled to the chamber 1202 .
  • a translation mechanism such as the translation mechanism 218 (FIG. 2 ) moves the stage 904 relative to the continuous wave electromagnetic radiation module 902 , as depicted by the arrows 1206 .
  • Some of the electronics such as the computer system 914 (FIGS. 9 A and 9 B), are contained within a housing 1210 .
  • the apparatus 900 is preferably coupled to factor interface 1208 for transferring substrates 906 into or out of the apparatus 900 .
  • FIG. 13 is a flow chart of a method 1320 for controlling a thermal process.
  • the substrate is oriented on the stage, at step 1323 , such that the subsequent direction of the scan will optimize the thermal process. This is undertaken, as different orientations of the substrate have different mechanical properties and the yield strength may be higher in one direction than another. In general, a notch is provided on the substrate to indicate crystallization direction.
  • the surface of the substrate 904 (FIGS 9 A and 9 B) may optionally be coated with a thermal enhancement layer at step 1324 .
  • the thermal enhancement layer is made from a material having high absorption properties, such as doped poly silicon or silicon nitride, on a buffer layer of oxide, and/or form a material having anti-reflective properties.
  • the thermal enhancement layer helps create an insensitivity to substrate surface conditions. For example, if the surface of the substrate is highly reflective or non-uniform, the thermal enhancement layer helps maintain the substantially homogenous thermal exposure of the substrate.
  • the substrate is then irradiated with a line of continuous wave electromagnetic radiation emitted from the continuous wave radiation module 902 (FIGS. 9 A and 9 B), at step 1326 , thereby heating the surface of a substrate with a predetermined power density for a predetermined length of time.
  • the predetermined power density is preferably greater than 30 kW/cm 2 (preferably 100 kW/cm 2 ), and the predetermined time is preferably between 100 micro-seconds and 100 miliseconds (preferably about 1 millisecond). This heats the surface of the substrate from an ambient temperature of less than about 500° C. to a process temperature of higher than about 700° C.
  • the temperature at a predetermined depth from the surface such as at 10 times the maximum depth of device structures in Si, remains below the ambient temperature plus half the process temperature less the ambient temperature.
  • the line of continuous wave electromagnetic radiation may extend across the entire surface of the substrate or partially across the substrate.
  • any reflected or scattered light directed at the reflectors is reflected back towards the line of radiation at step 1328 .
  • the emitted power is then measured by the emitted power detector/s 912 (B) and transmitted to the computer system 914 ( FIGS. 9A and 9B ) at step 1330 .
  • the reflected power is then measured by the reflected power detector 912 (A) and transmitted to the computer system 914 ( FIGS. 9A and 9B ) at step 1332 .
  • the computer system 914 (FIGS. 9 A and 9 B) then compares the reflected power to the emitted power, at step 1334 , and controls the power supplied to the continuous wave electromagnetic radiation source accordingly, at step 1336 .
  • the continuous wave electromagnetic radiation source may heat different substrates differently with the same emitted power.
  • the computer system controls the power source 916 ( FIGS.
  • the adjustment mechanism (described below in relation to FIGS. 14A-D ) can adjust the height of the stage in real time at step 1335 . Adjusting the height of the stage can either bring the surface of the substrate into or out of focus, thereby controlling the power density of the line of continuous wave electromagnetic radiation on the surface of the substrate independently from the total power.
  • the measured reflected power and emitted power may then be used to calculate reflectivity of the substrate, emissivity of the substrate, energy absorbed by the substrate, and/or the temperature of the substrate at step 1338 .
  • the reflectivity is proportional to the reflected power divided by the emitted power.
  • a thermal emission signal from the wafer is measured through the optics and optionally through the interleave combiner at a wavelength longer than that of the continuous wave electromagnetic radiation source.
  • the temperature is proportional to the adsorbed power which equals the radiated power less the reflected power.
  • the calculated true temperature is derived from the difference in reflected and emitted power subject to the calibration of the detectors. The exact method is similar to the existing emissivity compensation schemes used for RTP, as is well understood in the art. These calculations are described in U.S. Pat. Nos. 6,406,179, 6,226,453; 6,183,130; 6,179,466; 6,179,465; 6,151,446; 6,086,245; 6,056,433; 6,007,241; 5,938,335; 5,848,842; 5,755,511; 5,660,472; all of which are incorporated herein by reference.
  • the thermal enhancement layer is then typically removed, at step 1340 .
  • the thermal exposure uniformity can be improved by over-scanning.
  • Over-scanning utilizes a line of radiation that is longer than the width of the substrate. After each scan, the line of radiation is shifted slightly along its length, at step 1341 , such that the overall thermal uniformity is improved if slow axis uniformity degrades over time. The shifting of the line effectively averages out the thermal exposure of the substrate.
  • FIG. 14A is a partial sectional side view of an automated focusing mechanism 1400
  • FIG. 14B is a top view of a tooling substrate and stage 1414 shown in FIG. 14A , as taken along line 14 B- 14 B′.
  • the automated focusing mechanism 1400 is used to focus the line of continuous wave electromagnetic radiation on the upper surface of a substrate from the continuous wave electromagnetic radiation module 902 .
  • the focusing mechanism 1400 preferably includes multiple photo-diode sensors 1408 embedded into a stage 1414 .
  • Each of the photo-diode sensors 1408 is electrically coupled to a controller 1404 .
  • five photo-diode sensors 1408 are provided, however, in general there should be at least three photo-diode sensors 1408 , to account for variations in pitch (about the X axis), roll (about the Y axis), and height (along the Z axis), as explained below.
  • the photo-diode sensors 1408 are used during the setup of the system to verify that the upper surface of the tooling substrate is in the plane of focus of the continuous wave electromagnetic radiation source.
  • a central photo-diode sensor is used to set up height, and photo-diode sensors to the left and right of the central photo-diode sensor are used for substantially eliminating any tilt or roll (rotation about the Y-axis) of the stage.
  • Leading and trailing photo-diode sensors are used to eliminate any tip or pitch (rotation about the X-axis) of the stage. Adjustments are based upon maximizing the signal of the photo-diode sensors.
  • Such verifications require a tooling substrate 1412 that is loaded onto the stage 1414 by a substrate loading robot.
  • the tooling substrate 1412 has pinhole apertures 1410 directly above each photo-diode sensor 1410 .
  • the pinhole apertures have a smaller diameter than the width of the line, even at best focus.
  • the controller 1404 is also coupled to an adjustment mechanism 1402 .
  • the adjustment mechanism 1402 is configured to raise of lower the stage 1414 (along the Z axis), adjust the pitch (about the X axis), or adjust the roll (about the Y axis), as required by the controller to focus the line of continuous wave electromagnetic radiation on the surface of the tooling substrate.
  • the adjustment mechanism 1402 includes at least three rack an pinion driver 1406 , each rotatably coupled to the stage at one end of the rack and pinion drive's screw. In use, if all three rack and pinion drives 1406 are raised or lowered together, the stage 904 is raised or lowered. However, if individual rack and pinion drives 1406 are lowered or raised the pitch and roll of the stage can be adjusted. It should, however, be appreciated that any suitable adjustment mechanism 1402 may be used.
  • the controller 1404 is also coupled to the translation mechanism 218 for moving the continuous wave electromagnetic radiation source 908 (A+B) and the stage 904 relative to one another.
  • FIG. 14C is a flow chart 1420 of a method for automatically focusing a line of continuous wave electromagnetic radiation on an upper surface of a substrate.
  • the first photo-diode sensor measures the intensity of the continuous wave electromagnetic radiation, at step 1428 , and transmits this intensity to the controller 1404 (FIG. 14 A).
  • the controller then instructs the adjustment mechanism 1402 ( FIG. 14A ) to adjust the height of the stage, at step 1430 .
  • the height is adjusted by the adjustment mechanism raising or lowering the stage 904 ( FIG. 14A ) along the Z axis until the line of light is in focus at the aperture in front of the first photo-diode sensor.
  • the controller then instructs the translation mechanism to translate the continuous wave electromagnetic radiation module and the stage relative to one another, at step 1431 , such that the next photo-diode is aligned with the line of radiation.
  • the next photo-diode sensor 1408 ( FIG. 14A ) is then irradiated at step 1432 .
  • the intensity of the continuous wave electromagnetic radiation measured at this photo-diode sensor is measured, at step 1434 and transmitted to the controller 1404 (FIG. 14 A).
  • the controller then instructs the adjustment mechanism 1402 to adjust the pitch and/or roll of the stage by tilting the stage about the X and Y axes, as necessary, to ensure that the line of light is in focus at this photo-diode sensor, at step 1436 .
  • the controller determines, at step 1438 , whether the setup has been completed, i.e., whether measurements have been taken from all the photo-diode sensors. If the method is not completed (1438-No), then the radiation module and stage are translated relative to one another until the next photo-diode is aligned with the line of radiation and the next photo-diode irradiated at step 1432 , and the method repeated until such time as the line of light is in focus at all points along the surface of the substrate. If the method has completed (1438-Yes), then the process is completed at step 1440 .
  • This processes may be either iterative.
  • complete scans in the Z direction can be made for all detectors prior to adjustment.
  • the plane of the tooling wafer will become known to the system relative to the plane of focus.
  • the three servos make the appropriate adjustments to make the two planes coincident.
  • tilt or roll is eliminated using the left and right photo-diode sensors, which will come into and out of focus at different heights if the stage is tilted or rolled.
  • the substrate is moved to a leading edge photo-diode sensor and another through focus data-set is collected. Pitch or tip is zeroed out when the central photo-diode sensor and the leading edge photo-diode sensors have the same through focus data at the same heights.
  • the trailing edge photo-diode sensors are used for verifying that the stage is indeed level.
  • FIG. 14D is a graph 1450 of the measured energy density (Normalized Signal) 1454 versus the height of the stage, with zero being at best focus, at an aperture 1410 (FIG. 14 A). Through focus is shown as 1452 . As can be seen, when the line of light is focused at the aperture, at 1456 , the energy density is the highest. Also shown is the spot size, i.e., the area over which the energy is spread. The spot is an illustration of where the image of the laser diodes is in the plane of focus. In order to simplify analysis, rotationally symmetric lenses are assumed, i.e., why a spot and not a line is used for analysis. In actual use, however, the spot is preferably a long line with a width that spreads.
  • the focusing mechanism 1400 assures a good focus for all substrates. It also allows thermal recipes to vary the line width without having to resort to movable optics, i.e., the power density at the surface of the substrate can be adjusted independently by adjusting the height of the stage without adjusting the total power output by the continuous wave electromagnetic radiation source.
  • any of the above described systems, apparatuses, or methods may be used with an implanter or Plasma Doping (PLAD).
  • the above described methods may be used for back end thermal processes that require using a high power continuous wave electromagnetic radiation laser source operating in or near the UV.
  • a high power continuous wave electromagnetic radiation laser source operating in or near the UV.
  • One such back end thermal process is copper reflow, where wavelengths produced by such a laser source are strongly absorbed by most materials, including Copper.
  • the above described apparatuses and methods may be used for isotropic etching and/or ashing, such as etching photoresist off a substrate surface.
  • isotropic etching and/or ashing does not require the use of a plasma, and, therefore, does not have any of the associated problems of plasma damage, such as those caused by hot electrons.
  • the above described apparatuses and methods may be used for all flat panel anneals.
  • Current laser recrystallization processes raster a laser spot across the surface of the flat panel. Recrystalization generally proceeds radially, thereby making the speed and overscanning critical process control variables.
  • recrystalization proceeds from a broad, continuous front, resulting in the formation of larger grains due to the reduced degree of freedom for recrystalization.
  • recrystalization can only occur in front of and behind the line of radiation, making the scan speed an important variable.
  • the above described apparatuses and methods may be used to activating beyond an a-c/Si interface to improve p-n junction leakage, where a-c is an amorphous-crystaline interface.
  • a problem with present annealing methods is that not all defects at an original a-c interface are annealed out. These defects are the End-of-Range (EOR) defects for an amorphizing implant. If these defects remain in the junction where voltage must be sustained (depletion region), then the regular array assumption for Silicon is less than perfect and leakage will occur. In the present invention, however, the thermal exposure can be made long enough to move the junction deeper—past the EOR defects. Pulsed lasers are not well suited to do this, as due to the short pulse lengths well below a microsecond, no diffusion can occur.
  • EOR End-of-Range

Abstract

The thermal processing device includes a stage, a continuous wave electromagnetic radiation source, a series of lenses, a translation mechanism, a detection module, and a computer system. The stage is configured to receive a substrate thereon. The continuous wave electromagnetic radiation source is disposed adjacent the stage, and is configured to emit continuous wave electromagnetic radiation along a path towards the substrate. The series of lenses is disposed between the continuous wave electromagnetic radiation source and the stage, and are configured to condense the continuous wave electromagnetic radiation into a line of continuous wave electromagnetic radiation on a surface of the substrate. The translation mechanism is configured to translate the stage and the line of continuous wave electromagnetic radiation relative to one another. The detection module is positioned within the path, and is configured to detect continuous wave electromagnetic radiation. The computer system is coupled to the detection module.

Description

This application claims priority to, and is a continuation-in-part of, U.S. Patent Application No. 10/202,119 filed on Jul. 23, 2002, entitled, “THERMAL FLUX DEPOSITION BY SCANNING,” which is a Continuation-in-Part of the U.S. Patent Application No. 10/126,419 filed on Apr. 18, 2002, entitled “THERMAL FLUX PROCESSING BY SCANNING,” which are both incorporated herein by reference.
BACKGROUND OF THE INVENTION
1. Field of the Invention
The invention relates generally to semiconductor device manufacture. More particularly, the invention is directed to an apparatus and method for thermally processing a substrate by scanning the substrate with a line of radiation.
2. Description of Related Art
The integrated circuit (IC) market is continually demanding greater memory capacity, faster switching speeds, and smaller feature sizes. One of the major steps the industry has taken to address these demands is to change batch processing multiple substrates, such as silicon wafers, in large furnaces to single substrate processing in small reaction chambers.
Generally, there are four basic operations performed in such batch processing fabrication, namely layering, patterning, doping, and heat treatments. Many of these operations require heating the substrate to high temperatures so that various chemical and physical reactions can take place. Of particular interest, are heat treatments and layering, each of which will be discussed below.
Heat treatments are operations in which the substrate is simply heated and cooled to achieve specific results. During heat treatment no additional material is added to or removed from the substrate. Heat treatments, such as rapid thermal processing or annealing, typically require providing a relatively large amount of thermal energy (high temperature) to the substrate in a short amount of time, and thereafter rapidly cooling the substrate to terminate the thermal process. The amount of thermal energy transferred to the substrate during such processing is known as the thermal budget. The thermal budget of a material is a function of temperature and the duration of the process. A low thermal budget is desired in ultra-small IC manufacturing, which can only be provided at high temperature if the time of the process is very short.
Examples of heat treatments currently in use include Rapid Thermal Processing (RTP) and impulse (spike) annealing. While such processes are widely used, current technologies are not ideal. Such technologies tend to ramp-up and ramp-down the temperature of the substrate too slowly, in addition to exposing the substrate to elevated temperatures for long periods. These problems become more sever with increasing substrate sizes, increasing switching speeds, and/or decreasing feature sizes.
In general, these heat treatments raise the substrate temperature under controlled conditions according to a predetermined thermal recipe. These thermal recipes fundamentally consist of: a temperature that the substrate must be heated to; the rate of change of temperature, i.e., the temperature ramp-up and ramp-down rates; and the time that the thermal processing system remains at a particular temperature. For example, thermal recipes may require the substrate to be heated from room temperature to distinct temperatures of 1200° C. or more, for processing times at each distinct temperature ranging up to 60 seconds, or more.
Moreover, to meet certain objectives, such as minimal diffusion of dopants in the substrate the amount of time that each substrate is subjected to high temperatures must be restricted. To accomplish this, the temperature ramp rates, both up and down, are preferably high. In other words, it is desirable to be able to adjust the temperature of the substrate from a low to a high temperature, and vise versa, in as short a time as possible so as to minimize the thermal budget.
This requirement for high temperature ramp rates led to the development of Rapid Thermal Processing (RTP), where typical temperature ramp-up range from 200-400° C./s, as compared to 5-15° C/minute for conventional furnaces. Typical ramp-down rates are in the range of 80-150° C./s.
FIG. 1 is a graph 100 of thermal profiles of different prior art thermal processes. As can be seen, the thermal profile 102 of a typical RTP system has a 250° C./s ramp-up rate and a 90° C./s ramp-down rate.
A drawback of RTP is that it heats the entire substrate even though the IC devices reside only in the top few microns of the substrate. This limits how fast one can heat up and cool down the substrate. Moreover, once the entire substrate is at an elevated temperature, heat can only dissipate into the surrounding space or structures. As a result, today's state, of the art RTP systems struggle to achieve 400° C./s ramp-up rates and 150° C./s ramp-down rates.
FIG. 1 also shows a thermal profile 104 of a laser annealing process. Laser annealing is used during the fabrication of Thin Film Transistor (TFT) panels. Such systems use a laser spot to melt and recrystalize polysilicon. The entire TFT panel is exposed by scanning the laser spot across successive exposure fields on the panel. For substrate applications a laser pulse is used to illuminate an exposure field for a duration of approximately 20-40 ns, where the exposure field is obtained by rastering across and down the substrate. As can be seen from the thermal profile 104 for laser annealing, the ramp rate is nearly instantaneous at billions of degrees per second. However, the laser pulse or flash used for laser annealing is too fast and, often does not provide enough time for sufficient annealing to occur for non-melt processes. Also, devices or structures next to the exposed regions may either be exposed to extreme temperature causing them to melt, or to temperatures that are too low resulting in too little annealing. Still further, homogenization of the thermal exposure of each portion of the substrate is difficult to attain because different regions adsorb at different rates resulting in huge temperature gradients. The process is too fast for thermal diffusion to equilibrate temperature, thereby creating sever pattern dependencies. As a result, this technology is not appropriate for single crystal silicon annealing because different regions on the substrate surface may be heated to vastly different temperatures causing large non-uniformities over short distances.
Another thermal processing system currently in development by Vortek Industries Ltd., of Canada, uses flash assisted spike annealing to attempt to provide a high thermal energy to the substrate in a short amount of time and then rapidly cool the region to limit the thermal exposure. Use of this thermal processing system should give the junction depth of a spike anneal to 1060° C. but improve the activation with flash to 1100° C. Typically, the RTP system ramps up to the desired temperature typically around 1060° C. then begins to ramp down immediately after having reached the desired flash temperature. This is done to minimize the amount of diffusion that takes place while still getting suitable activation from the elevated temperature. The thermal profile 106 of such a flash assisted spike anneal is also shown in FIG. 1.
In view of the above, there is a need for an apparatus and method for annealing a substrate with high ramp-up and ramp-down rates. This will offer greater control over the fabrication of smaller devices leading to increased performance. Furthermore, such an apparatus and method should ensure that every point of the substrate has a substantially homogenous thermal exposure, thereby reducing pattern dependencies and potential defects.
We now turn our attention to layering, which is another basic fabrication operation that typically requires the addition of energy or heat. Layering adds thin layers or films to a substrate's surface using a variety of techniques, of which the most widely used are growing and deposition. The added layers function in the IC devices as semiconductors, dielectrics (insulators), or conductors. These layers must meet various requirements, such as uniform thickness, smooth and flat surfaces, uniform composition and grain size, stress-free films, purity, and integrity. Common deposition techniques that require the addition of energy are: Chemical Vapor Deposition (CVD); a variation of CVD known as Rapid Thermal Chemical Vapor Deposition (RTCVD); another variation of CVD known as Low Pressure CVD (LPCVD); and Atomic Layer Deposition (ALD), to name but a few.
CFD is the most widely used technique for physically depositing one or more layers or films, such as silicon nitride (Si3N4), on a substrate surface. During the CVD process, various gases, such as ammonia (NH3) and dichlorosilane (DCS), containing the atoms or molecules required in the final film are injected into a reaction chamber. Chemical reactions between the gases are induced with high energy such as heat, light, or plasma. The reacted atoms or molecules deposit on the substrate surface and build up to form a thin film having a predetermined thickness. Byproducts of the reactions are subsequently flushed from the reaction chamber. The deposition rate can be manipulated by controlling the reaction condition of supplied energy; the amount and ratio of gases present in the reaction chamber; and/or the pressure within the reaction chamber.
The reaction energy is typically supplied by heat (either conduction or convection), induction RF, radiant, plasma, or ultraviolet energy sources. Temperatures typically range from room temperature to 1250° C., and more typically from 250° C. to 850° C.
Although, it is desirable in current thermally driven processes to heat the substrate to a high temperature, it is also desirable that the substrate is not exposed to these high temperatures for too long. In other words, it is desirable to be able to adjust the temperature of the substrate from a low to a high temperature, and vice versa, in as short a time as possible, i.e., have a low thermal budget.
However, current thermally driven processes heat the entire substrate, despite the fact that only the surface of the substrate needs to be heated. Heating the entire substrate limits how fast one can heat up and cool down the substrate, as the substrate has a thermal inertia that resists changes in temperature. For example, once the entire substrate is at an elevated temperature, cooling the substrate can only occur by heat dissipating into the surrounding space or structures.
In CVD and LPCVD, the various gases are supplied or injected into the reaction chamber at the same time. A gas phase reaction occurring between the reactant gases may, however, occur at any location within the reaction chamber, including the ambient space around the substrate. Reactions occurring in the ambient space are undesirable as they can form particles which can become imbedded in the film. Gas phase reactions also make the deposition dependant on flow, significant non-uniformities can arise due to flow dependance.
More recently, ALD was developed to address the above described gas phase reaction problems with CVD and LPCVD. In ALD, a first gas is injected into the reaction chamber. The atoms of the first gas adhere to the surface of the substrate. A purging gas is then injected to flush the first gas from the reaction chamber. Finally, a second gas is injected into the reaction chamber to react with the first gas on the surface of the substrate. As the first and second gases are not present in the reaction chamber at the same time, gas phase reaction does not occur in the ambient space. This eliminates the problems associated with particle formation in the ambient space and flow dependance. However, deposition rates for ALD are slow, taking approximately 1 Angstrom per second. Also, ALD is bound by the same temperature constraints and thermal budget issues as CVD.
In light of the above, there is a need for an apparatus and method for depositing layers on a substrate that reduces gas phase reaction problems. More specifically, such an apparatus and method, should only heat the surface of the substrate and provide high ramp-up and ramp-down rates, i.e., low thermal budget. Such an apparatus and method preferably meets general and specific parameters, such as uniform layer thickness, smooth and flat layer surfaces, uniform layers composition and grain size, low stress films, purity, and integrity.
BRIEF SUMMARY OF THE INVENTION
According to an embodiment of the invention there is provided an apparatus for depositing layers on a substrate. The apparatus includes a reaction chamber and a gas injector configured to inject at least one gas into the reaction chamber. The apparatus also includes a continuous wave electromagnetic radiation source, a stage within the reaction chamber, and focusing optics disposed between the continuous wave electromagnetic radiation source and the stage. The stage is configured to receive a substrate thereon. The focusing optics are configured to focus continuous wave electromagnetic radiation from the continuous wave electromagnetic radiation source into a line of continuous wave electromagnetic radiation on an upper surface of the substrate. The line of continuous wave electromagnetic radiation preferably extends across the width or diameter of the substrate. The apparatus further includes a translation mechanism configured to translate the stage and the line of continuous wave electromagnetic radiation relative to one another.
Further according to the invention there is provided a method for depositing one or more layers on a substrate. The substrate is initially positioned in the reaction chamber. One or more gases are introduced into the reaction chamber. A predetermined speed for translating a line of radiation is determined. This predetermined speed is based on a number of factors, such as a thermal recipe for processing the substrate, the properties of the substrate, a power of the continuous wave electromagnetic radiation, a width of the line of radiation, a power density at the line of radiation, or the like.
Continuous wave electromagnetic radiation is then emitted from a continuous wave radiation source and preferably collimated. The continuous wave electromagnetic radiation is subsequently focused into a line of radiation extending across the surface of the substrate. The line of radiation is then translated relative to the surface at the constant predetermined speed.
The combination of the introduced gas(es) and heat generated by the line of radiation causes at least one gas to react and deposit a layer on the surface of the substrate. Undesirable byproducts of the reaction are then flushed from the reaction chamber. This process is repeated until a layer having a predetermined thickness is formed on the surface of the substrate.
According to another embodiment of the invention there is provided a thermal flux processing device. The thermal flux processing device includes a continuous wave electromagnetic radiation source, a stage, focusing optics, and a translation mechanism. The continuous wave electromagnetic radiation source is preferably one or more laser diodes. The stage is configured to receive a substrate thereon. The focusing optics are preferably disposed between the continuous wave electromagnetic radiation source and the stage and are configured to focus continuous wave electromagnetic radiation from the continuous wave electromagnetic radiation source into a line of continuous wave electromagnetic radiation on an upper surface of the substrate. A length of the line of continuous wave electromagnetic radiation preferably extends across an entire width of the substrate. The translation mechanism is configured to translate the stage and the line of continuous wave electromagnetic radiation relative to one another, and preferably includes a chuck for securely grasping the substrate.
Still further, there is provided a method for thermally processing a substrate. Continuous wave radiation is focused into a line of radiation at an upper surface of the substrate. The line of radiation is translated relative to the surface at a constant predetermined speed. This allows for every point of the substrate to have a substantially homogenous thermal exposure or history. Process control is achieved by modulating scan speed rather than lamp power, thereby simplifying the control of the apparatus. This allows for highly local heating without generating defects.
Therefore, the present invention heats only a small portion of the surface of the substrate at any given moment. This reduces the total radiated power requirement. In fact, an energy density of 150 kW/cm2 is achievable on a 300 mm substrate with only a 5 kW radiation source, as only one chord of the substrate is heated at any one time.
By heating a small area at any given moment, it is possible to achieve millions of degrees per second ramp rates on a substrate with only a few kilowatts of radiated power. Additionally, ramp rates this high allow for the upper surface to be heated from ambient temperature to 1200° C. or higher and cooled back down to nearly ambient temperature before the bulk substrate temperature can rise.
The above described apparatus and method can heat the substrate surface to any reasonable temperature for a millisecond or less. In addition, as the line of radiation only applies heat to the surface of the substrate, the reaction of the gases only occurs at the surface. Where the reactions at room temperature are negligible, this allows multiple gases to be injected simultaneously without leading to undesirable gas phase reactions away from the substrate surface. This method can be performed at atmospheric pressure, resulting in faster decomposition of reactants, thereby enabling high deposition rates.
According to another embodiment of the invention there is provided a thermal processing device that includes a stage, a continuous wave electromagnetic radiation source, a series of lenses, a translation mechanism, a detection module and a computer system. The stage is configured to receive a substrate thereon. The continuous wave electromagnetic radiation source is disposed adjacent the stage, and is configured to emit continuous wave electromagnetic radiation along a path towards the substrate. The series of lenses is disposed between the continuous wave electromagnetic radiation source and the stage. The series of lenses are configured to condense the continuous wave electromagnetic radiation into a line of continuous wave electromagnetic radiation on a surface of the substrate. Condensing causes the radiation to converge or concentrate on or toward the line of continuous wave electromagnetic radiation. The translation mechanism is configured to translate the stage and the line of continuous wave electromagnetic radiation relative to one another. The detection module is positioned within the path, and is configured to detect continuous wave electromagnetic radiation. In a preferred embodiment, the detection module is positioned between the series of lenses, more preferably between the expander lens and the remainder of the lenses that are configured to condense the continuous wave electromagnetic radiation. The computer system is coupled to the detection module. Also in a preferred embodiment, the line of continuous wave electromagnetic radiation is no wider than 500 microns and the has a power density of at least 30 kW/cm2.
The detection module preferably comprises at least one emitted power detector configured to detect emitted continuous wave electromagnetic radiation emitted from the continuous wave electromagnetic radiation source. The detection module also preferably comprises at least one reflected power detector configured to detect reflected continuous wave electromagnetic radiation reflect from the surface. At least one beam splitter is provided for sampling a portion of the emitted continuous wave electromagnetic radiation, or for sampling a portion of the reflected continuous wave electromagnetic radiation. The beam splitter is preferably disposed between the continuous wave electromagnetic radiation module and the stage, and more preferably between the series of lenses, more preferably between the expander lens and the remainder of the lenses that are configured to condense the continuous wave electromagnetic radiation. In one embodiment, the emitted power detector and the reflected power detector detect continuous wave electromagnetic radiation at 810 nm. At least one temperature detector is configured to detect the temperature of the surface at the line of continuous wave electromagnetic radiation by detecting continuous wave electromagnetic radiation at a wavelength other than 810 nm. A filter is preferably disposed between the temperature detector and the line of continuous wave electromagnetic radiation. The filter is configured to allow only continuous wave electromagnetic radiation having a wavelength other than 810 nm to reach the temperature detector. The filter is configured to allow optical pyrometer operation between 900 nm and 2000 nm, and particularly at 1500 nm.
The computer system preferably includes procedures for determining emitted power that is emitted to the emitted power detector; procedures for determining reflected power that is reflected to the reflected power detector; and procedures for controlling power supplied to the continuous wave electromagnetic radiation source based on the detected, emitted, and/or reflected power. The computer system may also include reflectivity procedures for determining reflectivity. Reflectivity is proportional to the reflected power divided by the emitted power. The computer system may also include temperature procedures for determining a temperature of the surface at the line of continuous wave radiation. The temperature is proportional to an adsorbed power which equals the emitted power less the reflected power.
The series of lenses preferably include at least one expander less disposed between the continuous wave electromagnetic radiation source and the stage. The at least one expander lens is configured to expand a beam of continuous wave electromagnetic radiation emitted from the continuous wave electromagnetic radiation source into an expanded beam of continuous wave electromagnetic radiation. The series of lenses may further include multiple cylindrical lenses arranged in series between the continuous wave electromagnetic radiation source and the stage. The multiple cylindrical lenses are configured to focus the expanded beam of continuous wave electromagnetic radiation into a line of continuous wave electromagnetic radiation on the surface of the substrate.
The continuous wave electromagnetic radiation source comprises multiple sets of opposing laser diode modules, where each of the multiple sets of opposing laser diode modules are preferably controlled separately. Also, a separate detection module is preferably provided for each set of laser diodes.
An interleave combiner is preferably disposed between the continuous wave electromagnetic radiation source and the series of lenses. The interleave combiner preferably uses dielectric stacks for enhanced reflection at continuous wave electromagnetic radiation wavelength. A thermal emission signal from the substrate is preferably measured through the series of lenses as well as interleave combiner at a wavelength longer than that of the continuous wave electromagnetic radiation. The interleave combiner utilizes fill ratio enhancing optics to reduce the size of the series of lenses.
An adjustment mechanism may also be provided to move the continuous wave electromagnetic radiation source and the stage towards one another. This allows the computer system to control the adjustment mechanism based on measurement taken by the detection module, in order to keep the line of continuous wave radiation in focus on the surface. In an alternative embodiment, a reflective surface is provided for redirecting scattered continuous wave radiation back towards the line of continuous wave radiation.
According to another embodiment of the invention, a thermal processing method is provided. A surface of a substrate is heated with a predetermined power density for a predetermined length of time. This allows the surface of the substrate to be heated from an ambient temperature (TA) t a process temperature (TP), while the temperature at a predetermined depth (TD) from the surface remains below the ambient temperature plus, half the process temperature less the ambient temperature (TD<=TA+(TP−TA/2). In a preferred embodiment, the predetermined power density is at least 30 kW/cm2, the predetermined length of time is between 100 micro-seconds and 100 milliseconds, the ambient temperature is less than about 500° C., the process temperature is more than about 700° C., and the predetermined depth is 10 times a depth of interest, where the depth of interest is a maximum depth of device structures in silicon.
The thermal processing method may also include initially coating the surface with a thermal enhancement layer. Also, any scattered continuous wave electromagnetic radiation may be reflected back towards the line of radiation. The emitted power of the continuous wave electromagnetic radiation and the reflected power of continuous wave electromagnetic radiation reflected from the surface may be measured. The reflected power may then be compared to the emitted power. Power supplied to the continuous wave electromagnetic radiation source may be controlled based on such a comparison. Also, a separate measurement may be taken of thermal emission from the substrate at a focus of the line of continuous wave electromagnetic radiation at substantially a different wavelength than reflected continuous wave electromagnetic radiation. The temperature may be determined at the surface at the line. Also, the absorption, reflectivity, and emmisivity may be determined.
Before focusing, an optimum orientation of the substrate relative to a scan direction may be chosen. The optimum orientation is determined by assuring scan direction to have a minimum overlap with principal slip planes of the substrate. Also, the substrate may be pre-heated. Pre-heating comprises of one or more prescans with the continuous wave electromagnetic radiation source, and is preferably performed using a hot plate.
Still further, according to the invention the series of lenses include at least one expander lens and multiple cylindrical lenses. The expander lens is disposed between the continuous wave electromagnetic radiation source and the stage. The expander lens is configured to expand the beam of continuous wave electromagnetic radiation into and expanded beam of continuous wave electromagnetic radiation. The multiple cylindrical lenses are preferably arranged in series between the at least one expander lens and the stage. The multiple cylindrical lenses are configured to focus the expanded beam of continuous wave electromagnetic radiation into a line of continuous wave electromagnetic radiation on the surface of the substrate. The at least one expander lens preferably comprises two expander lenses, while the multiple cylindrical lenses have spherical figure or aspherical figure. Some of the multiple cylindrical lenses may spherical figure and others may not. A gas injector may be provided near the multiple lenses to circulate cooling purge gas between the multiple lenses.
Further, an automatic focusing mechanism for a thermal processing device is provided. The automatic focusing mechanism includes a continuous wave electromagnetic radiation module, a stage, at least one photo detector, a translation mechanism, an adjustment mechanism, and a controller. The continuous wave electromagnetic radiation module is configured to focus continuous wave electromagnetic radiation into a line of continuous wave electromagnetic radiation on a surface of a substrate. The stage is configured to receive a substrate thereon. The at least one photo detector is coupled to the stage. The at least one photo detector is configured to measure intensity of the continuous wave electromagnetic radiation. The translation mechanism is configured to translate the stage and the continuous wave electromagnetic radiation module relative to one another. The adjustment mechanism is coupled to the stage, and is configured to adjust the height, roll and pitch of the stage. Finally, the controller is coupled to the continuous wave electromagnetic radiation module, the at least one photo detector, the translation mechanism, and the adjustment mechanism. The at least one photo detector preferably includes three photo detectors embedded into the stage. The three photo detectors and the controller are configured to measure a pitch, roll, and height of the stage relative to the continuous wave electromagnetic radiation module.
In use, the a line of continuous wave electromagnetic radiation is automatically focused on a surface of a substrate. After the automatic focusing mechanism is provided, a tooling substrate having at least one aperture there through, is positioned on the stage. The at least one aperture aligns with the at least one photo detector. The at least one aperture is then radiated with continuous wave electromagnetic radiation from the continuous wave electromagnetic radiation source. An intensity of the continuous wave electromagnetic radiation is then measured at the at least one photo detector and a position of the stage and the continuous wave electromagnetic radiation source adjusted relative to one another, based on the intensity.
The stage and the continuous wave electromagnetic radiation source are then translated laterally relative to one another to align another aperture in the tooling substrate with another photo detector. Another aperture is then exposed to continuous wave electromagnetic radiation from the continuous wave electromagnetic radiation source. Another intensity is then sensed of the continuous wave electromagnetic radiation at the another photo detector. Finally, a position of the stage and the continuous wave electromagnetic radiation source is set relative to one another, based on the another intensity. These steps are repeated until the stage is in a predetermined position relative to the continuous wave electromagnetic radiation source.
Yet another embodiment provides a method for thermally processing a semiconductor substrate. Continuous wave electromagnetic radiation is focused into a line of continuous wave electromagnetic radiation extending partially across a surface of a semiconductor substrate. The line of continuous wave electromagnetic radiation and the surface is then translated relative to one another at a constant predetermined speed. The line of radiation is subsequently shifted along its length a distance either equal to or slightly less than its length. The line of continuous wave electromagnetic radiation and the surface is again translated relative to one another at the constant predetermined speed. This over-scanning allows every exposed point of the substrate to have a substantially homogenous thermal exposure.
BRIEF DESCRIPTION OF THE DRAWINGS
For a better understanding of the nature and objects of the invention, reference should be made to the following detailed description, taken in conjunction with the accompanying drawings, in which:
FIG. 1 is a graph of thermal profiles of different prior art thermal processes;
FIG. 2A is a diagrammatic side view of an apparatus for thermally processing a substrate, according to an embodiment of the invention.
FIG. 2B is a diagrammatic top view of the substrate and stage shown in FIG. 2A;
FIG. 3 is a diagrammatic side view of another apparatus for thermally processing a substrate, according to another embodiment of the invention;
FIG. 4 is a flow chart of a method for thermally processing a substrate;
FIG. 5 is a graph of the temperature at a fixed point on and through the substrate during thermal processing, according to an embodiment of the invention;
FIG. 6 is a diagrammatic side view of an apparatus for depositing layers on a substrate, according to another embodiment of the invention;
FIG. 7 is a flow chart of a method for depositing layers on a substrate, according to the embodiment of the invention shown in FIG. 6;
FIG. 8 is a graph of the results of a Monte Carlo simulation for Silane decomposition at 850° C. and 740 Torr, according to the embodiment of the invention shown in FIG. 6;
FIG. 9A is a side view of yet another apparatus for thermally processing a substrate, according to yet another embodiment of the invention;
FIG. 9B is an oblique view of the apparatus shown in FIG. 9A;
FIG. 9C is a rear view of yet another apparatus for thermally processing a substrate, according to yet another embodiment of the invention;
FIG. 10 is a diagrammatic side view of the interleave combiner shown in FIGS. 9A and 9B.
FIG. 11 is a more detailed sectional side vie of the focusing optics and the detection module shown in FIGS. 9A and 9B;
FIG. 12 is a isometric vie of a prototype of the apparatus shown in FIGS. 9A and 9B;
FIG. 13 is a flow chart of a method for controlling a thermal process;
FIG. 14A is a partial sectional side view of an automated focusing mechanism;
FIG. 14B is a top view of the tooling substrate and stage shown in FIG. 14A, as taken along line 14B-14B′;
FIG. 14C is a flow chart of a method for automatically focusing a line of continuous wave electromagnetic radiation on an upper surface of a substrate; and
FIG. 14D is a graph of the measured energy density versus the vertical distance from best focus at an aperture.
Like reference numerals refer to corresponding parts throughout the several views of the drawings. For ease of reference, the first number(s) of any reference numeral generally indicates the figure number in which the reference numeral was first shown. For example, 102 can be found in FIG. 1, and 1341 can be found in FIG. 13.
DETAILED DESCRIPTION OF THE INVENTION
FIG. 2A is a diagrammatic side view of an apparatus 200 for thermally processing a substrate, according to an embodiment of the invention. Thermally processing a substrate is any thermal process that requires the characteristics of the invention described below. Exemplary embodiments of such a thermal process includes thermal annealing of substrates or thermal processes used in Chemical Vapor Deposition (CVD), both of which will be described throughout the remainder of the Figures.
The apparatus 200 comprises a continuous wave electromagnetic radiation module 201, a stage 216 configured to receive a substrate 214 thereon, and a translation mechanism 218. The continuous wave electromagnetic radiation module 201 comprises a continuous wave electromagnetic radiation source 202 and focusing optics 220 disposed between the continuous wave electromagnetic radiation source 202 and the stage 216.
In a preferred embodiment, the substrate 214 is any suitable substrate, such as a single crystal silicon substrate; silicon on insulator (SOI); Silicon Germanium or alloys thereof; glass or quartz substrate with a silicon layer thereon, as used for manufacturing thin film transistors (TFT); or the like. It will however be appreciated that thermal flux processing of single crystal silicon substrates is more difficult than that of TFT substrates, as single crystal silicon substrates have a much higher thermal conductivity than TFTs and the single crystal silicon substrates' applications require tighter control of the thermal process.
The continuous wave electromagnetic radiation source 202 is capable of emitting “continuous waves” or rays of electromagnetic radiation, such as light. By “continuous wave” it is meant that the radiation source is configured to emit radiation continuously, i.e., not a burst, pulse, or flash of radiation. This is quite unlike lasers used in laser annealing, which typically use a burst or flash of light.
Furthermore, as the continuous wave electromagnetic radiation needs to be absorbed at or near the surface of the substrate, the radiation has a wavelength within the range at which the substrate absorbs radiation. In the case of a silicon substrate, the continuous wave electromagnetic radiation preferably has a wavelength between 190 nm and 950 nm. More preferably, it has a wavelength of approximately 808 nm.
Alternatively, a high power continuous wave electromagnetic radiation laser source operating in or near the UV may be used, where wavelengths produced by such continuous wave electromagnetic radiation laser sources are strongly absorbed by most otherwise reflective materials.
In a preferred embodiment, the continuous wave electromagnetic radiation source 202 is capable of emitting radiation continuously for at least 15 seconds. Also, in a preferred embodiment, the continuous wave electromagnetic radiation source 202 comprises multiple laser diodes each of which produces uniform and spatially coherent light at the same wavelength. In yet another preferred embodiment, the power of the laser diode/s is in the range of 0.5 kW to 50 kW, but preferably approximately 5 kW. Suitable laser diodes are made by Coherent Inc. of Santa Clara, Calif.; Spectra-Physics of California; or by Cutting Edge Optronics, Inc. of St. Charles, Mo. A preferred laser diode is made by Cutting Edge Optronics, although another suitable laser diode is Spectra Physics' MONSOON® multi-bar module (MBM), which provides 40-480 watts of continuous wave power per laser diode module.
The focusing optics 220 preferably comprise one or more collimators 206 to collimate radiation 204 from the continuous wave electromagnetic radiation source 202 into a substantially parallel beam 208. This collimated radiation 208 is then focused by at least one lens 210 into a line of radiation 222 at an upper surface 224 of the substrate 214.
Lens 210 is any suitable lens, or series of lenses, capable of focusing radiation into a line. In a preferred embodiment, lens 210 is a cylindrical lens. Alternatively, lens 210 may be one or more concave lenses, convex lenses, plane mirrors, concave mirrors, convex mirrors, refractive lenses, diffractive lenses, Fresnel lenses, gradient index lenses, or the like. The focusing optics 220 are described in further detail below in relation to FIG. 11.
The stage 216 is any platform or chuck capable of securely holding the substrate 214 during translation, as explained below. In a preferred embodiment, the stage 216 includes a means for grasping the substrate, such as a frictional, gravitational, mechanical, or electrical system. Examples of suitable means for grasping include, mechanical clamps, electrostatic or vacuum chucks, or the like.
The apparatus 200 also comprises a translation mechanism 218 configured to translate the stage 216 and the line of radiation 222 relative to one another. In one embodiment, the translation mechanism 218 is coupled to the stage 216 to move the stage 216 relative to the continuous wave electromagnetic radiation source 202 and/or the focusing optics 220. In another embodiment, the translation mechanism 218 is coupled to the continuous wave electromagnetic radiation source 202 and/or the focusing optics 220 to move the continuous wave electromagnetic radiation source 202 and/or the focusing optics 220 relative to the stage 216. In yet another embodiment, the translation mechanism 218 moves both the continuous wave electromagnetic radiation source 202 and/or the focusing optics 220, and the stage 216. Any suitable translation mechanism may be used, such as a conveyor system, rack and pinion system, or the like.
The translation mechanism 218 is preferably coupled to a controller to control the scan speed at which the stage 216 and the line of radiation 222 move relative to one another. In addition, translation of the stage 216 and the line of radiation 222 relative to one another is preferably along a path perpendicular to the line of radiation 222 and parallel to the upper surface 224 of the substrate 214. In a preferred embodiment, the translation mechanism 218 moves at a constant speed. Preferably, this constant speed is approximately 2 cm/s for a 35 micron wide line. In another embodiment, the translation of the stage 216 and the line of radiation 222 relative to one another is not along a path perpendicular to the line of radiation 222.
FIG. 2B is a diagrammatic top view of the substrate and stage, as taken along line 2B-2B′ of FIG. 2A. In a preferred embodiment, the substrate 214 is a circular substrate with a diameter of 200 or 300 mm, and a thickness of approximately 750 microns. Also, in a preferred embodiment, the line of radiation 222 has a length that extends at least across the entire diameter or width of the substrate 214. The line of radiation also preferably has a width 228 of between 3 and 500 microns. However, in a preferred embodiment, the line of radiation 222 has a width 228 of approximately 35 microns. The width is measured at half the maximum intensity of the radiation (otherwise knows as Full Width Half Max (FWHM)). In all embodiments, the length of the line is longer than its width. In a preferred embodiment, the line of radiation 222 linearly traverses the substrate 214, such that the line is perpendicular to the direction of movement, i.e., the line remains parallel to a fixed line or chord 252 of the substrate at all times.
A preferred power density at the line of radiation is between 10 kW/cm2 and 200 kM/cm2 with a nominal range near 60 kW/cm2. It is not achievable to radiate the entire surface of a substrate at these power densities, but it is possible to scan across the substrate a line of radiation that has this intensity. For example, an experiment using a 400 microns wide line of radiation with a peak power density of 70 kW/cm2 scanned at 100 cm/s, heated the surface of a substrate to approximately 1170° C. with ramp-up and ramp-down rates exceeding 4 million ° C./s.
FIG. 3 is a diagrammatic side view of another apparatus 300 for thermally processing a substrate, according to another embodiment of the invention. This embodiment shows another arrangement of focusing optics 320. In this embodiment, the focusing optics 320 comprise a lens 210 and one or more radiation guides, such as one or more optical fiber 308 and prism 306. Other radiation guides such as a waveguide, mirror, or diffuser may also be used.
Radiation from the continuous wave electromagnetic radiation source 202 is directed at the prism 306 which redirects the radiation towards one or more optical fiber(s) 308. Radiation is transmitted through the optical fiber(s) 308 towards the lens 210, where it is focused into a line of radiation 222.
It will be appreciated that many different combinations of the aforementioned focusing optics 220 (FIG. 2A) or 320 may be used to transmit and focus the radiation from the continuous wave electromagnetic radiation source into a line of radiation. Also, a linear array of laser diodes could be used as the radiation source. Additionally, any suitable means for producing a uniform radiation distribution, such as a radiation diffuser, may be used.
FIG. 4 is a flow chart 400 of a method for thermally processing a substrate 214 (FIG. 2A). An apparatus as described above in relation in FIGS. 2 and 3 is provided at step 402. The controller 226 (FIG. 2A) then determines, at step 404, the scan speed at which the line of radiation 222 (FIG. 2A) and the substrate will move relative to one another. This determination is based on the thermal recipe for processing the substrate; the substrate properties; the power of the continuous wave electromagnetic radiation source 202 (FIG. 2A); the width of the line of radiation; the power density at the line of radiation; etc.
The continuous wave electromagnetic radiation source 202 (FIG. 2A) emits a continuous wave of radiation 204 (FIG. 2A), at step 406. This radiation 204 is preferably collimated into a collimated beam of radiation 208 (FIG. 2A), at step 408. The collimated beam of radiation 208 (FIG. 2A) is focused into a line of radiation 222 (FIG. 2A), at step 410. In accordance with the predetermined scan speed, the stage 216 (FIG. 2A) and the line of radiation 222 (FIG. 2A) are translated, at step 412, relative to one another by the translation mechanism 218 (FIG. 2A). This translation is along a path perpendicular to the line of radiation 222 and parallel to the upper surface of the substrate, such that the line of radiation traverses the entire substrate 214. In a preferred embodiment, the translation mechanism 218 scans the radiation source and focusing optics over the upper surface of the substrate at approximately 2 cm/s.
FIG. 5 is a graph 500 of the temperature versus time and depth at a fixed point on and through the substrate during thermal processing performed according to the method described above in relation to FIG. 4. A temperature axis 502 indicates a temperature of between 0 and 1400° C. at the fixed point. Axis 504 indicates a depth from the upper surface 224 (FIG. 2B) into the substrate 214 (FIG. 2B) at the fixed point. Axis 506 indicates the time in seconds at some point after the start of scanning. The fixed point is assumed to be located at 508.
As the line of radiation 222 (FIG. 2B) scans across the upper surface 224 (FIG. 2B) of the substrate 214 (FIG. 2B) it subjects a line or chord on the substrate to the heat it generates. Before the line of radiation reaches the fixed point, the temperature at the fixed point, both at the upper surface and throughout a substrate cross-section at the fixed point, is ambient temperature, as indicated by reference numeral 516. As soon as the line of radiation reaches the fixed point at 508, the temperature at the upper surface ramps up to a process temperature, such as 1200° C. (or other desired temperature necessary for the process), at approximately 1e6C/s, as shown by reference numeral 510. At the same time, the substrate acts as a heat sink resulting in a dramatic drop-off in temperature away from the surface, as indicated by reference numeral 512. For example, as shown in FIG. 5, at 0.04 cm from the point on the upper surface the temperature is approximately 200° C. Thus, the heating effect is generally localized to the upper surface only. This is extremely advantageous, as generally only the regions near the upper surface 224 (FIG. 2A) of the substrate require thermal processing.
As the line of radiation passes over and away from the fixed point, the temperature drops rapidly, as shown at reference numeral 514. Again this is because the substrate acts as a heat sink diffusing the heat at the upper surface throughout the remainder of the cooler substrate. This is not possible with prior art thermal systems, such as RTP, that simultaneously heat the entire substrate, as the entire substrate is at an elevated temperature and, therefore, cannot easily dissipate the heat to a cooler region. In fact, no comparison can be made to RTP on the time scale shown in FIG. 5, as a superimposed RTP graph would yield an almost flat plane at 1100° C. extending for about one second. One second is 400 times greater than the time period illustrated in FIG. 5.
Therefore, unlike prior art processes, the current invention heats a surface of a substrate with a predetermined power density and for a predetermined length of time (approximately 1 millisecond), such that the surface of the substrate is heated from an ambient temperature (TA) of preferably less than 500° C., to a process temperature (TP) of preferably about 700° C. At the same time, the temperature at a predetermined depth (TD) from the surface remains below the ambient temperature plus half the process temperature less the ambient temperature, i.e., TD<=TA+(TP−TA)/2. This predetermined depth is approximately ten times the depth of interest, i.e., ten times the maximum depth of device structures in Si. In a typical Si substrate, the maximum depth of the device structure is about 3 microns.
This transfer of heat to the bulk of the substrate promotes homogenous thermal exposure, as heat has enough time to diffuse from a locally strong heat absorbing region to a lower heat absorbing region. Also, pattern density effects are comparable to RTP. However, the time scale is short enough to limit the diffusion depth of the heat transfer to several microns, as opposed to the several hundred-micron thickness of the substrate, as is the case with RTP, thereby greatly reducing the total required power. The bulk of the substrate is not appreciably heated, thereby providing an ideal heat sink for the temperature ramp down.
One concern of prior art laser annealing systems regards stress related defects caused by rapidly heating relatively small areas of a substrate. Therefore, experimentation was undertaken to test whether the thermal flux processing of the present invention causes any stress related defects in the substrate. Peak stress occurs near the max temperature gradient, not the max temperature. If a line of radiation is suitably narrow and the depth of heating suitably shallow, it is possible to displace the region of maximum thermal gradient from the region of highest temperature, thereby increasing the slip window and decreasing defects. During this experimentation, a sample was scanned at 20 cm/s under a 400 micron wide line of radiation with a peak power density of 60 kW/cm2. The present invention was able to displace the peak thermal gradient from the peak temperature, thus enabling Ultra Shallow Junction (USJ) formation suitable for the 70 nm node with a 1 keV Boron implant without introducing any dislocations. Only the typical implant related defects were observed.
FIG. 6 is a diagrammatic side view of an apparatus 600 for depositing layers on a substrate, according to another embodiment of the invention. The apparatus 600 is similar to the apparatus 200 shown in FIGS. 2A and 2B, and apparatus 300 shown in FIG. 3. Components having the same reference numerals are the same as those shown in FIGS. 2A and 2B. In addition, the apparatus 600 may be used to perform deposition processes, such as CVD, ALD, or the like.
In addition to the components described above in relation to FIGS. 2A and 2B, apparatus 600 shows a reaction chamber 602 in which many of the components are housed. At least one injector 604 is used to introduce or inject one or more gases 616 into the reaction chamber 602. The gas injector 604 preferably comprises one or more gas sources 612(1)-(N) fluidly coupled by ducts 610 to one or more gas inlets 608 in a gas manifold 606. The gas injector 604 may be located at any suitable location within the reaction chamber 602. For example, gas may be injected at the side of the reaction chamber and flow across the surface of the substrate orthogonally to the direction of relative motion between the line of radiation and the surface of the substrate, or gas may be injected from above the substrate, as shown.
In the embodiment shown in FIG. 6, continuous wave electromagnetic radiation is collimated by the collimator, redirected towards the substrate by the prism 306 and focused into a line by the lens 210. It should, however, be appreciated that the focusing optics 220 may comprise any suitable focusing optics capable of focusing a line of energy onto the upper surface 224 of the substrate 214, as described above. Further, it should be appreciated that the focusing optics may be placed outside of the chamber, where radiation passes into the chamber via a transparent window. Still further, the chamber and/or gas sources may take on any suitable shape and/or configuration.
FIG. 7 is a flow chart 700 of a method for depositing one or more layers on a substrate, according to the embodiment of the invention shown in FIG. 6 A substrate 214 (FIG. 6) is positioned in the reaction chamber 602 (FIG. 6), at 702. One or more gases 616 (FIG. 6), such as ammonia (NH3) and dichlorosilane (DCS), containing the atoms or molecules required in layer 614 (FIG. 6) are then introduced at 704 into the reaction chamber 602 (FIG. 6) containing the substrate 214 (FIG. 6).
A predetermined speed for translating a line of radiation 222 (FIG. 6), as described below, is determined at 706. This predetermined speed is based on a number of factors, such as a thermal recipe for processing the substrate, the properties of the substrate, a power of the continuous wave electromagnetic radiation, a width of the line of radiation, a power density at the line of radiation, or the like. In a preferred embodiment, this predetermined speed is approximately 2 cm/s.
Continuous wave electromagnetic radiation is then emitted at 708 from a continuous wave electromagnetic radiation source 202 (FIG. 6), as described above. The continuous wave electromagnetic radiation is preferably collimated at 710 by the collimator 206 (FIG. 6).
The continuous wave electromagnetic radiation is subsequently focused at 712 at into a line of radiation 222 (FIG. 6) extending across the upper surface 224 (FIG. 6) of the substrate. In a preferred embodiment, the width 228 (FIG. 6) of the line of radiation is approximately 35 microns wide. The line of radiation is then translated at 714 relative to the surface at the constant predetermined speed, determined above. This translation is undertaken by the translation mechanism 218 (FIG. 6) under control of the controller 226 (FIG. 6).
The combination of the introduced gas(es) 616 (FIG. 6) and heat generated by the line of radiation causes at least one gas 616 to react and deposit a layer 614 (FIG. 6) on the surface of the substrate. This reaction may be a chemical reaction between gases, a decomposition of one or more gases, or the like. Undesirable byproducts of the reaction are then flushed from the reaction chamber at 716.
This process is repeated until a layer 614 (FIG. 6) having a predetermined thickness is formed on the upper surface 224 (FIG. 6) of the substrate 214 (FIG. 6). The predetermined scan speed is preferably faster than that required for thermal flux annealing, described above, as, multiple scans are required to build a film/layer. Typically, each deposited layer is between 8-10 Angstroms. Required films/layers vary from 20 Angstroms for tunnel oxide used in flash memory to 1500 angstroms for spacer applications. Therefore, the preferred scan speed is generally in the range of a few cm/sec to about 1 m/sec. The preferred line width 228 (FIG. 6) is the same as that described above.
The chemical reaction is controlled by controlling: the temperature of the substrate surface by adjusting the continuous wave electromagnetic radiation or the line of radiation; the amount and/or ratio of the gas(es) introduced into the reaction chamber; and the pressure within the reaction chamber.
The above described method can heat the substrate surface to any reasonable temperature for a millisecond or less. In addition, as the gas right near the surface is heated by the line of radiation, the reaction of the gases only occurs at or near the surface. The heating is very brief as the line keeps moving so only the gas right near the surface gets to react. Because gas away from the surface never gets hot, undesirable gas phase reactions are prevented. This allows, multiple gases to be injected simultaneously without leading to undesirable gas phase reactions away from the substrate surface.
In a preferred embodiment, the above described method is performed at a pressure of between a few Torr to pressures above atmospheric pressure, with atmospheric pressure being preferred. FIG. 8 depicts the results of a simulation showing that sufficient decomposition of reactants can occur at such pressures on this short time scale. Also in a preferred embodiment, the temperature of the line of radiation depends on the film/layer being deposited, but is generally in the range of 600 to 900° C.
FIG. 8 is a graph 800 of the results of a Monte Carlo simulation for Silane decomposition at 850° C. and 740 Torr, according o the embodiment of the invention shown in FIG. 6. This simulation at lower pressures duplicates a deterministic model published by Meyerson, Scott ad Tsui, Chemtronics 1 (1986) 150, which is hereby incorporated by reference.
This graph 800 shows that a Silane, such as dichlorosilae (DCS), which is a typical CVD gas, decomposes into molecules required for deposition onto the substrate surface. Decomposition occurs at 740 Torr, which is approximately atmospheric pressure, and at a temperature of 850° C. The overall time in which decomposition occurs at this temperature and pressure is approximately 6×10−4 seconds. This temperature and scan speed can only be provided by the present invention, as prior art methods cannot achieve such a high temperature in such a short amount of time, while providing enough time for reactions to occur.
The above described apparatus and method for depositing a layer on a substrate has a number of advantages. For example, the thermal budget of the process is low due to the brief time spent at elevated temperature.
In addition, as the line of radiation only applies heat to the surface of the substrate, the reaction of the gases only occurs at the surface. This leads to a reduction in gas phase transport limitations. This also leads to a reduction in gas phase reactions away from the surface, thereby avoiding undesirable particle formation on the substrate surface. In addition, this method can be performed at atmospheric pressure, resulting in faster decomposition of reactants, such as Silane, thereby enabling high deposition rates.
FIG. 9A is a side view of yet another apparatus 900 for thermally processing a substrate, according to yet another embodiment of the invention. The apparatus 900 is similar to the apparatus 200 shown in FIGS. 2A and 2B, the apparatus 300 shown in FIG. 3, and the apparatus 600 shown in FIG. 6. Like-named components are similar, except for and differences described below.
The apparatus 900 comprises a continuous wave electromagnetic radiation module 902, a stage 904 configured to receive a substrate 906 thereon, and a translation mechanism (not shown) for moving the stage 904 and continuous wave electromagnetic radiation module 902 relative to one another. The continuous wave electromagnetic radiation module 902 preferably includes at least one continuous wave electromagnetic radiation source 908(A+B) and optics 910(A+B) disposed between the continuous wave electromagnetic radiation source 908(A+B) and the substrate 906. As described above, the substrate 906 is any suitable substrate, such as a single crystal silicon substrate; silicon on insulator (SOI); Silicon Germanium or alloys thereof; glass or quartz substrate with a silicon layer thereon, as used for manufacturing thin film transistors (TFT); or the like.
The continuous wave electromagnetic radiation source 908(A+B) is similar to the continuous wave electromagnetic radiation source 202 described above in relation to FIG. 2A. In a preferred embodiment, the continuous wave electromagnetic radiation source 908(A+B) provides up to 9 kW of radiation focused by the optics 910(A+B) into a line of radiation on the surface of the substrate that is 30 microns wide and at least 300 mm long. Also in a preferred embodiment, the continuous wave electromagnetic radiation source 908(A+B) includes 15 laser diode modules 908(A) on one side of the apparatus 900 and 16 laser diode modules 908(B) on the other side of the apparatus 900. The laser diode modules 908(A) are staggered in relation to the laser diode modules 908(B), as illustrated in FIG. 9B, i.e., radiation emitted from the laser diode modules 908(A) interdigitate radiation emitted from the laser diode modules 908(B). Also in a preferred embodiment, each set of opposing laser diode modules is electrically coupled to one or more power sources 916. Alternatively, each single laser diode module, or combinations of laser diode modules, may be powered by one or more power sources. The power source/s 916 are electrically coupled to a computer system 914.
In a preferred embodiment, a cooling fluid, such as water, is circulated within the continuous wave electromagnetic radiation source 908(A+B) to keep it cool, as is well understood in the art.
The optics 910(A+B) include focusing optics 910(A) similar to the focusing optics described above, and an interleave combiner 910(B). The interleave combiner 910(B) is described below in relation to FIG. 10, while the focusing optics 910(A) are described below in relation to FIG. 11.
The apparatus 900 also preferably includes a detection module 912(A+B+C) coupled to the computer system 914, as described below in relation to FIG. 11.
The computer system 914 includes instructions and/or procedures for performing the method described below in relation to FIG. 13.
FIG. 9C is a rear view of yet another apparatus 950 for thermally processing a substrate 962, according to yet another embodiment of the invention. In this embodiment, the line of continuous wave electromagnetic radiation does not extend across the entire width of the substrate 962, but rather only partially extends across the diameter or width of the substrate. In other words, the line of continuous wave electromagnetic radiation has a length 960 less than the diameter or width 968 of the substrate.
In use, the line of continuous wave electromagnetic radiation preferably makes more than one scan across the substrate surface. Each successive scan preferably overlaps a previously scanned area, such that thermal exposure uniformity along the length of the line is improved. A line shifting mechanism 966 is used to shift the line of continuous wave electromagnetic radiation and the substrate relative to one another along the length of the line, i.e., substantially collinear with the length of the line and substantially perpendicular to the scan direction. This overlap averages the thermal exposure of all points on the substrate in a similar manner to rotary averaging using in RTP.
The line shifting mechanism 966 preferably translates the continuous wave electromagnetic radiation module (radiation source 954 and the lenses 956) to translate the line of continuous electromagnetic radiation relative to the substrate. Alternatively, the stage 964 may be translated relative to the line, or both the line and the stage may be translated relative to each other.
In addition, such an embodiment requires fewer laser diode modules 966, as the length 960 of the line of continuous wave electromagnetic radiation need only span partially across the diameter or width of the substrate 962. For example, two laser diode modules may be interleaved between three opposing laser diode modules 966.
FIG. 10 is a diagrammatic side view of the interleave combiner 910(B) shown in FIGS. 9A and 9B. The interleave combiner 910(B) forms part of the optics 910(A+B) and is used to improve the fill ratio of the emitted continuous wave electromagnetic radiation, as explained below. In a preferred embodiment, the interleave combiner 910(B) is an interleaving prism assembly.
In addition, a preferred embodiment of the apparatus 900 (FIGS. 9A and 9B) includes micro lenses (not shown) to collimate the fast axis output of each laser diode module 908(A or 908(B). In this preferred embodiment, the pitch 1002 of each laser diode module is 2.2 mm, while the aperture 1004 of the fast axis collimating micro lens is 0.9 mm. A fill ratio is the area exposed to continuous wave electromagnetic radiation divided by the total area of the continuous wave electromagnetic radiation module. Therefore, for example, if the lens system provides a beam footprint 1 cm long by 900 microns wide and the pitch of each laser diode module is 2.2 mm then the fill ratio is 900 microns/2.2 mm or 41%, i.e., only 41% of the emitting area of the continuous wave electromagnetic radiation module is actually emitting continuous wave electromagnetic radiation, while 59% of the space or area on the face of the laser module dark. The dark areas are 1 cm by 1.3 m (2.2-0.9) wide. This leads to substantially empty areas where no continuous wave electromagnetic radiation is present.
In order to improve optical performance, the fill ratio is preferably increased by the interleave combiner 910(B), thereby requiring a smaller subsequent series of lenses 910(A+B) (FIGS. 9A and 9B). In a preferred embodiment, the interleave combiner 910(B) doubles the fill ratio. For example, continuous wave electromagnetic radiation output from the 4th and 5th laser diode modules is interleaved in between continuous wave electromagnetic radiation emitted from the 2nd and 3rd laser diode modules, as shown in FIG. 10. Accordingly, the power output i that of five laser diode bars compressed into the space of three laser diode bars. This makes subsequent beam expansion and focusing easier so that suitably high power densities can be achieved.
In a preferred embodiment the interleave combiner 910(B) uses multilayer dielectric mirrors on a suitable optical glass such as BK7 or fused silica for enhanced reflection at continuous wave electromagnetic radiation wavelength.
FIG. 11 is a more detailed sectional side view of the focusing optics 910(A) and the detection module 912(A+B+C). The purpose of the focusing optics 910(A) is to focus continuous wave electromagnetic radiation emitted from the continuous wave electromagnetic radiation source 908(A+B) (FIGS. 9A and 9B) into a line of continuous wave radiation on the surface of the substrate 906. In a preferred embodiment, the focusing optics 910(A) include a series of seven lenses, labeled as A-G. All of the lenses A-G are preferably cylindrical lenses having spherical or plano figure. Such cylindrical lenses having spherical figure are selected for their relative ease, and low cost, of manufacture as compared to cylindrical lenses having aspherical figure. However, in an alternative embodiment fewer aspherical lenses or cylindrical lenses having aspherical figure can be substituted for the seven cylindrical lenses having spherical or plano figure shown. In addition, besides focusing the line of continuous wave electromagnetic radiation, the totality of the cylindrical lenses significantly reduces any optical aberrations.
Also in a preferred embodiment, lens A is an expander lens that has a substantially optically flat entry side and a cylindrical exit side. The expander lens is used to expand the continuous wave electromagnetic radiation condensed by the interleave combiner 910(B) (FIGS. 9A and 9B) for subsequent focussing by the remainder of the focusing lenses B-G. For example, in a preferred embodiment, the beam of continuous wave electromagnetic radiation is expanded to 20 mm wide and the fast axis divergence is reduced to less than 0.1°. The reduced divergence makes it possible to achieve a narrower line width. In addition, the wider beam makes it possible to achieve an acceptable working distance for a 0.4 numerical aperture. Once focussed by the remainder of the lenses B-G the resulting beam is approximately 30 microns wide at the surface of the substrate 906.
The final lens G preferably has opposing substantially optically flat entry and exit sides, and acts merely as a quartz window to isolate the wafer environment from the lens environment. It also shifts the focus somewhat away from the radiation source.
In a preferred embodiment, the distance from the window to the substrate is approximately 8 mm. Also in a preferred embodiment, the lenses A-G have the following prescription data:
SUR- THICK- APERTURE MA-
FACE RADIUS NESS RADIUS TERIAL
Source 0.000000 1.0000e+20 4.363e+17 AIR
Aentry 0.000000 3.000000 4.000000 X BK7
Aexit 7.000000 28.000000 3.000000 X AIR
Bentry 0.000000 5.000000 12.500000 X BK7
Bexit −23.000000 0.000000 12.500000 X AIR
Centry 74.100000 5.000000 12.500000 AX BK7
Cexit 0.000000 0.000000 12.500000 X AIR
Dentry 41.000000 5.000000 12.500000 X BK7
Dexit 119.000000 0.000000 12.5000000 X AIR
Eentry 26.500000 5.000000 10.000000 X BK7
Eexit 44.500000 0.000000 10.000000 X AIR
Fentry 12.000000 5.000000 8.000000 X BK7
Fexit 22.800000 3.000000 8.000000 X AIR
Gentry 0.000000 4.000000 10.000000 X QUARTZ
Gexit 0.000000 0.000000 3.284151 SX AIR
Substrate 0.000000 8.420000 0.114272 S

where radiuses and thicknesses are in millimeters. “SURFACE” refers to the surface of the lens, where “entry” refers to the entry surface of the lens and “exit” refers to the exit surface of the lens. Material refers to the material the lens is made from, “X”, “AX” and “SX” data refer to the shape of the aperture, rectangular or elliptical, where “X” means special aperture data, “S” means the aperture radium number in the previous column is calculated rather than specified, “A” means an aperture stop, basically a window that rays must be able to pass through. For example, the entry surface “AENTRY” of lens A (FIG. 11) has a radius of 0 millimeters, i.e., i flat, a thickness of 3 millimeters, an aperture radius of 4 millimeters, has a rectangular shape, and is made from BK7 glass. The above chart was created using Sinclair Optic's OSLO® ray tracing software.
The lenses A-G are preferably held in place within the focusing optics 910(A) by a frame 1102. In a preferred embodiment, the frame 1102 is made from machined stainless steel. The frame 1102 also preferably includes some tolerances to ensure a robust system should be lenses not align in use, where any misalignment merely shifts the line of focus towards or away from the substrate surface (or it moves laterally). This shift in focus is then adjusted by an automated focusing system, as described below in relation to FIGS. 14A-D. In addition, during a preferred use, purge gas is pumped into the frame and through a gas injector 1104 into spaces 1108 between the lenses to keep the lenses cool. This purge gas is preferably Nitrogen, at room temperature (to avoiding condensation forming on the lenses).
The detection module 912(A+B+C) preferably includes at least one reflected power detector 912(A), at least one emitted power detector 912(B), and/or at least one beam splitter 912(C). The emitted power detector 912(B) is configured to detect a portion of the emitted continuous wave electromagnetic radiation emitted from the continuous wave electromagnetic radiation source 908(A+B) (FIGS. 9A and 9B), while the reflected power detector 912(A) is configured to detect a portion of reflected continuous wave electromagnetic radiation reflected from the surface of the substrate 906. The emitted power detector 912(B) monitors the output of the continuous wave electromagnetic radiation source, while the reflected power detector 912(A) is used to detect reflectivity, emissitivity, energy absorbed by the substrate, and/or the temperature of the substrate. Suitable emitted power detectors 912(B) and reflected power detectors 912(A) are made by Hamamatsu.
The beam splitter 912(C) is configured to sample a portion of the emitted continuous wave electromagnetic radiation by reflecting a portion of the emitted continuous wave electromagnetic radiation incident on a first substantially planar surface thereof towards the emitted power detector 912(B). In a preferred embodiment, a second planar surface of the beam splitter 912(C), opposite the first planar surface, is used to reflect continuous wave electromagnetic radiation reflected from the surface of the substrate towards the reflected power detector 912(A). The beam splitter is preferably disposed between the continuous wave electromagnetic radiation source 908(A+B) and the stage 904 (FIGS. 9A and 9B). The beam splitter 912(C) is also preferably coated with an anti-reflective coating, such as MgF. In use, the beam splitter 912(C) reflects or samples less than 1% of the continuous wave electromagnetic radiation emitted by the continuous wave electromagnetic radiation source 908(A+B).
In use, the ratio of the detected emitted power to the detected reflected power provides a measurement of the absorption at the substrate. Absorption is the process by which radiant energy is absorbed, converted into other forms of energy, such as heat, and then reradiated at a longer wavelength, according to Planck's Law for thermal radiation.
In a preferred embodiment, the emitted power detector 912(B) and the reflected power detector 912(A) detect continuous wave electromagnetic radiation at 810 nm. Also in a preferred embodiment, at least one detector 912(A) is configured as a temperature detector to detect the temperature on the substrate at the line of continuous wave electromagnetic radiation. To detect temperature, the temperature detector detects continuous wave electromagnetic radiation at a wavelength other than 810 nm, such as 1500 nm. This is achieved by positioning a filter 1106 between the reflected continuous wave electromagnetic radiation and the detector 912(A). The filter 1106 is configured to allow only continuous wave electromagnetic radiation having a wavelength other than 810 nm to reach the detector 912(A) making it act as an optical pyrometer and to assure that the detected signal is an emission signal and not a reflection from the lightsource. In other words, only radiation that is reflected has a wavelength of other than 810 nm. In a preferred embodiment, the filter is configured to allow optical pyrometer operation between 900 nm and 2000 nm, with 1500 nm being a preferred wavelength. This temperature measurement is, however, susceptible to emissivity variation.
The reflected power detector 912(A) and emitted power detector 912(B) also preferably include pinhole apertures to maximize the signal detected while minimizing the collection of any stray radiation that may be scattered within the optics due to the non-zero reflectivity of the lenses in the apparatus.
In a preferred embodiment, which includes 15 and 16 opposing laser diode modules, 15 pairs of reflected power detectors 912(A) and emitted power detectors 912(B) are preferably provided. Every other reflected power detector 912(A) is preferably configured as a temperature detector, as described above.
An alternative embodiment also includes reflectors 1110 positioned between the focusing optics 910(A) and the substrate 906. The reflectors 1110 are configured to reflect radiation reflected from the surface of the substrate back to the line of continuous wave electromagnetic radiation. In a preferred embodiment the reflectors 1110 are cylindrical mirrors with center of curvature at the focus of the lens.
FIG. 12 is an isometric view of a prototype of the apparatus 900 shown in FIGS. 9A and 9B. As can be seen, a substrate, such as a semiconductor wafer, is positioned on a stage 904 within a chamber 1202. A continuous wave electromagnetic radiation module 902 is coupled to the chamber 1202. In addition, a translation mechanism, such as the translation mechanism 218 (FIG. 2), moves the stage 904 relative to the continuous wave electromagnetic radiation module 902, as depicted by the arrows 1206. Some of the electronics, such as the computer system 914(FIGS. 9A and 9B), are contained within a housing 1210. The apparatus 900 is preferably coupled to factor interface 1208 for transferring substrates 906 into or out of the apparatus 900.
FIG. 13 is a flow chart of a method 1320 for controlling a thermal process. Once the method 1320 has begun, as step 1322, the substrate is oriented on the stage, at step 1323, such that the subsequent direction of the scan will optimize the thermal process. This is undertaken, as different orientations of the substrate have different mechanical properties and the yield strength may be higher in one direction than another. In general, a notch is provided on the substrate to indicate crystallization direction. The surface of the substrate 904 (FIGS 9A and 9B) may optionally be coated with a thermal enhancement layer at step 1324. The thermal enhancement layer is made from a material having high absorption properties, such as doped poly silicon or silicon nitride, on a buffer layer of oxide, and/or form a material having anti-reflective properties. The thermal enhancement layer helps create an insensitivity to substrate surface conditions. For example, if the surface of the substrate is highly reflective or non-uniform, the thermal enhancement layer helps maintain the substantially homogenous thermal exposure of the substrate.
The substrate is then irradiated with a line of continuous wave electromagnetic radiation emitted from the continuous wave radiation module 902 (FIGS. 9A and 9B), at step 1326, thereby heating the surface of a substrate with a predetermined power density for a predetermined length of time. The predetermined power density is preferably greater than 30 kW/cm2 (preferably 100 kW/cm2), and the predetermined time is preferably between 100 micro-seconds and 100 miliseconds (preferably about 1 millisecond). This heats the surface of the substrate from an ambient temperature of less than about 500° C. to a process temperature of higher than about 700° C. The temperature at a predetermined depth from the surface, such as at 10 times the maximum depth of device structures in Si, remains below the ambient temperature plus half the process temperature less the ambient temperature.
As described above, the line of continuous wave electromagnetic radiation may extend across the entire surface of the substrate or partially across the substrate.
In the embodiment having reflectors 1110 (FIG. 11), any reflected or scattered light directed at the reflectors is reflected back towards the line of radiation at step 1328.
The emitted power is then measured by the emitted power detector/s 912(B) and transmitted to the computer system 914 (FIGS. 9A and 9B) at step 1330. The reflected power is then measured by the reflected power detector 912(A) and transmitted to the computer system 914 (FIGS. 9A and 9B) at step 1332. The computer system 914 (FIGS. 9A and 9B) then compares the reflected power to the emitted power, at step 1334, and controls the power supplied to the continuous wave electromagnetic radiation source accordingly, at step 1336. For example, the continuous wave electromagnetic radiation source may heat different substrates differently with the same emitted power. The computer system controls the power source 916 (FIGS. 9A and 9B) power, which in turn may control individual laser-diode modules, sets of laser-diode modules, or all laser-diode modules simultaneously. In this way, individual laser-diode modules, or combinations of laser-diode modules (or zones) may be controlled in real time.
In an alternative embodiment, based on the measured emitted power and reflected power, the adjustment mechanism (described below in relation to FIGS. 14A-D) can adjust the height of the stage in real time at step 1335. Adjusting the height of the stage can either bring the surface of the substrate into or out of focus, thereby controlling the power density of the line of continuous wave electromagnetic radiation on the surface of the substrate independently from the total power.
The measured reflected power and emitted power may then be used to calculate reflectivity of the substrate, emissivity of the substrate, energy absorbed by the substrate, and/or the temperature of the substrate at step 1338. The reflectivity is proportional to the reflected power divided by the emitted power. A thermal emission signal from the wafer is measured through the optics and optionally through the interleave combiner at a wavelength longer than that of the continuous wave electromagnetic radiation source.
Similarly, the temperature is proportional to the adsorbed power which equals the radiated power less the reflected power. The calculated true temperature is derived from the difference in reflected and emitted power subject to the calibration of the detectors. The exact method is similar to the existing emissivity compensation schemes used for RTP, as is well understood in the art. These calculations are described in U.S. Pat. Nos. 6,406,179, 6,226,453; 6,183,130; 6,179,466; 6,179,465; 6,151,446; 6,086,245; 6,056,433; 6,007,241; 5,938,335; 5,848,842; 5,755,511; 5,660,472; all of which are incorporated herein by reference.
If provided, the thermal enhancement layer is then typically removed, at step 1340.
Furthermore, in an alternative embodiment, the thermal exposure uniformity can be improved by over-scanning. Over-scanning utilizes a line of radiation that is longer than the width of the substrate. After each scan, the line of radiation is shifted slightly along its length, at step 1341, such that the overall thermal uniformity is improved if slow axis uniformity degrades over time. The shifting of the line effectively averages out the thermal exposure of the substrate.
FIG. 14A is a partial sectional side view of an automated focusing mechanism 1400, while FIG. 14B is a top view of a tooling substrate and stage 1414 shown in FIG. 14A, as taken along line 14B-14B′. The automated focusing mechanism 1400 is used to focus the line of continuous wave electromagnetic radiation on the upper surface of a substrate from the continuous wave electromagnetic radiation module 902.
The focusing mechanism 1400 preferably includes multiple photo-diode sensors 1408 embedded into a stage 1414. Each of the photo-diode sensors 1408 is electrically coupled to a controller 1404. In a preferred embodiment, five photo-diode sensors 1408 are provided, however, in general there should be at least three photo-diode sensors 1408, to account for variations in pitch (about the X axis), roll (about the Y axis), and height (along the Z axis), as explained below. The photo-diode sensors 1408 are used during the setup of the system to verify that the upper surface of the tooling substrate is in the plane of focus of the continuous wave electromagnetic radiation source.
In a preferred embodiment, a central photo-diode sensor is used to set up height, and photo-diode sensors to the left and right of the central photo-diode sensor are used for substantially eliminating any tilt or roll (rotation about the Y-axis) of the stage. Leading and trailing photo-diode sensors are used to eliminate any tip or pitch (rotation about the X-axis) of the stage. Adjustments are based upon maximizing the signal of the photo-diode sensors.
Such verifications require a tooling substrate 1412 that is loaded onto the stage 1414 by a substrate loading robot. The tooling substrate 1412 has pinhole apertures 1410 directly above each photo-diode sensor 1410. The pinhole apertures have a smaller diameter than the width of the line, even at best focus.
The controller 1404 is also coupled to an adjustment mechanism 1402. The adjustment mechanism 1402 is configured to raise of lower the stage 1414 (along the Z axis), adjust the pitch (about the X axis), or adjust the roll (about the Y axis), as required by the controller to focus the line of continuous wave electromagnetic radiation on the surface of the tooling substrate.
In a preferred embodiments, the adjustment mechanism 1402 includes at least three rack an pinion driver 1406, each rotatably coupled to the stage at one end of the rack and pinion drive's screw. In use, if all three rack and pinion drives 1406 are raised or lowered together, the stage 904 is raised or lowered. However, if individual rack and pinion drives 1406 are lowered or raised the pitch and roll of the stage can be adjusted. It should, however, be appreciated that any suitable adjustment mechanism 1402 may be used.
The controller 1404 is also coupled to the translation mechanism 218 for moving the continuous wave electromagnetic radiation source 908(A+B) and the stage 904 relative to one another.
FIG. 14C is a flow chart 1420 of a method for automatically focusing a line of continuous wave electromagnetic radiation on an upper surface of a substrate. Once this method is started at step 1422, a tooling substrate 1412 (FIG. 14A) is positioned on the stage, at step 1424. The continuous wave electromagnetic radiation source 908(A+B) then radiates a first photo-diode sensor 1408 (FIG. 14A), at step 1426, such as the central photo-diode positioned below the center of the tooling substrate. The first photo-diode sensor provides the measurement used for absolute height adjustment. The first photo-diode sensor measures the intensity of the continuous wave electromagnetic radiation, at step 1428, and transmits this intensity to the controller 1404 (FIG. 14A). The controller then instructs the adjustment mechanism 1402 (FIG. 14A) to adjust the height of the stage, at step 1430. The height is adjusted by the adjustment mechanism raising or lowering the stage 904 (FIG. 14A) along the Z axis until the line of light is in focus at the aperture in front of the first photo-diode sensor.
The controller then instructs the translation mechanism to translate the continuous wave electromagnetic radiation module and the stage relative to one another, at step 1431, such that the next photo-diode is aligned with the line of radiation. The next photo-diode sensor 1408 (FIG. 14A) is then irradiated at step 1432. The intensity of the continuous wave electromagnetic radiation measured at this photo-diode sensor is measured, at step 1434 and transmitted to the controller 1404 (FIG. 14A). The controller then instructs the adjustment mechanism 1402 to adjust the pitch and/or roll of the stage by tilting the stage about the X and Y axes, as necessary, to ensure that the line of light is in focus at this photo-diode sensor, at step 1436. The controller then determines, at step 1438, whether the setup has been completed, i.e., whether measurements have been taken from all the photo-diode sensors. If the method is not completed (1438-No), then the radiation module and stage are translated relative to one another until the next photo-diode is aligned with the line of radiation and the next photo-diode irradiated at step 1432, and the method repeated until such time as the line of light is in focus at all points along the surface of the substrate. If the method has completed (1438-Yes), then the process is completed at step 1440.
This processes may be either iterative. Alternatively, complete scans in the Z direction can be made for all detectors prior to adjustment. In this way, the plane of the tooling wafer will become known to the system relative to the plane of focus. At that time, the three servos make the appropriate adjustments to make the two planes coincident.
In a preferred embodiment, after the height has been adjusted, tilt or roll is eliminated using the left and right photo-diode sensors, which will come into and out of focus at different heights if the stage is tilted or rolled. Once tilt or roll is eliminated, the substrate is moved to a leading edge photo-diode sensor and another through focus data-set is collected. Pitch or tip is zeroed out when the central photo-diode sensor and the leading edge photo-diode sensors have the same through focus data at the same heights. The trailing edge photo-diode sensors are used for verifying that the stage is indeed level.
FIG. 14D is a graph 1450 of the measured energy density (Normalized Signal) 1454 versus the height of the stage, with zero being at best focus, at an aperture 1410 (FIG. 14A). Through focus is shown as 1452. As can be seen, when the line of light is focused at the aperture, at 1456, the energy density is the highest. Also shown is the spot size, i.e., the area over which the energy is spread. The spot is an illustration of where the image of the laser diodes is in the plane of focus. In order to simplify analysis, rotationally symmetric lenses are assumed, i.e., why a spot and not a line is used for analysis. In actual use, however, the spot is preferably a long line with a width that spreads.
Accordingly, the focusing mechanism 1400 (FIG. 14A) assures a good focus for all substrates. It also allows thermal recipes to vary the line width without having to resort to movable optics, i.e., the power density at the surface of the substrate can be adjusted independently by adjusting the height of the stage without adjusting the total power output by the continuous wave electromagnetic radiation source.
Furthermore, any of the above described systems, apparatuses, or methods may be used with an implanter or Plasma Doping (PLAD). Also, the above described methods may be used for back end thermal processes that require using a high power continuous wave electromagnetic radiation laser source operating in or near the UV. One such back end thermal process is copper reflow, where wavelengths produced by such a laser source are strongly absorbed by most materials, including Copper.
In addition, the above described apparatuses and methods may be used for isotropic etching and/or ashing, such as etching photoresist off a substrate surface. Such isotropic etching and/or ashing does not require the use of a plasma, and, therefore, does not have any of the associated problems of plasma damage, such as those caused by hot electrons.
What is more, the above described apparatuses and methods may be used for all flat panel anneals. Current laser recrystallization processes raster a laser spot across the surface of the flat panel. Recrystalization generally proceeds radially, thereby making the speed and overscanning critical process control variables. Using the present invention, however, recrystalization proceeds from a broad, continuous front, resulting in the formation of larger grains due to the reduced degree of freedom for recrystalization. However, in the present invention recrystalization can only occur in front of and behind the line of radiation, making the scan speed an important variable.
Still further, the above described apparatuses and methods may be used to activating beyond an a-c/Si interface to improve p-n junction leakage, where a-c is an amorphous-crystaline interface. A problem with present annealing methods is that not all defects at an original a-c interface are annealed out. These defects are the End-of-Range (EOR) defects for an amorphizing implant. If these defects remain in the junction where voltage must be sustained (depletion region), then the regular array assumption for Silicon is less than perfect and leakage will occur. In the present invention, however, the thermal exposure can be made long enough to move the junction deeper—past the EOR defects. Pulsed lasers are not well suited to do this, as due to the short pulse lengths well below a microsecond, no diffusion can occur.
The foregoing descriptions of specific embodiments of the present invention are presented for purposes of illustration and description. They are not intended to be exhaustive or to limit the invention to the precise forms disclosed. Obviously many modifications and variations are possible in view of the above teachings. For example, although one beam splitter is described herein for reflecting continuous wave electromagnetic radiation towards both the reflected power detector 912(A) and the emitted power detectors 912(B), more than one beam splitter may be used. The embodiments were chosen and described in order to best explain the principles of the invention and its practical applications, to thereby enable others skilled in the art to best utilize the invention and various embodiments with various modifications as are suited to the particular use contemplated. Furthermore, the order of steps in the method are not necessarily intended to occur in the sequence laid out. It is intended that the scope of the invention be defined by the following claims and their equivalents. In addition, any references cited above are incorporated herein by reference.

Claims (45)

1. A thermal processing device, comprising:
a stage configured to receive a substrate thereon;
a continuous wave electromagnetic radiation source disposed adjacent said stage, where said continuous wave electromagnetic radiation source is configured to emit continuous wave electromagnetic radiation along a path towards said substrate;
a series of lenses disposed between said continuous wave electromagnetic radiation source and said stage, where said series of lenses are configured to condense said continuous wave electromagnetic radiation into a line of continuous wave electromagnetic radiation on a surface of said substrate, wherein said line of continuous wave electromagnetic radiation has a power density of at least 30 kM/cm2; and
a translation mechanism configured to translate said stage and said line of continuous wave electromagnetic radiation relative to one another.
2. A thermal processing device, comprising:
a stage configured to receive a substrate thereon;
a continuous wave electromagnetic radiation source disposed adjacent said stage, where said continuous wave electromagnetic radiation source is configured to emit continuous wave electromagnetic radiation along a path towards said substrate;
a detection module positioned within said path, where said detection module is configured to detect continuous wave electromagnetic radiation;
a series of lenses disposed between said continuous wave electromagnetic radiation source and said stage, where said series of lenses are configured to condense said continuous wave electromagnetic radiation into a line of continuous wave electromagnetic radiation on a surface of said substrate; and
a translation mechanism configured to translate said stage and said line of continuous wave electromagnetic radiation relative to one another.
3. The thermal processing device of claim 2, further comprising a computer system coupled to said detection module.
4. The thermal processing device of claim 2, wherein said detection module is positioned between said series of lenses.
5. A thermal processing device, comprising:
a stage configured to receive a substrate thereon;
a continuous wave electromagnetic radiation source disposed adjacent said stage, where said continuous wave electromagnetic radiation source is configured to emit continuous wave electromagnetic radiation along a path towards said substrate;
a series of lenses disposed between said continuous wave electromagnetic radiation source and said stage, where said series of lenses are configured to condense said continuous wave electromagnetic radiation into a line of continuous wave electromagnetic radiation on a surface of said substrate;
an expander lens disposed between said continuous wave electromagnetic radiation source and said series of lenses; and
a translation mechanism configured to translate said stage and said line of continuous wave electromagnetic radiation relative to one another.
6. The thermal processing device of claim 5, further comprising a detection module positioned between said expander lens and said series of lenses.
7. The thermal processing device of claim 1, wherein said line of continuous wave electromagnetic radiation is no wider than 500 microns.
8. The thermal processing device of claim 2, wherein said detection module comprises at least one emitted power detector configured to detect emitted continuous wave electromagnetic radiation emitted from said continuous wave electromagnetic radiation source.
9. The thermal processing device of claim 2, wherein said detection module comprises at least one reflected power detector configured to detect reflected continuous wave electromagnetic radiation reflected from said surface.
10. The thermal processing device of claim 2, wherein said detection module comprises:
at least one emitted power detector configured to detect emitted continuous wave electromagnetic radiation emitted from said continuous wave electromagnetic radiation source; and
at least one reflected power detector configured to detect reflected continuous wave electromagnetic radiation reflected from said surface.
11. The thermal processing device of claim 10, further comprising at least one beam splitter for sampling a portion of said emitted continuous wave electromagnetic radiation.
12. The thermal processing device of claim 11, wherein said beam splitter is disposed between said continuous wave electromagnetic radiation module and said stage.
13. The thermal processing device of claim 10, further comprising at least one beam splitter for sampling a portion of said reflected continuous wave electromagnetic radiation.
14. The thermal processing device of claim 10, further comprising at least one beam splitter for sampling a portion of both said emitted continuous wave electromagnetic radiation and said reflected continuous wave electromagnetic radiation.
15. The thermal processing device of claim 10, wherein said emitted power detector and said reflected power detector detect continuous wave electromagnetic radiation at 810 nm.
16. The thermal processing device of claim 9, wherein said detection module further comprises at least one temperature detector configured to detect the temperature of said surface at said line of continuous wave electromagnetic radiation.
17. The thermal processing of device of claim 16, wherein said temperature detector detects continuous wave electromagnetic radiation at a wavelength other than 810 nm.
18. The thermal processing device of claim 17, further comprising a filter disposed between said temperature detector and said line of continuous wave electromagnetic radiation, where said filter is configured to allow only continuous wave electromagnetic radiation having a wavelength other than 810 nm to reach said temperature detector.
19. The thermal processing device of claim 18, wherein said filter is configured to allow optical pyrometer operation between 900 nm and 2000 nm.
20. The thermal processing device of claim 18, wherein said filter is configured to allow optical pyrometer operation at 1500 nm.
21. The thermal processing device of claim 10, wherein said computer system comprises:
procedures for determining emitted power that is emitted to said emitted power detector;
procedures for determining reflected power that is reflected to said reflected power detector; and
procedures for controlling power supplied to said continuous wave electromagnetic radiation source based on said emitted power and said reflected power.
22. The thermal processing device of claim 21, wherein said computer system comprises reflectivity procedures for determining reflectivity, where said reflectivity is proportional to the reflected power divided by the emitted power.
23. The thermal processing device of claim 21, wherein said computer system comprises temperature procedures for determining a temperature of the surface at said line of continuous wave radiation, where said temperature is proportional to an adsorbed power which equals the emitted power less the reflected power.
24. A thermal processing device, comprising:
a stage configured to receive a substrate thereon:
a continuous wave electromagnetic radiation source disposed adjacent said stage, where said continuous wave electromagnetic radiation source is configured to emit continuous wave electromagnetic radiation along a path towards said substrate;
a series of lenses disposed between said continuous wave electromagnetic radiation source and said stage, where said series of lenses are configured to condense said continuous wave electromagnetic radiation into a line of continuous wave electromagnetic radiation on a surface of said substrate, wherein said series of lenses further comprise at least one expander lens disposed between said continuous wave electromagnetic radiation source and said stage, where said at least one expander lens is configured to expand a beam of continuous wave electromagnetic radiation emitted from said continuous wave electromagnetic radiation source into an expanded beam of continuous wave electromagnetic radiation; and
a translation mechanism configured to translate said stage and said line of continuous wave electromagnetic radiation relative to one another.
25. The thermal processing device of claim 1, wherein said series of lenses further comprise multiple cylindrical lenses arranged in series between said continuous wave electromagnetic radiation source and said stage, where said multiple cylindrical lenses are configured to focus said expanded beam of continuous wave electromagnetic radiation into a line of continuous wave electromagnetic radiation on the surface of the substrate.
26. A thermal processing device, comprising:
a stage configured to receive a substrate thereon;
a continuous wave electromagnetic radiation source disposed adjacent said stage, where said continues wave electromagnetic radiation source is configured to emit continuous wave electromagnetic radiation along a path towards said substrate, wherein said continuous wave electromagnetic radiation source comprises multiple sets of opposing laser diode modules;
a series of lenses disposed between said continuous wave electromagnetic radiation source and said stage, where said series of lenses are configured to condense said continuous wave electromagnetic radiation into a line of continuous wave electromagnetic radiation on a surface of said substrate; and
a translation mechanism configured to translate said stage and said line of continuous wave electromagnetic radiation relative to one another.
27. The thermal processing device of claim 26, wherein each of said multiple sets of opposing laser diode modules are controlled separately.
28. The thermal processing device of claim 26, further comprising a separate detection module for each set of laser diodes.
29. A thermal processing device, comprising:
a stage configured to receive a substrate thereon;
a continuous wave electromagnetic radiation source disposed adjacent said stage, where said continuous wave electromagnetic radiation source is configured to emit continuous wave electromagnetic radiation along a path towards said substrate;
a series of lenses disposed between said continuous wave electromagnetic radiation source and said stage, where said series of lenses are configured to condense said continuous wave electromagnetic radiation into a line of continuous wave electromagnetic radiation on a surface of said substrate;
an interleave combiner disposed between said continuous wave electromagnetic radiation source and said series of lenses; and
a translation mechanism configured to translate said stage and said line of continuous wave electromagnetic radiation relative to one another.
30. The thermal processing device of claim 29, wherein said interleave combiner uses dielectric stacks for enhanced reflection at continuous wave electromagnetic radiation wavelength.
31. The thermal processing device of claim 29, wherein a thermal emission signal from the substrate is measured through the series of lenses as well as the interleave combiner at a wavelength longer than that of said continuous wave electromagnetic radiation.
32. The thermal processing device of claim 29, wherein said interleave combiner utilizes fill ratio enhancing optics to reduce the size of the series of lenses.
33. The thermal processing device of claim 1, further comprising an adjustment mechanism configured to move said continuous wave electromagnetic radiation source and said stage towards one another.
34. The thermal processing device of claim 33, wherein said computer system controls said adjustment mechanism based on measurements taken by said detection module, in order to keep said line of continuous wave radiation in focus on said surface.
35. A thermal processing device, comprising:
a stage configured to receive a substrate thereon;
a continuous wave electromagnetic radiation source disposed adjacent said stage, where said continuous wave electromagnetic radiation source is configured to emit continuous wave electromagnetic radiation along a path towards said substrate;
a series of lenses disposed between said continuous wave electromagnetic radiation source and said stage, where said series of lenses are configured to condense said continuous wave electromagnetic radiation into a line of continuous wave electromagnetic radiation on a surface of said substrate;
at least one reflective surface for redirecting scattered continuous wave radiation back towards said line of continuous wave radiation; and
a translation mechanism configured to translate said stage and said line of continuous wave electromagnetic radiation relative to one another.
36. A thermal processing device, comprising:
a stage configured to receive a substrate thereon;
a continuous wave electromagnetic radiation source disposed adjacent said stage, where said continuous wave electromagnetic radiation source is configured to emit continuous wave electromagnetic radiation along a path towards said substrate;
a series of lenses disposed between said continuous wave electromagnetic radiation source and said stage, where said series of lenses are configured to condense said continuous wave electromagnetic radiation into a line of continuous wave electromagnetic radiation on a surface of said substrate; and
a translation mechanism configured to translate said stage and said line of continuous wave electromagnetic radiation relative to one another;
wherein said thermal processing device is incorporated into an ion implanter or a Plasma Doping (PLAD).
37. A thermal processing device, comprising:
a continuous wave electromagnetic radiation source configured to emit a beam of continuous wave electromagnetic radiation;
a stage configured to receive a substrate thereon;
at least one expander lens disposed between said continuous wave electromagnetic radiation source and said stage, where said at least one expander lens is configured to expand said beam of continuous wave electromagnetic radiation into an expanded beam of continuous wave electromagnetic radiation;
multiple cylindrical lenses arranged in series between said at least one expander lens and said stage, where said multiple cylindrical lenses are configured to focus said expanded beam of continuous wave electromagnetic radiation into a line of continuous wave electromagnetic radiation on the surface of the substrate; and
a translation mechanism configured to translate said stage and said line of continuous wave electromagnetic radiation relative to one another.
38. The thermal processing device of claim 37, wherein said at least one expander lens comprises two expander lenses.
39. The thermal processing device of claim 37, wherein said multiple cylindrical lenses have spherical figure.
40. The thermal processing device of claim 37, wherein said multiple cylindrical lenses have aspherical figure.
41. The thermal processing device of claim 37, wherein some of said multiple cylindrical lenses have spherical figure and others do not.
42. The thermal processing device of claim 37, further comprising a gas injector near said multiple lenses to circulate cooling purge gas between said multiple lenses.
43. The thermal processing device of claim 37, wherein said continuous wave electromagnetic radiation source emits continuous wave electromagnetic radiation having a power density of greater than 30 kW/cm2 at best focus.
44. The thermal processing device of claim 36, incorporated into an ion implanter.
45. The thermal processing device of claim 36, incorporated into a plasma doping (PLAD).
US10/325,497 2002-04-18 2002-12-18 Thermal flux processing by scanning Expired - Lifetime US6987240B2 (en)

Priority Applications (16)

Application Number Priority Date Filing Date Title
US10/325,497 US6987240B2 (en) 2002-04-18 2002-12-18 Thermal flux processing by scanning
EP03747044A EP1507625A4 (en) 2002-04-18 2003-04-18 Thermal flux processing by scanning electromagnetic radiation
KR1020047016741A KR101010492B1 (en) 2002-04-18 2003-04-18 Thermal flux processing by scanning electromagnetic radiation
JP2003585924A JP5079207B2 (en) 2002-04-18 2003-04-18 Heat flux processing by scanning
TW092109147A TWI223858B (en) 2002-04-18 2003-04-18 Thermal flux processing by scanning
AU2003262388A AU2003262388A1 (en) 2002-04-18 2003-04-18 Thermal flux processing by scanning electromagnetic radiation
PCT/US2003/012291 WO2003089184A1 (en) 2002-04-18 2003-04-18 Thermal flux processing by scanning electromagnetic radiation
KR1020107014478A KR101037525B1 (en) 2002-04-18 2003-04-18 Thermal flux processing by scanning electromagnetic radiation
US11/079,785 US8178819B2 (en) 2002-04-18 2005-03-14 Thermal flux processing by scanning a focused line beam
US11/522,179 US20070114214A1 (en) 2002-04-18 2006-09-15 Scanning laser light source
US11/561,784 US7875829B2 (en) 2002-04-18 2006-11-20 Thermal flux processing by scanning a focused line beam
US11/924,298 US7872209B2 (en) 2002-04-18 2007-10-25 Thermal flux processing by scanning a focused line beam
US12/980,579 US8288685B2 (en) 2002-04-18 2010-12-29 Thermal flux processing by scanning a focused line beam
US13/442,128 US8829393B2 (en) 2002-04-18 2012-04-09 Scanned laser light source
JP2012155648A JP5687249B2 (en) 2002-04-18 2012-07-11 Heat flux processing by scanning
US14/478,118 US9737959B2 (en) 2002-04-18 2014-09-05 Thermal processing by scanning a laser line beam

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/126,419 US7005601B2 (en) 2002-04-18 2002-04-18 Thermal flux processing by scanning
US10/202,119 US7078651B2 (en) 2002-04-18 2002-07-23 Thermal flux deposition by scanning
US10/325,497 US6987240B2 (en) 2002-04-18 2002-12-18 Thermal flux processing by scanning

Related Parent Applications (2)

Application Number Title Priority Date Filing Date
US10/202,119 Continuation-In-Part US7078651B2 (en) 2002-04-18 2002-07-23 Thermal flux deposition by scanning
US11/561,784 Continuation-In-Part US7875829B2 (en) 2002-04-18 2006-11-20 Thermal flux processing by scanning a focused line beam

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US11/079,785 Division US8178819B2 (en) 2002-04-18 2005-03-14 Thermal flux processing by scanning a focused line beam

Publications (2)

Publication Number Publication Date
US20030196996A1 US20030196996A1 (en) 2003-10-23
US6987240B2 true US6987240B2 (en) 2006-01-17

Family

ID=29255211

Family Applications (8)

Application Number Title Priority Date Filing Date
US10/325,497 Expired - Lifetime US6987240B2 (en) 2002-04-18 2002-12-18 Thermal flux processing by scanning
US11/079,785 Active 2025-01-25 US8178819B2 (en) 2002-04-18 2005-03-14 Thermal flux processing by scanning a focused line beam
US11/522,179 Abandoned US20070114214A1 (en) 2002-04-18 2006-09-15 Scanning laser light source
US11/561,784 Active 2025-03-22 US7875829B2 (en) 2002-04-18 2006-11-20 Thermal flux processing by scanning a focused line beam
US11/924,298 Expired - Lifetime US7872209B2 (en) 2002-04-18 2007-10-25 Thermal flux processing by scanning a focused line beam
US12/980,579 Expired - Lifetime US8288685B2 (en) 2002-04-18 2010-12-29 Thermal flux processing by scanning a focused line beam
US13/442,128 Expired - Lifetime US8829393B2 (en) 2002-04-18 2012-04-09 Scanned laser light source
US14/478,118 Expired - Lifetime US9737959B2 (en) 2002-04-18 2014-09-05 Thermal processing by scanning a laser line beam

Family Applications After (7)

Application Number Title Priority Date Filing Date
US11/079,785 Active 2025-01-25 US8178819B2 (en) 2002-04-18 2005-03-14 Thermal flux processing by scanning a focused line beam
US11/522,179 Abandoned US20070114214A1 (en) 2002-04-18 2006-09-15 Scanning laser light source
US11/561,784 Active 2025-03-22 US7875829B2 (en) 2002-04-18 2006-11-20 Thermal flux processing by scanning a focused line beam
US11/924,298 Expired - Lifetime US7872209B2 (en) 2002-04-18 2007-10-25 Thermal flux processing by scanning a focused line beam
US12/980,579 Expired - Lifetime US8288685B2 (en) 2002-04-18 2010-12-29 Thermal flux processing by scanning a focused line beam
US13/442,128 Expired - Lifetime US8829393B2 (en) 2002-04-18 2012-04-09 Scanned laser light source
US14/478,118 Expired - Lifetime US9737959B2 (en) 2002-04-18 2014-09-05 Thermal processing by scanning a laser line beam

Country Status (6)

Country Link
US (8) US6987240B2 (en)
EP (1) EP1507625A4 (en)
JP (1) JP5079207B2 (en)
AU (1) AU2003262388A1 (en)
TW (1) TWI223858B (en)
WO (1) WO2003089184A1 (en)

Cited By (80)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040262272A1 (en) * 2003-06-30 2004-12-30 Jung Yun Ho Sequential lateral solidification device
US20050062388A1 (en) * 2000-12-04 2005-03-24 Camm David Malcolm Heat-treating methods and systems
US20050063453A1 (en) * 2001-12-26 2005-03-24 Camm David Malcolm Temperature measurement and heat-treating metods and system
US20050133167A1 (en) * 2003-12-19 2005-06-23 Camm David M. Apparatuses and methods for suppressing thermally-induced motion of a workpiece
US20060105585A1 (en) * 2004-11-12 2006-05-18 Applied Materials, Inc. Autofocus for high power laser diode based annealing system
US20060102605A1 (en) * 2004-11-12 2006-05-18 Applied Materials, Inc. Single axis light pipe for homogenizing slow axis of illumination systems bases on laser diodes
US20060102607A1 (en) * 2004-11-12 2006-05-18 Applied Materials, Inc. Multiple band pass filtering for pyrometry in laser based annealing systems
US20060102599A1 (en) * 2004-11-12 2006-05-18 Applied Materials, Inc. Rapid detection of imminent failure in laser thermal processing of a substrate
US20060234458A1 (en) * 2005-04-13 2006-10-19 Applied Materials, Inc. Dual wavelength thermal flux laser anneal
US20060237401A1 (en) * 2005-04-21 2006-10-26 Amesbury Marjan S Laser welding system
US7135392B1 (en) 2005-07-20 2006-11-14 Applied Materials, Inc. Thermal flux laser annealing for ion implantation of semiconductor P-N junctions
US20070108166A1 (en) * 2002-04-18 2007-05-17 Applied Materials, Inc. Thermal flux processing by scanning a focused line beam
US20070212859A1 (en) * 2006-03-08 2007-09-13 Paul Carey Method of thermal processing structures formed on a substrate
US20080025354A1 (en) * 2006-07-31 2008-01-31 Dean Jennings Ultra-Fast Beam Dithering with Surface Acoustic Wave Modulator
US20080057681A1 (en) * 2006-08-31 2008-03-06 Vijay Parihar Dynamic surface annealing of implanted dopants with low temperature hdpcvd process for depositing a high extinction coefficient optical absorber layer
US20080108209A1 (en) * 2006-11-03 2008-05-08 Applied Materials, Inc. Method of forming pn junctions including a post-ion implant dynamic surface anneal process with minimum interface trap density at the gate insulator-silicon interface
US20080108210A1 (en) * 2006-11-03 2008-05-08 Vijay Parihar Low temperature process for depositing a high extinction coefficient non-peeling optical absorber for a scanning laser surface anneal of implanted dopants
US20080121626A1 (en) * 2006-06-27 2008-05-29 Thomas Timothy N Dynamic surface annealing using addressable laser array with pyrometry feedback
EP1928013A2 (en) 2006-12-01 2008-06-04 Applied Materials, Inc. Formation and treatment of epitaxial layer containing silicon and carbon
US20080157452A1 (en) * 2006-11-15 2008-07-03 Mattson Technology Canada, Inc. Systems and methods for supporting a workpiece during heat-treating
US20080210671A1 (en) * 2006-08-23 2008-09-04 Applied Maerials, Inc. Fast axis beam profile shaping by collimation lenslets for high power laser diode based annealing system
US20080308534A1 (en) * 2007-06-18 2008-12-18 Jiping Li Pyrometer for laser annealing system compatible with amorphous carbon optical absorber layer
US20090032511A1 (en) * 2007-07-31 2009-02-05 Adams Bruce E Apparatus and method of improving beam shaping and beam homogenization
US20090042352A1 (en) * 2007-08-08 2009-02-12 Applied Materials, Inc. Gate interface relaxation anneal method for wafer processing with post-implant dynamic surface annealing
US7548364B2 (en) 2006-07-31 2009-06-16 Applied Materials, Inc. Ultra-fast beam dithering with surface acoustic wave modulator
US20090261078A1 (en) * 2008-04-16 2009-10-22 Applied Materials, Inc. Radiant anneal throughput optimization and thermal history minimization by interlacing
US20090275215A1 (en) * 2008-05-02 2009-11-05 Stephen Moffatt Suitably short wavelength light for laser annealing of silicon in dsa type systems
US20100304527A1 (en) * 2009-03-03 2010-12-02 Peter Borden Methods of thermal processing a solar cell
US8309474B1 (en) 2011-06-07 2012-11-13 Ultratech, Inc. Ultrafast laser annealing with reduced pattern density effects in integrated circuit fabrication
US8399808B2 (en) 2010-10-22 2013-03-19 Ultratech, Inc. Systems and methods for forming a time-averaged line image
US8434341B2 (en) 2002-12-20 2013-05-07 Mattson Technology, Inc. Methods and systems for supporting a workpiece and for heat-treating the workpiece
US8501638B1 (en) 2012-04-27 2013-08-06 Ultratech, Inc. Laser annealing scanning methods with reduced annealing non-uniformities
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US8546805B2 (en) 2012-01-27 2013-10-01 Ultratech, Inc. Two-beam laser annealing with improved temperature performance
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US8674257B2 (en) 2008-02-11 2014-03-18 Applied Materials, Inc. Automatic focus and emissivity measurements for a substrate system
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US8728955B2 (en) 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
US20140154831A1 (en) * 2012-12-03 2014-06-05 Solarworld Innovations Gmbh Method and Device for processing at least one crystalline Silicon-wafer or a Solar-cell wafer
US8822353B2 (en) 2010-10-22 2014-09-02 Ultratech, Inc. Systems and methods for forming a time-averaged line image
US8865603B2 (en) 2012-06-11 2014-10-21 Ultratech, Inc. Laser annealing systems and methods with ultra-short dwell times
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9070590B2 (en) 2008-05-16 2015-06-30 Mattson Technology, Inc. Workpiece breakage prevention method and apparatus
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9287113B2 (en) 2012-11-08 2016-03-15 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
US9302348B2 (en) 2011-06-07 2016-04-05 Ultratech Inc. Ultrafast laser annealing with reduced pattern density effects in integrated circuit fabrication
US9343307B2 (en) 2013-12-24 2016-05-17 Ultratech, Inc. Laser spike annealing using fiber lasers
US9355886B2 (en) 2010-04-15 2016-05-31 Novellus Systems, Inc. Conformal film deposition for gapfill
US9355839B2 (en) 2012-10-23 2016-05-31 Lam Research Corporation Sub-saturated atomic layer deposition and conformal film deposition
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9411163B2 (en) 2014-07-29 2016-08-09 Ultratech, Inc. High-efficiency line-forming optical systems and methods
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9490128B2 (en) 2012-08-27 2016-11-08 Ultratech, Inc. Non-melt thin-wafer laser thermal annealing methods
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US9558973B2 (en) 2012-06-11 2017-01-31 Ultratech, Inc. Laser annealing systems and methods with ultra-short dwell times
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9613815B2 (en) 2014-11-24 2017-04-04 Ultratech, Inc. High-efficiency line-forming optical systems and methods for defect annealing and dopant activation
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
RU2633860C1 (en) * 2016-06-24 2017-10-18 Федеральное государственное унитарное предприятие "Всероссийский научно-исследовательский институт автоматики им. Н.Л. Духова" (ФГУП "ВНИИА") Method of laser annealing of non-metallic materials
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10083843B2 (en) 2014-12-17 2018-09-25 Ultratech, Inc. Laser annealing systems and methods with ultra-short dwell times
US10239155B1 (en) * 2014-04-30 2019-03-26 The Boeing Company Multiple laser beam processing
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
RU2692004C1 (en) * 2018-06-20 2019-06-19 Федеральное Государственное Унитарное Предприятие "Всероссийский Научно-Исследовательский Институт Автоматики Им.Н.Л.Духова" (Фгуп "Внииа") Method for laser annealing of nonmetallic materials
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US11433483B2 (en) * 2016-11-18 2022-09-06 Ipg Photonics Corporation System and method laser for processing of materials
US11510290B2 (en) * 2014-05-16 2022-11-22 Illinois Tool Works Inc. Induction heating system
US11646198B2 (en) 2015-03-20 2023-05-09 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control

Families Citing this family (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7015422B2 (en) 2000-12-21 2006-03-21 Mattson Technology, Inc. System and process for heating semiconductor wafers by optimizing absorption of electromagnetic energy
US6970644B2 (en) 2000-12-21 2005-11-29 Mattson Technology, Inc. Heating configuration for use in thermal processing chambers
US8288239B2 (en) 2002-09-30 2012-10-16 Applied Materials, Inc. Thermal flux annealing influence of buried species
KR20050084200A (en) * 2002-12-09 2005-08-26 코닌클리즈케 필립스 일렉트로닉스 엔.브이. System and method for suppression of wafer temperature drift in cold-well cvd system
US6925216B2 (en) * 2003-05-30 2005-08-02 The Regents Of The University Of California Direct-patterned optical waveguides on amorphous silicon films
JP2005072045A (en) * 2003-08-26 2005-03-17 Toshiba Corp Semiconductor device and manufacturing method thereof
CN101048647A (en) * 2004-10-28 2007-10-03 应用材料股份有限公司 Methods and devices for measuring a concentrated light beam
JP2006135251A (en) * 2004-11-09 2006-05-25 Hitachi Ltd Laser crystallization equipment
EP1827751B1 (en) * 2004-12-02 2016-04-13 Resonetics, LLC Method for imaging onto a conical surface
US7972441B2 (en) 2005-04-05 2011-07-05 Applied Materials, Inc. Thermal oxidation of silicon using ozone
US8221544B2 (en) 2005-04-06 2012-07-17 The Trustees Of Columbia University In The City Of New York Line scan sequential lateral solidification of thin films
US7422775B2 (en) * 2005-05-17 2008-09-09 Applied Materials, Inc. Process for low temperature plasma deposition of an optical absorption layer and high speed optical annealing
US7279657B2 (en) * 2005-06-13 2007-10-09 Applied Materials, Inc. Scanned rapid thermal processing with feed forward control
US7312148B2 (en) * 2005-08-08 2007-12-25 Applied Materials, Inc. Copper barrier reflow process employing high speed optical annealing
DE102005043596B4 (en) * 2005-09-12 2009-08-27 3D-Micromac Ag Laser micro processing station, beam transformation device and homogenizer for a laser micro processing station
US8653482B2 (en) 2006-02-21 2014-02-18 Goji Limited RF controlled freezing
EP3585135A1 (en) 2006-02-21 2019-12-25 Goji Limited Electromagnetic heating
KR100877673B1 (en) * 2007-06-26 2009-01-08 주식회사 동부하이텍 Semiconductor device fabricating method
KR100906718B1 (en) * 2007-08-02 2009-07-07 한양대학교 산학협력단 ALD method capable of performing processes in low temperature
US7737036B2 (en) * 2007-08-09 2010-06-15 Applied Materials, Inc. Integrated circuit fabrication process with minimal post-laser annealing dopant deactivation
US20090042353A1 (en) * 2007-08-09 2009-02-12 Yi Ma Integrated circuit fabrication process for a high melting temperature silicide with minimal post-laser annealing dopant deactivation
US7863193B2 (en) * 2007-08-09 2011-01-04 Applied Materials, Inc. Integrated circuit fabrication process using a compression cap layer in forming a silicide with minimal post-laser annealing dopant deactivation
JP5286482B2 (en) * 2007-08-13 2013-09-11 株式会社日立情報通信エンジニアリング Semiconductor manufacturing equipment
JP2009188209A (en) * 2008-02-06 2009-08-20 Panasonic Corp Impurity activating thermal processing method and thermal processing apparatus
KR101868378B1 (en) * 2008-09-17 2018-06-18 어플라이드 머티어리얼스, 인코포레이티드 Managing thermal budget in annealing of substrates
US8372667B2 (en) * 2009-04-20 2013-02-12 Applied Materials, Inc. Fiber laser substrate processing
TWI543264B (en) * 2010-03-31 2016-07-21 應用材料股份有限公司 Laser beam positioning system
US9206508B1 (en) * 2010-10-16 2015-12-08 Alleppey V. Hariharan Laser assisted chemical vapor deposition of silicon
TWI628730B (en) * 2011-11-10 2018-07-01 應用材料股份有限公司 Apparatus and method to measure temperature of 3d semiconductor structures via laser diffraction
US9961326B2 (en) * 2012-01-09 2018-05-01 Kla-Tencor Corporation Stereo extended depth of focus
DE102012007601A1 (en) 2012-04-16 2013-10-17 Innovavent Gmbh Optical system for a plant for processing thin-film layers
JP2015531895A (en) * 2012-09-24 2015-11-05 リモ パテントフェルヴァルトゥング ゲーエムベーハー ウント コー.カーゲーLIMO Patentverwaltung GmbH & Co.KG An apparatus for generating a linear intensity distribution of a laser beam on a work surface.
CN103862169B (en) 2012-12-12 2016-08-10 中芯国际集成电路制造(上海)有限公司 Laser annealing apparatus and method
CN103063424B (en) * 2012-12-29 2016-03-02 苏州市职业大学 A kind of test method of zoom stype LASER HEATING thermal fatigue test stand
KR102163606B1 (en) * 2013-03-27 2020-10-08 고쿠리쓰다이가쿠호진 규슈다이가쿠 Laser annealing device
US9129918B2 (en) 2013-10-30 2015-09-08 Taiwan Semiconductor Manufacturing Company Limited Systems and methods for annealing semiconductor structures
US10307864B2 (en) * 2013-12-13 2019-06-04 Avonisys Ag Methods and systems to keep a work piece surface free from liquid accumulation while performing liquid-jet guided laser based material processing
US10032876B2 (en) 2014-03-13 2018-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Contact silicide having a non-angular profile
US10053777B2 (en) 2014-03-19 2018-08-21 Applied Materials, Inc. Thermal processing chamber
EP2957378A1 (en) * 2014-06-16 2015-12-23 Synova SA Machining head for coupling a laser beam and a fluid beam with an interface
US10095114B2 (en) 2014-11-14 2018-10-09 Applied Materials, Inc. Process chamber for field guided exposure and method for implementing the process chamber
US9864276B2 (en) 2015-04-07 2018-01-09 Applied Materials, Inc. Laser annealing and electric field
US10622268B2 (en) * 2015-12-08 2020-04-14 Infineon Technologies Ag Apparatus and method for ion implantation
WO2017110121A1 (en) * 2015-12-25 2017-06-29 鴻海精密工業股▲ふん▼有限公司 Line beam light source, line beam irradiation device, and laser lift off method
DE102016210042B3 (en) * 2016-06-07 2017-10-19 Sauer Gmbh Machine tool for build-up welding
JP6483647B2 (en) 2016-09-14 2019-03-13 株式会社東芝 Laser processing equipment
DE102018116782B4 (en) * 2018-07-11 2021-08-12 Stiftung für Lasertechnologien in der Medizin und Meßtechnik an der Universität Ulm (ILM) Irradiation device and irradiation method
WO2020036988A1 (en) * 2018-08-13 2020-02-20 The Board Of Regents Of The University Of Texas System Flexible light sheet generation by field synthesis
WO2020149903A1 (en) 2019-01-18 2020-07-23 Applied Materials, Inc. A film structure for electric field guided photoresist patterning process
DE102019102511B4 (en) * 2019-01-31 2020-08-20 Trumpf Laser- Und Systemtechnik Gmbh Laser system
EP3942597A4 (en) * 2019-03-19 2022-12-21 Momentum Optics Thermally guided chemical etching of a substrate and real-time monitoring thereof
US11429026B2 (en) 2020-03-20 2022-08-30 Applied Materials, Inc. Lithography process window enhancement for photoresist patterning

Citations (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3720784A (en) 1971-02-12 1973-03-13 Bell Telephone Labor Inc Recording and display method and apparatus
USRE28375E (en) 1971-02-12 1975-03-25 Recording and display method and apparatus
US4520472A (en) 1983-02-07 1985-05-28 Rca Corporation Beam expansion and relay optics for laser diode array
US4868005A (en) 1986-04-09 1989-09-19 Massachusetts Institute Of Technology Method and apparatus for photodeposition of films on surfaces
US5269847A (en) 1990-08-23 1993-12-14 Applied Materials, Inc. Variable rate distribution gas flow reaction chamber
DE4234342A1 (en) 1992-10-12 1994-04-14 Fraunhofer Ges Forschung Working materials with laser beam - using high power laser diodes, for welding, drilling, cutting, soldering and heat treating various materials
US5357365A (en) 1992-10-26 1994-10-18 Mitsubishi Denki Kabushiki Kaisha Laser beam irradiating apparatus enabling uniform laser annealing
US5463534A (en) * 1990-08-01 1995-10-31 Diomed Limited High power light source
US5508067A (en) * 1993-09-24 1996-04-16 Applied Materials, Inc. Deposition of silicon nitride by plasma-enchanced chemical vapor deposition
US5529630A (en) 1992-11-16 1996-06-25 Tokyo Electron Limited Apparatus for manufacturing a liquid crystal display substrate, and apparatus for evaluating semiconductor crystals
JPH0940500A (en) 1995-07-28 1997-02-10 Japan Steel Works Ltd:The Laser annealing treatment device
JPH0940499A (en) 1995-07-28 1997-02-10 Japan Steel Works Ltd:The Excimer laser annealing treatment device
US5660472A (en) 1994-12-19 1997-08-26 Applied Materials, Inc. Method and apparatus for measuring substrate temperatures
EP0836905A1 (en) 1996-10-20 1998-04-22 INPRO Innovationsgesellschaft für fortgeschrittene Produktionssysteme in der Fahrzeugindustrie mbH Method and arrangement for surface treatment with temperature control, particularly for superficial hardening with laser radiation
US5782980A (en) 1996-05-14 1998-07-21 Advanced Micro Devices, Inc. Low pressure chemical vapor deposition apparatus including a process gas heating subsystem
US5986234A (en) 1997-03-28 1999-11-16 The Regents Of The University Of California High removal rate laser-based coating removal system
US6019839A (en) 1998-04-17 2000-02-01 Applied Materials, Inc. Method and apparatus for forming an epitaxial titanium silicide film by low pressure chemical vapor deposition
US6080965A (en) * 1997-09-18 2000-06-27 Tokyo Electron Limited Single-substrate-heat-treatment apparatus in semiconductor processing system
US6103014A (en) 1993-04-05 2000-08-15 Applied Materials, Inc. Chemical vapor deposition chamber
US6151446A (en) * 1999-07-06 2000-11-21 Applied Materials, Inc. Apparatus and method for thermally processing substrates including a processor using multiple detection signals
JP2000323428A (en) 1999-03-08 2000-11-24 Semiconductor Energy Lab Co Ltd Beam homogenizer and laser radiator
US6204483B1 (en) 1998-07-01 2001-03-20 Intevac, Inc. Heating assembly for rapid thermal processing system
US6215106B1 (en) 1997-06-30 2001-04-10 Applied Materials, Inc. Thermally processing a substrate
US6242292B1 (en) 1994-12-16 2001-06-05 Semiconductor Energy Laboratory Co., Ltd. Method of producing a semiconductor device with overlapped scanned linear lasers
US6323457B1 (en) 1998-02-18 2001-11-27 Lg. Philips Lcd Co., Ltd. Laser annealing apparatus
US6326246B1 (en) 1994-01-17 2001-12-04 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing thin film transistor
US6326248B1 (en) 1994-06-02 2001-12-04 Semiconductor Energy Laboratory Co., Ltd. Process for fabricating semiconductor device
US6337467B1 (en) 2000-05-09 2002-01-08 Wafermasters, Inc. Lamp based scanning rapid thermal processing
US6365870B1 (en) 1997-10-22 2002-04-02 Fraunhofer-Gesellschaft Zur Forderung Der Angewandten Forschung E.V. Method and device for treating work pieces with laser radiation
US6393042B1 (en) * 1999-03-08 2002-05-21 Semiconductor Energy Laboratory Co., Ltd. Beam homogenizer and laser irradiation apparatus
US6514339B1 (en) 1999-10-29 2003-02-04 Lg. Philips Co., Ltd. Laser annealing apparatus
US6531681B1 (en) 2000-03-27 2003-03-11 Ultratech Stepper, Inc. Apparatus having line source of radiant energy for exposing a substrate
US6567219B1 (en) 1999-08-13 2003-05-20 Semiconductor Energy Laboratory Co., Ltd. Laser irradiation apparatus
US6747245B2 (en) 2002-11-06 2004-06-08 Ultratech Stepper, Inc. Laser scanning apparatus and methods for thermal processing

Family Cites Families (113)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4151008A (en) * 1974-11-15 1979-04-24 Spire Corporation Method involving pulsed light processing of semiconductor devices
US4215275A (en) * 1977-12-07 1980-07-29 Luxtron Corporation Optical temperature measurement technique utilizing phosphors
US4309225A (en) * 1979-09-13 1982-01-05 Massachusetts Institute Of Technology Method of crystallizing amorphous material with a moving energy beam
US4296995A (en) * 1980-02-01 1981-10-27 International Telephone And Telegraph Corporation Optical fiber beam splitter couplers employing coatings with dichroic properties
EP0048514B1 (en) * 1980-09-18 1984-07-18 L'Etat belge, représenté par le Secrétaire Général des Services de la Programmation de la Politique Scientifique Process for crystallising films, and films thus obtained
US4633058A (en) * 1982-01-21 1986-12-30 Preci-Spark Limited Laser machining apparatus
US4647774A (en) * 1985-03-04 1987-03-03 Quantum Logic Corporation Pyrometer #2
JPH0627901B2 (en) * 1985-03-11 1994-04-13 富士写真フイルム株式会社 Semiconductor laser light source device
DE3623409A1 (en) * 1986-07-11 1988-01-21 Bias Forschung & Entwicklung METHOD FOR MONITORING THE MACHINING PROCESS WITH A HIGH-PERFORMANCE ENERGY SOURCE, IN PARTICULAR A LASER, AND MACHINING OPTICS FOR IMPLEMENTING THE SAME
US4918583A (en) * 1988-04-25 1990-04-17 Nikon Corporation Illuminating optical device
US5155623A (en) * 1988-09-23 1992-10-13 At&T Bell Laboratories Arrangement for imaging multiple arrays of light beams
US4865683A (en) * 1988-11-03 1989-09-12 Lasa Industries, Inc. Method and apparatus for laser process control
US4894115A (en) * 1989-02-14 1990-01-16 General Electric Company Laser beam scanning method for forming via holes in polymer materials
US4932747A (en) * 1989-09-07 1990-06-12 The United States Of America As Represented By The Secretary Of The Navy Fiber bundle homogenizer and method utilizing same
US5247373A (en) * 1989-09-14 1993-09-21 Asahi Kogaku Kogyo Kabushiki Kaisha Scanning optical system
US5266502A (en) * 1990-04-03 1993-11-30 Olympus Optical Co., Ltd. STM memory medium
DE9004934U1 (en) * 1990-04-30 1991-08-29 Rofin-Sinar Laser Gmbh, 2000 Hamburg, De
US5719704A (en) * 1991-09-11 1998-02-17 Nikon Corporation Projection exposure apparatus
GB2253282B (en) * 1991-02-27 1994-05-11 British Aerospace Method and apparatus for controllably laser processing a surface
US5463354A (en) * 1991-12-31 1995-10-31 Square D Company Proximity switches including long tail pair with emitter follower feedback
KR100292330B1 (en) * 1992-05-01 2001-09-17 이데이 노부유끼 Semiconductor device, manufacturing method thereof, and method for manufacturing silicon on insulator(soi) substrate
US5903388A (en) * 1992-06-11 1999-05-11 Sedlmayr Steven R High efficiency electromagnetic beam projector and systems and method for implementation thereof
US5408553A (en) * 1992-08-26 1995-04-18 The United States Of America As Represented By The United States Department Of Energy Optical power splitter for splitting high power light
JPH06302897A (en) * 1993-04-19 1994-10-28 Sumitomo Electric Ind Ltd Laser device, its operation method and application
KR100255689B1 (en) * 1993-05-27 2000-05-01 윤종용 Semiconductor laser device and its manufacturing method
US5483055A (en) * 1994-01-18 1996-01-09 Thompson; Timothy V. Method and apparatus for performing an automatic focus operation for a microscope
US5394492A (en) * 1993-11-19 1995-02-28 Applied Optronics Corporation High power semiconductor laser system
JP3495806B2 (en) * 1994-01-17 2004-02-09 株式会社半導体エネルギー研究所 Method for manufacturing thin film transistor
JPH07281053A (en) * 1994-04-11 1995-10-27 Mitsui Petrochem Ind Ltd Fiber photocoupler
KR0132495B1 (en) 1994-04-13 1998-04-16 이헌조 Sensor manufacturing method
US5586132A (en) * 1994-07-27 1996-12-17 Laser Industries Ltd. Method and apparatus for generating bright light sources
JPH0866781A (en) * 1994-08-30 1996-03-12 Mitsubishi Electric Corp Excimer laser beam irradiating device
US5755511A (en) * 1994-12-19 1998-05-26 Applied Materials, Inc. Method and apparatus for measuring substrate temperatures
US6179466B1 (en) * 1994-12-19 2001-01-30 Applied Materials, Inc. Method and apparatus for measuring substrate temperatures
US5751585A (en) * 1995-03-20 1998-05-12 Electro Scientific Industries, Inc. High speed, high accuracy multi-stage tool positioning system
US5847960A (en) * 1995-03-20 1998-12-08 Electro Scientific Industries, Inc. Multi-tool positioning system
US5762419A (en) * 1995-07-26 1998-06-09 Applied Materials, Inc. Method and apparatus for infrared pyrometer calibration in a thermal processing system
US5820261A (en) * 1995-07-26 1998-10-13 Applied Materials, Inc. Method and apparatus for infrared pyrometer calibration in a rapid thermal processing system
US5936774A (en) * 1995-08-29 1999-08-10 Street; Graham S. B. Autostereoscopic display
US6124973A (en) * 1996-02-23 2000-09-26 Fraunhofer Gesellschaft Zur Foerderung Der Angewandten Forschung E.V. Device for providing the cross-section of the radiation emitted by several solid-state and/or semiconductor diode lasers with a specific geometry
US6179465B1 (en) * 1996-03-28 2001-01-30 Applied Materials, Inc. Method and apparatus for infrared pyrometer calibration in a thermal processing system using multiple light sources
US5938335A (en) * 1996-04-08 1999-08-17 Applied Materials, Inc. Self-calibrating temperature probe
US5844684A (en) * 1997-02-28 1998-12-01 Brown University Research Foundation Optical method for determining the mechanical properties of a material
US6018393A (en) * 1996-07-26 2000-01-25 Asahi Kogaku Kogyo Kabushiki Kaisha Evaluating system for a dual focus lens
US5715270A (en) * 1996-09-27 1998-02-03 Mcdonnell Douglas Corporation High efficiency, high power direct diode laser systems and methods therefor
US6212310B1 (en) * 1996-10-22 2001-04-03 Sdl, Inc. High power fiber gain media system achieved through power scaling via multiplexing
US5841102A (en) * 1996-11-08 1998-11-24 W. L. Gore & Associates, Inc. Multiple pulse space processing to enhance via entrance formation at 355 nm
US5982553A (en) * 1997-03-20 1999-11-09 Silicon Light Machines Display device incorporating one-dimensional grating light-valve array
JP3481817B2 (en) * 1997-04-07 2003-12-22 株式会社東芝 Semiconductor storage device
JPH10284431A (en) * 1997-04-11 1998-10-23 Sharp Corp Manufacture of soi substrate
US5907435A (en) * 1997-06-26 1999-05-25 Xerox Corporation Laser beam optical focusing system of two symmetrical diffractive optical elements
US6055103A (en) * 1997-06-28 2000-04-25 Sharp Kabushiki Kaisha Passive polarisation modulating optical element and method of making such an element
US6226453B1 (en) * 1997-09-16 2001-05-01 Applied Materials, Inc. Temperature probe with fiber optic core
GB9724150D0 (en) * 1997-11-14 1998-01-14 Univ Southampton Apparatus providing variable refectivity to electromagnetic radiation
DE69833968T2 (en) * 1997-12-12 2006-08-17 Matsushita Electric Industrial Co., Ltd., Kadoma LASER PROCESSING METHOD, DEVICE AND CONTROL METHOD
US6183130B1 (en) * 1998-02-20 2001-02-06 Applied Materials, Inc. Apparatus for substrate temperature measurement using a reflecting cavity and detector
US6007241A (en) * 1998-02-20 1999-12-28 Applied Materials, Inc. Apparatus and method for measuring substrate temperature
US6324320B1 (en) * 1998-03-17 2001-11-27 Polaroid Corporation Optical apparatus for producing a high-brightness multi-laser radiation source
US6075912A (en) * 1998-03-17 2000-06-13 Polaroid Corporation Apparatus for coupling radiation beams into an optical waveguide
JP3211770B2 (en) * 1998-05-11 2001-09-25 日本電気株式会社 Solid-state laser device and solid-state laser amplifier having the same
DE19823226A1 (en) * 1998-05-25 1999-12-02 Arcmet Technologie Gmbh Linz Tilting arc furnace
US6215579B1 (en) * 1998-06-24 2001-04-10 Silicon Light Machines Method and apparatus for modulating an incident light beam for forming a two-dimensional image
JP4663047B2 (en) * 1998-07-13 2011-03-30 株式会社半導体エネルギー研究所 Laser irradiation apparatus and method for manufacturing semiconductor device
US6759662B1 (en) * 1998-07-28 2004-07-06 Ce Resources Pte. Ltd. Optical detection system
US6239456B1 (en) * 1998-08-19 2001-05-29 Photobit Corporation Lock in pinned photodiode photodetector
AU1514399A (en) * 1998-11-04 2000-05-22 Laserlab Research Ltd Radiating adder
US20020051360A1 (en) * 1998-11-04 2002-05-02 Solodovnikov Vladimir Vadimovich Method and apparatus for unifying light beams
US6535535B1 (en) * 1999-02-12 2003-03-18 Semiconductor Energy Laboratory Co., Ltd. Laser irradiation method, laser irradiation apparatus, and semiconductor device
US6137631A (en) * 1999-03-12 2000-10-24 Kodak Polychrome Graphics Llc Illumination system and method for spatial modulators
US6026053A (en) * 1999-05-21 2000-02-15 The United States Of America As Represented By The Director Of The National Security Agency Photorefractive read-only optical memory apparatus using phase, frequency, and angular modulation
US6356577B1 (en) * 1999-07-15 2002-03-12 Silicon Light Machines Method and apparatus for combining light output from multiple laser diode bars
JP2001046394A (en) * 1999-08-12 2001-02-20 Terumo Corp Laser irradiation device
WO2001023131A1 (en) * 1999-09-28 2001-04-05 Sumitomo Heavy Industries, Ltd. Laser drilling method and laser drilling device
US6796148B1 (en) * 1999-09-30 2004-09-28 Corning Incorporated Deep UV laser internally induced densification in silica glasses
JP2001102323A (en) * 1999-09-30 2001-04-13 Matsushita Electric Ind Co Ltd Method for manufacturing laser-annealing apparatus and thin-film
US6304330B1 (en) * 1999-10-06 2001-10-16 Metrolaser, Inc. Methods and apparatus for splitting, imaging, and measuring wavefronts in interferometry
US6301054B1 (en) * 1999-10-28 2001-10-09 Xerox Corporation Optical element for multiple beam separation control
US6301046B1 (en) * 1999-12-31 2001-10-09 Jds Uniphase Corporation Interleaver/deinterleavers causing little or no dispersion of optical signals
AU2001239789A1 (en) * 2000-02-17 2001-08-27 Cquint Communications Corporation Cylindrical processing of optical media
US6333816B1 (en) * 2000-03-03 2001-12-25 Jds Uniphase Corporation Apparatus capable of operating as interleaver/deinterleavers or filters
TW473917B (en) * 2000-03-07 2002-01-21 United Microelectronics Corp Step-like structure of silicon on insulation (SOI)
US6376806B2 (en) * 2000-05-09 2002-04-23 Woo Sik Yoo Flash anneal
JP4556302B2 (en) * 2000-07-27 2010-10-06 ソニー株式会社 Thin film transistor manufacturing system and method, polysilicon evaluation method and polysilicon inspection apparatus
DE10042733A1 (en) * 2000-08-31 2002-03-28 Inst Physikalische Hochtech Ev Multicrystalline laser-crystallized silicon thin-film solar cell on a transparent substrate
US6518339B1 (en) * 2000-09-18 2003-02-11 Milliken & Company Aldehyde emission reduction for dibenzylidene sorbitol clarified plastics
JP2002093037A (en) * 2000-09-21 2002-03-29 Toshiba Corp Optical disk driver
US6411425B1 (en) * 2000-09-27 2002-06-25 Eastman Kodak Company Electromechanical grating display system with spatially separated light beams
US6323984B1 (en) * 2000-10-11 2001-11-27 Silicon Light Machines Method and apparatus for reducing laser speckle
US20020098712A1 (en) * 2000-11-30 2002-07-25 Jaideep Mavoori Multi-thickness oxide growth with in-situ scanned laser heating
US6476848B2 (en) * 2000-12-21 2002-11-05 Eastman Kodak Company Electromechanical grating display system with segmented waveplate
US6552853B2 (en) * 2000-12-22 2003-04-22 Polaroid Corporation Radiation beam combiner
US6809012B2 (en) * 2001-01-18 2004-10-26 Semiconductor Energy Laboratory Co., Ltd. Method of making a thin film transistor using laser annealing
JP2004520715A (en) * 2001-04-19 2004-07-08 ザ トラスティーズ オブ コロンビア ユニヴァーシティ イン ザ シティ オブ ニューヨーク Method and system for single scan, continuous operation, sequential lateral crystallization
US20050259709A1 (en) * 2002-05-07 2005-11-24 Cymer, Inc. Systems and methods for implementing an interaction between a laser shaped as a line beam and a film deposited on a substrate
JP2003086604A (en) * 2001-09-10 2003-03-20 Advanced Lcd Technologies Development Center Co Ltd Thin film semiconductor device, substrate and manufacturing method therefor
US6773142B2 (en) * 2002-01-07 2004-08-10 Coherent, Inc. Apparatus for projecting a line of light from a diode-laser array
US6570137B1 (en) 2002-03-04 2003-05-27 Applied Materials, Inc. System and method for lamp split zone control
US6987240B2 (en) * 2002-04-18 2006-01-17 Applied Materials, Inc. Thermal flux processing by scanning
US7005601B2 (en) * 2002-04-18 2006-02-28 Applied Materials, Inc. Thermal flux processing by scanning
US8288239B2 (en) * 2002-09-30 2012-10-16 Applied Materials, Inc. Thermal flux annealing influence of buried species
US6805467B2 (en) * 2002-07-18 2004-10-19 Acr Electronics, Inc. Emergency laser array signal light
US7274500B2 (en) * 2003-12-03 2007-09-25 Eastman Kodak Company Display system incorporating trilinear electromechanical grating device
US20060023763A1 (en) * 2004-07-28 2006-02-02 Nlight Photonics Corporation Semiconductor lasers with hybrid materials systems
US20060045144A1 (en) * 2004-09-01 2006-03-02 Nlight Photonics Corporation Diode laser array beam homogenizer
JP4418345B2 (en) * 2004-11-01 2010-02-17 富士通株式会社 Optical fiber device, optical monitor device, and optical switch device
US7438468B2 (en) * 2004-11-12 2008-10-21 Applied Materials, Inc. Multiple band pass filtering for pyrometry in laser based annealing systems
US7119936B2 (en) * 2004-12-15 2006-10-10 Eastman Kodak Company Speckle reduction for display system with electromechanical grating
US7279721B2 (en) * 2005-04-13 2007-10-09 Applied Materials, Inc. Dual wavelength thermal flux laser anneal
US7494272B2 (en) * 2006-06-27 2009-02-24 Applied Materials, Inc. Dynamic surface annealing using addressable laser array with pyrometry feedback
US7515346B2 (en) * 2006-07-18 2009-04-07 Coherent, Inc. High power and high brightness diode-laser array for material processing applications
US7929585B2 (en) * 2006-11-20 2011-04-19 Tilleman Michael M High brightness semiconductor laser diode arrays
US8215776B2 (en) * 2009-01-07 2012-07-10 Eastman Kodak Company Line illumination apparatus using laser arrays
US8132919B2 (en) * 2009-04-30 2012-03-13 Eastman Kodak Company Digital projector using arrayed light sources

Patent Citations (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
USRE28375E (en) 1971-02-12 1975-03-25 Recording and display method and apparatus
US3720784A (en) 1971-02-12 1973-03-13 Bell Telephone Labor Inc Recording and display method and apparatus
US4520472A (en) 1983-02-07 1985-05-28 Rca Corporation Beam expansion and relay optics for laser diode array
US4868005A (en) 1986-04-09 1989-09-19 Massachusetts Institute Of Technology Method and apparatus for photodeposition of films on surfaces
US5463534A (en) * 1990-08-01 1995-10-31 Diomed Limited High power light source
US5269847A (en) 1990-08-23 1993-12-14 Applied Materials, Inc. Variable rate distribution gas flow reaction chamber
DE4234342A1 (en) 1992-10-12 1994-04-14 Fraunhofer Ges Forschung Working materials with laser beam - using high power laser diodes, for welding, drilling, cutting, soldering and heat treating various materials
US5357365A (en) 1992-10-26 1994-10-18 Mitsubishi Denki Kabushiki Kaisha Laser beam irradiating apparatus enabling uniform laser annealing
US5529630A (en) 1992-11-16 1996-06-25 Tokyo Electron Limited Apparatus for manufacturing a liquid crystal display substrate, and apparatus for evaluating semiconductor crystals
US6103014A (en) 1993-04-05 2000-08-15 Applied Materials, Inc. Chemical vapor deposition chamber
US5508067A (en) * 1993-09-24 1996-04-16 Applied Materials, Inc. Deposition of silicon nitride by plasma-enchanced chemical vapor deposition
US6326246B1 (en) 1994-01-17 2001-12-04 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing thin film transistor
US6326248B1 (en) 1994-06-02 2001-12-04 Semiconductor Energy Laboratory Co., Ltd. Process for fabricating semiconductor device
US6242292B1 (en) 1994-12-16 2001-06-05 Semiconductor Energy Laboratory Co., Ltd. Method of producing a semiconductor device with overlapped scanned linear lasers
US5660472A (en) 1994-12-19 1997-08-26 Applied Materials, Inc. Method and apparatus for measuring substrate temperatures
JPH0940500A (en) 1995-07-28 1997-02-10 Japan Steel Works Ltd:The Laser annealing treatment device
JPH0940499A (en) 1995-07-28 1997-02-10 Japan Steel Works Ltd:The Excimer laser annealing treatment device
US5782980A (en) 1996-05-14 1998-07-21 Advanced Micro Devices, Inc. Low pressure chemical vapor deposition apparatus including a process gas heating subsystem
EP0836905A1 (en) 1996-10-20 1998-04-22 INPRO Innovationsgesellschaft für fortgeschrittene Produktionssysteme in der Fahrzeugindustrie mbH Method and arrangement for surface treatment with temperature control, particularly for superficial hardening with laser radiation
US5986234A (en) 1997-03-28 1999-11-16 The Regents Of The University Of California High removal rate laser-based coating removal system
US6215106B1 (en) 1997-06-30 2001-04-10 Applied Materials, Inc. Thermally processing a substrate
US6080965A (en) * 1997-09-18 2000-06-27 Tokyo Electron Limited Single-substrate-heat-treatment apparatus in semiconductor processing system
US6365870B1 (en) 1997-10-22 2002-04-02 Fraunhofer-Gesellschaft Zur Forderung Der Angewandten Forschung E.V. Method and device for treating work pieces with laser radiation
US6323457B1 (en) 1998-02-18 2001-11-27 Lg. Philips Lcd Co., Ltd. Laser annealing apparatus
US6019839A (en) 1998-04-17 2000-02-01 Applied Materials, Inc. Method and apparatus for forming an epitaxial titanium silicide film by low pressure chemical vapor deposition
US6204483B1 (en) 1998-07-01 2001-03-20 Intevac, Inc. Heating assembly for rapid thermal processing system
JP2000323428A (en) 1999-03-08 2000-11-24 Semiconductor Energy Lab Co Ltd Beam homogenizer and laser radiator
US6393042B1 (en) * 1999-03-08 2002-05-21 Semiconductor Energy Laboratory Co., Ltd. Beam homogenizer and laser irradiation apparatus
US6151446A (en) * 1999-07-06 2000-11-21 Applied Materials, Inc. Apparatus and method for thermally processing substrates including a processor using multiple detection signals
US6567219B1 (en) 1999-08-13 2003-05-20 Semiconductor Energy Laboratory Co., Ltd. Laser irradiation apparatus
US6514339B1 (en) 1999-10-29 2003-02-04 Lg. Philips Co., Ltd. Laser annealing apparatus
US6531681B1 (en) 2000-03-27 2003-03-11 Ultratech Stepper, Inc. Apparatus having line source of radiant energy for exposing a substrate
US6337467B1 (en) 2000-05-09 2002-01-08 Wafermasters, Inc. Lamp based scanning rapid thermal processing
US6747245B2 (en) 2002-11-06 2004-06-08 Ultratech Stepper, Inc. Laser scanning apparatus and methods for thermal processing

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
Meyerson et al., "Experimental and chemical kinetic modeling study of silicon CVC from monosilane and dislane", CHEMTRONICS, vol. 1, Dec. 1989, pp. 150-155.
Vortek Impulse(TM) Anneal, http://www.vortek.com/semi.htm, last visited Apr. 4, 2002.

Cited By (174)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050062388A1 (en) * 2000-12-04 2005-03-24 Camm David Malcolm Heat-treating methods and systems
US20050063453A1 (en) * 2001-12-26 2005-03-24 Camm David Malcolm Temperature measurement and heat-treating metods and system
US20060096677A1 (en) * 2001-12-26 2006-05-11 Camm David M Temperature measurement and heat-treating methods
US20080041831A1 (en) * 2002-04-18 2008-02-21 Applied Materials, Inc. Thermal flux processing by scanning a focused line beam
US20070114214A1 (en) * 2002-04-18 2007-05-24 Applied Materials, Inc. Scanning laser light source
US20070108166A1 (en) * 2002-04-18 2007-05-17 Applied Materials, Inc. Thermal flux processing by scanning a focused line beam
US8288685B2 (en) 2002-04-18 2012-10-16 Applied Materials, Inc. Thermal flux processing by scanning a focused line beam
US20110095007A1 (en) * 2002-04-18 2011-04-28 Applied Materials, Inc. Thermal flux processing by scanning a focused line beam
US7875829B2 (en) 2002-04-18 2011-01-25 Applied Materials, Inc. Thermal flux processing by scanning a focused line beam
US7872209B2 (en) 2002-04-18 2011-01-18 Applied Materials, Inc. Thermal flux processing by scanning a focused line beam
US9627244B2 (en) 2002-12-20 2017-04-18 Mattson Technology, Inc. Methods and systems for supporting a workpiece and for heat-treating the workpiece
US8434341B2 (en) 2002-12-20 2013-05-07 Mattson Technology, Inc. Methods and systems for supporting a workpiece and for heat-treating the workpiece
US20040262272A1 (en) * 2003-06-30 2004-12-30 Jung Yun Ho Sequential lateral solidification device
US7326876B2 (en) * 2003-06-30 2008-02-05 Lg.Philips Lcd Co., Ltd. Sequential lateral solidification device
US20050133167A1 (en) * 2003-12-19 2005-06-23 Camm David M. Apparatuses and methods for suppressing thermally-induced motion of a workpiece
US20080217306A1 (en) * 2004-11-12 2008-09-11 Applied Materials, Inc. Rapid detection of imminent failure in optical thermal processing of a substrate
US7129440B2 (en) * 2004-11-12 2006-10-31 Applied Materials, Inc. Single axis light pipe for homogenizing slow axis of illumination systems based on laser diodes
US20060102607A1 (en) * 2004-11-12 2006-05-18 Applied Materials, Inc. Multiple band pass filtering for pyrometry in laser based annealing systems
US20060102599A1 (en) * 2004-11-12 2006-05-18 Applied Materials, Inc. Rapid detection of imminent failure in laser thermal processing of a substrate
US7910499B2 (en) 2004-11-12 2011-03-22 Applied Materials, Inc. Autofocus for high power laser diode based annealing system
US8586893B2 (en) 2004-11-12 2013-11-19 Applied Materials, Inc. Rapid detection of imminent failure in optical thermal processing of a substrate
US20060102605A1 (en) * 2004-11-12 2006-05-18 Applied Materials, Inc. Single axis light pipe for homogenizing slow axis of illumination systems bases on laser diodes
US7717617B2 (en) 2004-11-12 2010-05-18 Applied Materials, Inc. Multiple band pass filtering for pyrometry in laser based annealing systems
US20090236495A1 (en) * 2004-11-12 2009-09-24 Dean Jennings Autofocus for high power laser diode based annealing system
US20090084986A1 (en) * 2004-11-12 2009-04-02 Adams Bruce E Multiple band pass filtering for pyrometry in laser based annealing systems
US7438468B2 (en) 2004-11-12 2008-10-21 Applied Materials, Inc. Multiple band pass filtering for pyrometry in laser based annealing systems
US20060105585A1 (en) * 2004-11-12 2006-05-18 Applied Materials, Inc. Autofocus for high power laser diode based annealing system
US7422988B2 (en) 2004-11-12 2008-09-09 Applied Materials, Inc. Rapid detection of imminent failure in laser thermal processing of a substrate
US20090311880A1 (en) * 2005-04-13 2009-12-17 Applied Materials, Inc. Method of Annealing Using Two Wavelengths of Continuous Wave Laser Radiation
US20070293058A1 (en) * 2005-04-13 2007-12-20 Applied Materials, Inc. Method of Laser Annealing Using Two Wavelengths of Radiation
US20100264123A1 (en) * 2005-04-13 2010-10-21 Applied Materials, Inc. Annealing apparatus using two wavelengths of continuous wave laser radiation
US7772134B2 (en) 2005-04-13 2010-08-10 Applied Materials, Inc. Method of annealing using two wavelengths of continuous wave laser radiation
US8653408B2 (en) 2005-04-13 2014-02-18 Applied Materials, Inc. Annealing apparatus using two wavelengths of continuous wave laser radiation
US9839976B2 (en) 2005-04-13 2017-12-12 Applied Materials, Inc. Annealing apparatus using two wavelengths of radiation
US8907247B2 (en) 2005-04-13 2014-12-09 Applied Materials, Inc. Annealing apparatus using two wavelengths of laser radiation
US8890024B2 (en) 2005-04-13 2014-11-18 Applied Materials, Inc. Annealing apparatus using two wavelengths of continuous wave laser radiation
US8765618B2 (en) 2005-04-13 2014-07-01 Applied Materials, Inc. Annealing apparatus using two wavelengths of continuous wave laser radiation
US7595208B2 (en) 2005-04-13 2009-09-29 Applied Materials, Inc. Method of laser annealing using two wavelengths of radiation
US20060234458A1 (en) * 2005-04-13 2006-10-19 Applied Materials, Inc. Dual wavelength thermal flux laser anneal
US10857623B2 (en) 2005-04-13 2020-12-08 Applied Materials, Inc. Annealing apparatus using two wavelengths of radiation
US8242407B2 (en) 2005-04-13 2012-08-14 Applied Materials, Inc. Annealing apparatus using two wavelengths of continuous wave laser radiation
US7279721B2 (en) * 2005-04-13 2007-10-09 Applied Materials, Inc. Dual wavelength thermal flux laser anneal
US7538295B2 (en) * 2005-04-21 2009-05-26 Hewlett-Packard Development Company, L.P. Laser welding system
US20090200278A1 (en) * 2005-04-21 2009-08-13 Amesbury Marjan S Laser welding system
US8017886B2 (en) 2005-04-21 2011-09-13 Hewlett-Packard Development Company, L.P. Laser welding system
US20060237401A1 (en) * 2005-04-21 2006-10-26 Amesbury Marjan S Laser welding system
US7135392B1 (en) 2005-07-20 2006-11-14 Applied Materials, Inc. Thermal flux laser annealing for ion implantation of semiconductor P-N junctions
US20100323532A1 (en) * 2006-03-08 2010-12-23 Paul Carey Method of thermal processing structures formed on a substrate
US7569463B2 (en) 2006-03-08 2009-08-04 Applied Materials, Inc. Method of thermal processing structures formed on a substrate
US20070221640A1 (en) * 2006-03-08 2007-09-27 Dean Jennings Apparatus for thermal processing structures formed on a substrate
US20070218644A1 (en) * 2006-03-08 2007-09-20 Applied Materials, Inc. Method of thermal processing structures formed on a substrate
US10141191B2 (en) 2006-03-08 2018-11-27 Applied Materials, Inc. Method of thermal processing structures formed on a substrate
US20070212859A1 (en) * 2006-03-08 2007-09-13 Paul Carey Method of thermal processing structures formed on a substrate
US8518838B2 (en) 2006-03-08 2013-08-27 Applied Materials, Inc. Method of thermal processing structures formed on a substrate
US10840100B2 (en) 2006-03-08 2020-11-17 Applied Materials, Inc. Method of thermal processing structures formed on a substrate
US7494272B2 (en) 2006-06-27 2009-02-24 Applied Materials, Inc. Dynamic surface annealing using addressable laser array with pyrometry feedback
US20080121626A1 (en) * 2006-06-27 2008-05-29 Thomas Timothy N Dynamic surface annealing using addressable laser array with pyrometry feedback
US7548364B2 (en) 2006-07-31 2009-06-16 Applied Materials, Inc. Ultra-fast beam dithering with surface acoustic wave modulator
US20080025354A1 (en) * 2006-07-31 2008-01-31 Dean Jennings Ultra-Fast Beam Dithering with Surface Acoustic Wave Modulator
US20090152247A1 (en) * 2006-08-23 2009-06-18 Dean Jennings Fast axis beam profile shaping for high power laser diode based annealing system
US20080210671A1 (en) * 2006-08-23 2008-09-04 Applied Maerials, Inc. Fast axis beam profile shaping by collimation lenslets for high power laser diode based annealing system
US7674999B2 (en) 2006-08-23 2010-03-09 Applied Materials, Inc. Fast axis beam profile shaping by collimation lenslets for high power laser diode based annealing system
US8288683B2 (en) 2006-08-23 2012-10-16 Applied Materials, Inc. Fast axis beam profile shaping for high power laser diode based annealing system
US20080057681A1 (en) * 2006-08-31 2008-03-06 Vijay Parihar Dynamic surface annealing of implanted dopants with low temperature hdpcvd process for depositing a high extinction coefficient optical absorber layer
US7588990B2 (en) 2006-08-31 2009-09-15 Applied Materials, Inc. Dynamic surface annealing of implanted dopants with low temperature HDPCVD process for depositing a high extinction coefficient optical absorber layer
US20080108210A1 (en) * 2006-11-03 2008-05-08 Vijay Parihar Low temperature process for depositing a high extinction coefficient non-peeling optical absorber for a scanning laser surface anneal of implanted dopants
US20110223773A1 (en) * 2006-11-03 2011-09-15 Applied Materials, Inc. Low temperature process for depositing a high extinction coefficient non-peeling optical absorber for a scanning laser surface anneal of implanted dopants
US20080108209A1 (en) * 2006-11-03 2008-05-08 Applied Materials, Inc. Method of forming pn junctions including a post-ion implant dynamic surface anneal process with minimum interface trap density at the gate insulator-silicon interface
US7968473B2 (en) * 2006-11-03 2011-06-28 Applied Materials, Inc. Low temperature process for depositing a high extinction coefficient non-peeling optical absorber for a scanning laser surface anneal of implanted dopants
US7659187B2 (en) 2006-11-03 2010-02-09 Applied Materials, Inc. Method of forming PN junctions including a post-ion implant dynamic surface anneal process with minimum interface trap density at the gate insulator-silicon interface
US8338316B2 (en) 2006-11-03 2012-12-25 Applied Materials, Inc. Low temperature process for depositing a high extinction coefficient non-peeling optical absorber for a scanning laser surface anneal of implanted dopants
US20080157452A1 (en) * 2006-11-15 2008-07-03 Mattson Technology Canada, Inc. Systems and methods for supporting a workpiece during heat-treating
US8454356B2 (en) 2006-11-15 2013-06-04 Mattson Technology, Inc. Systems and methods for supporting a workpiece during heat-treating
EP1928013A2 (en) 2006-12-01 2008-06-04 Applied Materials, Inc. Formation and treatment of epitaxial layer containing silicon and carbon
US8232503B2 (en) 2007-06-18 2012-07-31 Applied Materials, Inc. Pyrometer for laser annealing system
EP3330036A1 (en) 2007-06-18 2018-06-06 Applied Materials, Inc. Pyrometer for laser annealing system compatible with amorphous carbon optical absorber layer
US20080308534A1 (en) * 2007-06-18 2008-12-18 Jiping Li Pyrometer for laser annealing system compatible with amorphous carbon optical absorber layer
US7804042B2 (en) 2007-06-18 2010-09-28 Applied Materials, Inc. Pryometer for laser annealing system compatible with amorphous carbon optical absorber layer
US20110006044A1 (en) * 2007-06-18 2011-01-13 Jiping Li Pyrometer for laser annealing system compatible with amorphous carbon optical absorber layer
US9908200B2 (en) 2007-07-31 2018-03-06 Applied Materials, Inc. Apparatus and method of improving beam shaping and beam homogenization
US8148663B2 (en) 2007-07-31 2012-04-03 Applied Materials, Inc. Apparatus and method of improving beam shaping and beam homogenization
US20090032511A1 (en) * 2007-07-31 2009-02-05 Adams Bruce E Apparatus and method of improving beam shaping and beam homogenization
US8829392B2 (en) 2007-07-31 2014-09-09 Applied Materials, Inc. Apparatus and method of improving beam shaping and beam homogenization
US20090042352A1 (en) * 2007-08-08 2009-02-12 Applied Materials, Inc. Gate interface relaxation anneal method for wafer processing with post-implant dynamic surface annealing
US7575986B2 (en) 2007-08-08 2009-08-18 Applied Materials, Inc. Gate interface relaxation anneal method for wafer processing with post-implant dynamic surface annealing
US8674257B2 (en) 2008-02-11 2014-03-18 Applied Materials, Inc. Automatic focus and emissivity measurements for a substrate system
US20090261078A1 (en) * 2008-04-16 2009-10-22 Applied Materials, Inc. Radiant anneal throughput optimization and thermal history minimization by interlacing
US8319149B2 (en) * 2008-04-16 2012-11-27 Applied Materials, Inc. Radiant anneal throughput optimization and thermal history minimization by interlacing
TWI489554B (en) * 2008-05-02 2015-06-21 Applied Materials Inc Suitably short wavelength light for laser annealing of silicon in dsa type systems
US20090275215A1 (en) * 2008-05-02 2009-11-05 Stephen Moffatt Suitably short wavelength light for laser annealing of silicon in dsa type systems
US7947584B2 (en) * 2008-05-02 2011-05-24 Applied Materials, Inc. Suitably short wavelength light for laser annealing of silicon in DSA type systems
US20110204045A1 (en) * 2008-05-02 2011-08-25 Applied Materials, Inc. Suitably short wavelength light for laser annealing of silicon in dsa type systems
US8405175B2 (en) * 2008-05-02 2013-03-26 Applied Materials, Inc. Suitably short wavelength light for laser annealing of silicon in DSA type systems
US9070590B2 (en) 2008-05-16 2015-06-30 Mattson Technology, Inc. Workpiece breakage prevention method and apparatus
US20100304527A1 (en) * 2009-03-03 2010-12-02 Peter Borden Methods of thermal processing a solar cell
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9230800B2 (en) 2010-04-15 2016-01-05 Novellus Systems, Inc. Plasma activated conformal film deposition
US10361076B2 (en) 2010-04-15 2019-07-23 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US10559468B2 (en) 2010-04-15 2020-02-11 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US8999859B2 (en) 2010-04-15 2015-04-07 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US10043657B2 (en) 2010-04-15 2018-08-07 Lam Research Corporation Plasma assisted atomic layer deposition metal oxide for patterning applications
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US10043655B2 (en) 2010-04-15 2018-08-07 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9570274B2 (en) 2010-04-15 2017-02-14 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US11011379B2 (en) 2010-04-15 2021-05-18 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US11133180B2 (en) 2010-04-15 2021-09-28 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9570290B2 (en) 2010-04-15 2017-02-14 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9355886B2 (en) 2010-04-15 2016-05-31 Novellus Systems, Inc. Conformal film deposition for gapfill
US9793110B2 (en) 2010-04-15 2017-10-17 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9673041B2 (en) 2010-04-15 2017-06-06 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for patterning applications
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US8399808B2 (en) 2010-10-22 2013-03-19 Ultratech, Inc. Systems and methods for forming a time-averaged line image
US8822353B2 (en) 2010-10-22 2014-09-02 Ultratech, Inc. Systems and methods for forming a time-averaged line image
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US9302348B2 (en) 2011-06-07 2016-04-05 Ultratech Inc. Ultrafast laser annealing with reduced pattern density effects in integrated circuit fabrication
US8309474B1 (en) 2011-06-07 2012-11-13 Ultratech, Inc. Ultrafast laser annealing with reduced pattern density effects in integrated circuit fabrication
US9070555B2 (en) 2012-01-20 2015-06-30 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US9670579B2 (en) 2012-01-20 2017-06-06 Novellus Systems, Inc. Method for depositing a chlorine-free conformal SiN film
US8546805B2 (en) 2012-01-27 2013-10-01 Ultratech, Inc. Two-beam laser annealing with improved temperature performance
US8728955B2 (en) 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
US8501638B1 (en) 2012-04-27 2013-08-06 Ultratech, Inc. Laser annealing scanning methods with reduced annealing non-uniformities
US8865603B2 (en) 2012-06-11 2014-10-21 Ultratech, Inc. Laser annealing systems and methods with ultra-short dwell times
US9558973B2 (en) 2012-06-11 2017-01-31 Ultratech, Inc. Laser annealing systems and methods with ultra-short dwell times
US9490128B2 (en) 2012-08-27 2016-11-08 Ultratech, Inc. Non-melt thin-wafer laser thermal annealing methods
US9355839B2 (en) 2012-10-23 2016-05-31 Lam Research Corporation Sub-saturated atomic layer deposition and conformal film deposition
US10008428B2 (en) 2012-11-08 2018-06-26 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
US9287113B2 (en) 2012-11-08 2016-03-15 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
US10741458B2 (en) 2012-11-08 2020-08-11 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
US9786570B2 (en) 2012-11-08 2017-10-10 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
US9177860B2 (en) * 2012-12-03 2015-11-03 Solarworld Innovations Gmbh Method for processing at least one crystalline silicon-wafer with a thermal budget or a solar-cell wafer with a thermal budget by a laser beam
US20140154831A1 (en) * 2012-12-03 2014-06-05 Solarworld Innovations Gmbh Method and Device for processing at least one crystalline Silicon-wafer or a Solar-cell wafer
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9905423B2 (en) 2013-11-07 2018-02-27 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US10192742B2 (en) 2013-11-07 2019-01-29 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9343307B2 (en) 2013-12-24 2016-05-17 Ultratech, Inc. Laser spike annealing using fiber lasers
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US11780027B2 (en) * 2014-04-30 2023-10-10 The Boeing Company Multiple laser beam processing
US10239155B1 (en) * 2014-04-30 2019-03-26 The Boeing Company Multiple laser beam processing
US11510290B2 (en) * 2014-05-16 2022-11-22 Illinois Tool Works Inc. Induction heating system
US9638922B2 (en) 2014-07-29 2017-05-02 Ultratech, Inc. High-efficiency line-forming optical systems and methods
US9411163B2 (en) 2014-07-29 2016-08-09 Ultratech, Inc. High-efficiency line-forming optical systems and methods
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9711361B2 (en) * 2014-11-24 2017-07-18 Ultratech, Inc. High-efficiency line-forming optical systems and methods for defect annealing and dopant activation
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9613815B2 (en) 2014-11-24 2017-04-04 Ultratech, Inc. High-efficiency line-forming optical systems and methods for defect annealing and dopant activation
US20170162392A1 (en) * 2014-11-24 2017-06-08 Ultratech, Inc. High-efficiency line-forming optical systems and methods for defect annealing and dopant activation
US9875891B2 (en) 2014-11-24 2018-01-23 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10804099B2 (en) 2014-11-24 2020-10-13 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10083843B2 (en) 2014-12-17 2018-09-25 Ultratech, Inc. Laser annealing systems and methods with ultra-short dwell times
US11646198B2 (en) 2015-03-20 2023-05-09 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US11479856B2 (en) 2015-07-09 2022-10-25 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
RU2633860C1 (en) * 2016-06-24 2017-10-18 Федеральное государственное унитарное предприятие "Всероссийский научно-исследовательский институт автоматики им. Н.Л. Духова" (ФГУП "ВНИИА") Method of laser annealing of non-metallic materials
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10957514B2 (en) 2016-06-30 2021-03-23 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10373806B2 (en) 2016-06-30 2019-08-06 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10679848B2 (en) 2016-07-01 2020-06-09 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US11433483B2 (en) * 2016-11-18 2022-09-06 Ipg Photonics Corporation System and method laser for processing of materials
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
RU2692004C1 (en) * 2018-06-20 2019-06-19 Федеральное Государственное Унитарное Предприятие "Всероссийский Научно-Исследовательский Институт Автоматики Им.Н.Л.Духова" (Фгуп "Внииа") Method for laser annealing of nonmetallic materials

Also Published As

Publication number Publication date
EP1507625A1 (en) 2005-02-23
US20070108166A1 (en) 2007-05-17
US20150053659A1 (en) 2015-02-26
US20050218124A1 (en) 2005-10-06
US7872209B2 (en) 2011-01-18
US20030196996A1 (en) 2003-10-23
TW200405472A (en) 2004-04-01
US8288685B2 (en) 2012-10-16
US20080041831A1 (en) 2008-02-21
US7875829B2 (en) 2011-01-25
TWI223858B (en) 2004-11-11
JP5079207B2 (en) 2012-11-21
US9737959B2 (en) 2017-08-22
US20120205347A1 (en) 2012-08-16
US8178819B2 (en) 2012-05-15
JP2006501636A (en) 2006-01-12
US20070114214A1 (en) 2007-05-24
EP1507625A4 (en) 2008-08-13
WO2003089184A1 (en) 2003-10-30
US8829393B2 (en) 2014-09-09
US20110095007A1 (en) 2011-04-28
AU2003262388A1 (en) 2003-11-03

Similar Documents

Publication Publication Date Title
US6987240B2 (en) Thermal flux processing by scanning
KR101037525B1 (en) Thermal flux processing by scanning electromagnetic radiation
US8674257B2 (en) Automatic focus and emissivity measurements for a substrate system
US8692151B2 (en) Laser beam positioning system
US7910499B2 (en) Autofocus for high power laser diode based annealing system
US8796769B2 (en) Thermal flux annealing influence of buried species
US20080008460A1 (en) System and process for heating semiconductor wafers by optimizing absorption of electromagnetic energy
US20080151951A1 (en) Laser optical system
JP5687249B2 (en) Heat flux processing by scanning

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:JENNINGS, DEAN C.;YAM, MARK;MAYUR, ABHILASH J.;AND OTHERS;REEL/FRAME:013661/0086;SIGNING DATES FROM 20030416 TO 20030507

STCF Information on status: patent grant

Free format text: PATENTED CASE

FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

FPAY Fee payment

Year of fee payment: 12