US6835919B2 - Inductively coupled plasma system - Google Patents

Inductively coupled plasma system Download PDF

Info

Publication number
US6835919B2
US6835919B2 US10/259,393 US25939302A US6835919B2 US 6835919 B2 US6835919 B2 US 6835919B2 US 25939302 A US25939302 A US 25939302A US 6835919 B2 US6835919 B2 US 6835919B2
Authority
US
United States
Prior art keywords
inductively coupled
coupled plasma
plasma apparatus
inductor
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
US10/259,393
Other versions
US20030111963A1 (en
Inventor
Yuri Nikolaevich Tolmachev
Dong-joon Ma
Chang-wook Moon
Hea-young Yoon
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of US20030111963A1 publication Critical patent/US20030111963A1/en
Application granted granted Critical
Publication of US6835919B2 publication Critical patent/US6835919B2/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means

Definitions

  • the present invention relates to an inductively coupled plasma system used in Chemical Vapor Deposition (CVD).
  • CVD Chemical Vapor Deposition
  • Plasma processing of semiconductor work pieces has several advantages, such as low process temperatures and high effectiveness.
  • deposition of SiO 2 can be performed at temperatures below 200° C. with a deposition rate of about 100-500 nm/min using an O 2 plasma source and SiH 4 gas.
  • the plasma source in order to perform deposition on large areas, such as on wafers having a diameter up to 300 mm (11.8 inches), with high uniformity of the coating, the plasma source must have very high productivity and form a plasma having a uniform flux.
  • HDP High Density Plasma sources
  • ICP sources are simple in design, have a wide power and pressure window, and do not require auxiliary magnets for their operation.
  • a flat spiral coil inductor is typically used for high efficiency for deposition and etching.
  • RF power from a coil to a process chamber must be fed through a dielectric window, which is typically made of quartz.
  • the thickness of this window must be sufficient to withstand atmospheric pressure. Typically, this thickness must be several centimeters, and for large-scale equipment, the window must be still thicker.
  • the vacuum side of the dielectric window suffers from sputtering due to high voltages on the coil along with significant capacitive coupling.
  • This plasma source has a cylindrical geometry and must have a dielectric enclosure-plasma-containing vessel. This vessel is usually a cylindrical quartz tube (reactor), which at the same time forms the sidewall of a source vacuum chamber.
  • Balancing of the inductor minimizes plasma potential relative to a grounded surface and hence sputtering of a reactor material.
  • This kind of inductor shows very high effectiveness and radial uniformity when applied for dry etching under pressure of 1 Torr or higher.
  • HDP with cylindrical geometry may lose radial uniformity of gas flow due to an effect of ion pumping that leads to a depletion of neutral species in an axial region. This effect is more pronounced at low pressures and high density of plasma, i.e., high concentration of charged particles.
  • the most significant changes in neutral uniformity can occur in large-area plasma sources.
  • an inductor In most applications using ICP sources, an inductor is located outside a vacuum chamber. However, positioning of the inductor outside the chamber has several disadvantages. One, it requires large, complex dielectric vacuum vessels for a helical inductor or large area dielectric ports in a case of a flat spiral inductor. Two, an external inductor is not compatible with an Ultra High Vacuum (UHV) design.
  • UHV Ultra High Vacuum
  • the ratio of the conductive portion of the chamber to a wafer susceptor is typically too small. Further, scaling up of the system is difficult.
  • An HDP source can be used for a Radical-Assisted Sequential (RAS) CVD process.
  • RASCVD Radical-Assisted Sequential
  • ALD Atomic Layer Deposition
  • RASCVD differs from ALD, however, in that one of the precursors is a radical but not a stable compound. This method results in a monolayer controllable deposition with perfect thickness uniformity. However, if one of the precursors, namely the stable compound, has a low sticking probability, this process is not very effective.
  • an inductively coupled plasma apparatus preferably including a process chamber, a top plasma source chamber, a reactor, an inductor, an opening, and a shutter.
  • the process chamber has a wafer susceptor on which a substrate is installed.
  • the top plasma source chamber is preferably installed on the process chamber.
  • the reactor which is installed in the top plasma source chamber, preferably has a channel through which a gas flows and supplies plasma reaction products to the process chamber.
  • the inductor having two ends, is preferably installed between the top plasma source chamber and the reactor and is preferably wound around the reactor.
  • the opening is preferably positioned within a circumferential space, in which the inductor is installed, between the reactor and the process chamber.
  • the shutter is operable to open and close the opening.
  • the reactor includes an inner cylinder, an outer cylinder, and an annular channel, wherein the outer cylinder surrounds the inner cylinder.
  • the annular channel is positioned between the inner cylinder and the outer cylinder. It is preferable that a top of the annular channel is connected to a gas manifold outside the top plasma source chamber.
  • a bottom of the inner cylinder preferably narrows so that a bottom of the annular channel between the inner cylinder and the outer cylinder is changed into a circular shape.
  • a gas distributing plate having a plurality of orifices is installed in the annular channel. More specifically, the gas distributing plate may include a plurality of gas distributing plates that are spaced apart from each other in the annular channel.
  • the wafer susceptor is electrically floating and supported by a ceramic vacuum break in the process chamber.
  • a purge inert gas is supplied to the circumferential space in which the inductor is installed.
  • a length of the inductor is equal to m ⁇ 1 ⁇ 4 wavelengths of an applied high frequency electromagnetic field, where m is an integer.
  • high frequency power is supplied to a turn of the inductor between the ends of the inductor, and that the two ends of the inductor are either grounded or floating.
  • a high frequency electromagnetic field and low frequency electromagnetic field are pulsed (i.e., turned on and off) either periodically or according to a given sequence.
  • a plurality of bipolar pulses of a DC voltage be applied to the substrate.
  • the pulses of the electromagnetic field are synchronized with a series of discrete supplies of a first and a second gas, and whereby the first and the second gases are supplied sequentially to provide a modified radical-assisted sequential deposition process.
  • FIG. 1 illustrates a cross-sectional side view of an inductively coupled plasma (ICP) apparatus according to a preferred embodiment of the present invention
  • FIG. 2A illustrates a schematic view of a top plasma source chamber in the ICP apparatus shown in FIG. 1;
  • FIG. 2B illustrates a cross-sectional view of the top plasma source chamber in the ICP apparatus shown in FIG. 1;
  • FIG. 3 illustrates a plan view of a gas distributing plate installed in the annular channel of the ICP apparatus shown in FIG. 1;
  • FIG. 4 is a flowchart of a modified radical-assisted sequential (RAS) deposition process according to an embodiment of the present invention.
  • RAS radical-assisted sequential
  • FIG. 1 shows a cross-sectional side view of an inductively coupled plasma (ICP) apparatus according to a preferred embodiment of the present invention.
  • the ICP apparatus includes a top plasma source chamber 1 and a process chamber 2 .
  • a plasma source is positioned inside the top plasma source chamber 1 .
  • the plasma source includes a helical inductor coil 4 having two ends and a plasma reactor 3 .
  • the plasma reactor 3 is connected to a gas manifold 20 for supplying O 2 , N 2 , Ar, or the like by means of a nut and a bellows 12 .
  • An RF power source for exciting plasma in the plasma reactor 3 is applied to a turn of the inductor coil 4 via an RF cable 11 and an RF feed through (not shown).
  • the two ends of the inductor coil 4 are grounded, although in an alternate embodiment the two ends may be floating.
  • the total length of the inductor coil 4 is equal to an integer number of 1 ⁇ 4-wavelengths of an RF electromagnetic field.
  • the inductor coil 4 should have resonant length because, for large-scale systems, it is difficult to make an inductor wire short enough to eliminate current and voltage deviation along the inductor coil 4 . Therefore, it is preferable to tune the length for resonance.
  • the purpose of the plasma source is to generate a first reagent flux of radicals while a gas or gas mixture chosen from the group of O 2 , N 2 , C 2 F 6 , Ar, He, and the like is passing through the plasma reactor 3 .
  • the bottom end of the plasma reactor 3 is open, thus providing liquid flow communication of plasma products into the process chamber 2 .
  • a second reagent in accordance with a remote plasma principle, is preferably supplied directly into the process chamber 2 without being subjected to decomposition into a plasma.
  • This second reagent e.g., SiH 4
  • This second reagent being mixed with an inert gas is supplied through a gas injection ring 5 that has a number of orifices.
  • the gas injection ring 5 provides an azimuthally uniform distribution of gas flow and prevents back streaming of reaction products into the gas manifold 20 .
  • a substrate is preferably placed on a wafer susceptor, which further includes an assembly of a hot plate 6 , a bellows 7 , and a ceramic vacuum break 8 .
  • the hot plate 6 is preferably moveable to enable adjustment of the distance between the substrate and the gas injection ring 5 for better control of the radial uniformity of the coating.
  • the ceramic vacuum break 8 insulates the wafer susceptor from the process chamber 2 in order to allow the substrate to have a floating potential.
  • An exhaust port 10 is provided for exhausting reaction products.
  • the plasma reactor 3 preferably has an annular inner channel 33 , which is shown in FIG. 2 B.
  • the plasma reactor 3 is preferably made of two dielectric cylinders 31 and 32 having different diameters.
  • the inner cylinder 31 is preferably closed at the bottom and open at the top, while outer cylinder 32 is preferably open at the bottom and closed at the top, thereby forming annular inner channel 33 for excitation and transportation of plasma 14 .
  • the cross-section of the annular inner channel 33 gradually changes from annular to circular from top to bottom.
  • a bottom circumference 18 of FIG. 2A of the inner cylinder 31 acts as a baffle for changing the flow of charged and neutral particles in an axial part of the plasma source.
  • Such a preferred embodiment of the reactor offers several advantages over conventional approaches.
  • the circumferential space between the reactor 3 and the wall of a plasma source chamber 15 is preferably used for the installation of the inductor coil 4 .
  • exciting the discharge in this space is possible and sputtering of the inductor coil 4 may occur.
  • process pressures as low as 1-10 mTorr are desirable in many cases.
  • This sputtering problem is preferably solved by introducing a shutter 9 , as shown in FIGS. 1 and 2A.
  • the shutter 9 is positioned between the plasma source chamber 1 and the process chamber 2 to open (shown in FIG. 1) or close (shown in FIG. 2A) an opening 19 .
  • the shutter 9 When the shutter 9 is opened, the entire volume of the plasma source between the plasma source chamber 1 and the plasma reactor 3 is evacuated. When the shutter 9 is closed, a flow of inert gas passes through the gap between the plasma reactor 3 and the wall of the plasma source chamber 15 , thus providing a pressure that is sufficiently high to eliminate sputtering of the inductor coil 4 while the pressure in the plasma reactor 3 is the same as in the process chamber 2 . The shutter 9 also prevents back streaming of the reaction products to the proximity of the inductor coil 4 .
  • azimuthal uniformity of gas flow of the plasma in the plasma source chamber 1 is provided by means of two gas distributing plates 13 .
  • the gas distributing plates 13 are spaced apart from each other in the annular inner channel 33 of the plasma reactor 3 and a schematic configuration thereof is shown in FIG. 3 .
  • FIG. 3 illustrates an annular plate 13 having a number of symmetrically distributed orifices 17 .
  • the gas distributing plates 13 along with the shutter 9 provide a pressure distribution that prevents back streaming of the reaction products into the plasma source and the gas manifold 20 .
  • the relationship of the various pressures is P ⁇ P 2 ⁇ P 1 ⁇ P 3 , where P is a pressure in the process chamber 2 and P 3 is a pressure in the inductor area.
  • P is a pressure in the process chamber 2
  • P 3 is a pressure in the inductor area.
  • This auxiliary power supply must provide either a low frequency voltage or plurality of bipolar direct current (DC) pulses at a voltage sufficient to perform controlled excitation and ionization of the gas in the proximity of the wafer. In most cases, this greatly facilitates the deposition process over conventional remote plasma CVDs, which are too slow.
  • DC direct current
  • high power pulses are preferably synchronized with a series of discrete supplies of one reagent supply (e.g., O 2 ) and low power pulses are preferably synchronized with a series of discrete supplies of the other reagent (e.g., SiH 4 ).
  • one reagent supply e.g., O 2
  • low power pulses are preferably synchronized with a series of discrete supplies of the other reagent (e.g., SiH 4 ).
  • FIG. 4 is a flowchart of a modified radical assisted sequential deposition process according to an embodiment of the present invention. This process differs from a conventional method in that it presents a repeated sequence of supplying an excited molecular precursor, purging the precursor, supplying radical particles under a high frequency power pulse, and then purging the radical particles.

Abstract

An inductively coupled plasma apparatus is provided, wherein the inductively coupled plasma apparatus includes a process chamber having a wafer susceptor on which a substrate is installed, a top plasma source chamber which is installed on the process chamber, a reactor, which is installed in the top plasma source chamber, having a channel through which a gas flows, wherein the reactor supplies plasma reaction products to the process chamber, an inductor, having two ends, is installed between the top plasma source chamber and the reactor and is wound around the reactor, an opening which is positioned within a circumferential space in which the inductor is installed between the reactor and the process chamber, and a shutter operable to open and close the opening. Thus, a uniform radial distribution of radicals emanating from a plasma source can be improved.

Description

BACKGROUND OF THE INVENTION
1. Field of the Invention
The present invention relates to an inductively coupled plasma system used in Chemical Vapor Deposition (CVD).
2. Description of the Related Art
Plasma processing of semiconductor work pieces has several advantages, such as low process temperatures and high effectiveness. For example, deposition of SiO2 can be performed at temperatures below 200° C. with a deposition rate of about 100-500 nm/min using an O2 plasma source and SiH4 gas. However, in order to perform deposition on large areas, such as on wafers having a diameter up to 300 mm (11.8 inches), with high uniformity of the coating, the plasma source must have very high productivity and form a plasma having a uniform flux. These requirements are satisfied by several kinds of High Density Plasma sources (HDP), which are available in the art.
Although historically the development of HDP started from Electron Cyclotron Resonance (ECR) plasma, most recent applications are based on Radio Frequency (RF) driven Inductive Coupled Plasma (ICP). ICP sources are simple in design, have a wide power and pressure window, and do not require auxiliary magnets for their operation. A flat spiral coil inductor is typically used for high efficiency for deposition and etching. Disadvantageously, there are some inherent drawbacks with this kind of ICP source. For example, RF power from a coil to a process chamber must be fed through a dielectric window, which is typically made of quartz. The thickness of this window must be sufficient to withstand atmospheric pressure. Typically, this thickness must be several centimeters, and for large-scale equipment, the window must be still thicker. Also, the vacuum side of the dielectric window suffers from sputtering due to high voltages on the coil along with significant capacitive coupling.
A helical resonator is a kind of ICP sources that operates under resonance conditions of a helical inductor coil. Resonance is achieved by adjusting the length L of an inductor wire to a wavelength λ that is associated with a RF electromagnetic field exciting the discharge and is governed by the equation L=(λ/4)*m, where m is an integer. Different m values correspond to different modes of standing waves in the inductor. Whether ends of the coil are electrically grounded or floating (they may be either) determines the different boundary conditions for current and voltage waveform. An RF tap position is usually intermediate, i.e. situated between the coil ends. By varying the boundary conditions and the inductor wire length, the plasma source may be balanced for parasitic capacitive coupling effects. This plasma source has a cylindrical geometry and must have a dielectric enclosure-plasma-containing vessel. This vessel is usually a cylindrical quartz tube (reactor), which at the same time forms the sidewall of a source vacuum chamber.
Balancing of the inductor minimizes plasma potential relative to a grounded surface and hence sputtering of a reactor material. This kind of inductor shows very high effectiveness and radial uniformity when applied for dry etching under pressure of 1 Torr or higher. However, when the pressure is below 10 mTorr, HDP with cylindrical geometry may lose radial uniformity of gas flow due to an effect of ion pumping that leads to a depletion of neutral species in an axial region. This effect is more pronounced at low pressures and high density of plasma, i.e., high concentration of charged particles. The most significant changes in neutral uniformity can occur in large-area plasma sources.
In most applications using ICP sources, an inductor is located outside a vacuum chamber. However, positioning of the inductor outside the chamber has several disadvantages. One, it requires large, complex dielectric vacuum vessels for a helical inductor or large area dielectric ports in a case of a flat spiral inductor. Two, an external inductor is not compatible with an Ultra High Vacuum (UHV) design.
In addition, while it is desirable to have the surface area of a susceptor much smaller than the surface area of the grounded portion in order to control a negative bias voltage on a substrate without applying high RF power, the ratio of the conductive portion of the chamber to a wafer susceptor is typically too small. Further, scaling up of the system is difficult.
An HDP source can be used for a Radical-Assisted Sequential (RAS) CVD process. The idea of RASCVD is similar to Atomic Layer Deposition (ALD) in which two precursors are supplied time-divisionally to the substrate. RASCVD differs from ALD, however, in that one of the precursors is a radical but not a stable compound. This method results in a monolayer controllable deposition with perfect thickness uniformity. However, if one of the precursors, namely the stable compound, has a low sticking probability, this process is not very effective.
SUMMARY OF THE INVENTION
In an effort to solve the above-described problems, it is a feature of an embodiment of the present invention to provide a high-density plasma apparatus, which can form a uniform radial distribution of radicals emanating from a plasma source.
It is another feature of an embodiment of the present invention to provide a high-density plasma apparatus which is capable of eliminating sputtering of an inductor and preventing back streaming of gas products near the inductor.
Accordingly, to provide the above features, according to an embodiment of the present invention, there is provided an inductively coupled plasma apparatus preferably including a process chamber, a top plasma source chamber, a reactor, an inductor, an opening, and a shutter. The process chamber has a wafer susceptor on which a substrate is installed. The top plasma source chamber is preferably installed on the process chamber. The reactor, which is installed in the top plasma source chamber, preferably has a channel through which a gas flows and supplies plasma reaction products to the process chamber. The inductor, having two ends, is preferably installed between the top plasma source chamber and the reactor and is preferably wound around the reactor. The opening is preferably positioned within a circumferential space, in which the inductor is installed, between the reactor and the process chamber. The shutter is operable to open and close the opening.
Preferably, the reactor includes an inner cylinder, an outer cylinder, and an annular channel, wherein the outer cylinder surrounds the inner cylinder. The annular channel is positioned between the inner cylinder and the outer cylinder. It is preferable that a top of the annular channel is connected to a gas manifold outside the top plasma source chamber.
According to an embodiment of the present invention, a bottom of the inner cylinder preferably narrows so that a bottom of the annular channel between the inner cylinder and the outer cylinder is changed into a circular shape. Preferably, a gas distributing plate having a plurality of orifices is installed in the annular channel. More specifically, the gas distributing plate may include a plurality of gas distributing plates that are spaced apart from each other in the annular channel.
Preferably, the wafer susceptor is electrically floating and supported by a ceramic vacuum break in the process chamber.
It is also preferable that a purge inert gas is supplied to the circumferential space in which the inductor is installed. Additionally, it is preferable that a length of the inductor is equal to m×¼ wavelengths of an applied high frequency electromagnetic field, where m is an integer. It is preferable that high frequency power is supplied to a turn of the inductor between the ends of the inductor, and that the two ends of the inductor are either grounded or floating. Further, it is preferable that a high frequency electromagnetic field and low frequency electromagnetic field are pulsed (i.e., turned on and off) either periodically or according to a given sequence.
It is also preferable that a plurality of bipolar pulses of a DC voltage be applied to the substrate. Finally, it is preferable that the pulses of the electromagnetic field are synchronized with a series of discrete supplies of a first and a second gas, and whereby the first and the second gases are supplied sequentially to provide a modified radical-assisted sequential deposition process.
BRIEF DESCRIPTION OF THE DRAWINGS
The above features and advantages of the present invention will become more apparent to those of ordinary skill in the art by describing in detail preferred embodiments thereof with reference to the attached drawings in which:
FIG. 1 illustrates a cross-sectional side view of an inductively coupled plasma (ICP) apparatus according to a preferred embodiment of the present invention;
FIG. 2A illustrates a schematic view of a top plasma source chamber in the ICP apparatus shown in FIG. 1;
FIG. 2B illustrates a cross-sectional view of the top plasma source chamber in the ICP apparatus shown in FIG. 1;
FIG. 3 illustrates a plan view of a gas distributing plate installed in the annular channel of the ICP apparatus shown in FIG. 1; and
FIG. 4 is a flowchart of a modified radical-assisted sequential (RAS) deposition process according to an embodiment of the present invention.
DETAILED DESCRIPTION OF THE INVENTION
Korean Patent Application No. 2001-79314, filed Dec. 14, 2001, and entitled: “Inductively Coupled Plasma System,” is incorporated by reference herein in its entirety.
FIG. 1 shows a cross-sectional side view of an inductively coupled plasma (ICP) apparatus according to a preferred embodiment of the present invention. The ICP apparatus includes a top plasma source chamber 1 and a process chamber 2.
A plasma source is positioned inside the top plasma source chamber 1. The plasma source includes a helical inductor coil 4 having two ends and a plasma reactor 3. The plasma reactor 3 is connected to a gas manifold 20 for supplying O2, N2, Ar, or the like by means of a nut and a bellows 12. An RF power source for exciting plasma in the plasma reactor 3 is applied to a turn of the inductor coil 4 via an RF cable 11 and an RF feed through (not shown). In this embodiment, the two ends of the inductor coil 4 are grounded, although in an alternate embodiment the two ends may be floating. The total length of the inductor coil 4 is equal to an integer number of ¼-wavelengths of an RF electromagnetic field. Under these conditions, a standing wave of voltage and current is formed in the inductor coil 4. It is preferable that the inductor coil 4 should have resonant length because, for large-scale systems, it is difficult to make an inductor wire short enough to eliminate current and voltage deviation along the inductor coil 4. Therefore, it is preferable to tune the length for resonance.
The purpose of the plasma source is to generate a first reagent flux of radicals while a gas or gas mixture chosen from the group of O2, N2, C2F6, Ar, He, and the like is passing through the plasma reactor 3.
The bottom end of the plasma reactor 3 is open, thus providing liquid flow communication of plasma products into the process chamber 2. A second reagent, in accordance with a remote plasma principle, is preferably supplied directly into the process chamber 2 without being subjected to decomposition into a plasma. This second reagent, e.g., SiH4, being mixed with an inert gas is supplied through a gas injection ring 5 that has a number of orifices. The gas injection ring 5 provides an azimuthally uniform distribution of gas flow and prevents back streaming of reaction products into the gas manifold 20.
A substrate is preferably placed on a wafer susceptor, which further includes an assembly of a hot plate 6, a bellows 7, and a ceramic vacuum break 8. The hot plate 6 is preferably moveable to enable adjustment of the distance between the substrate and the gas injection ring 5 for better control of the radial uniformity of the coating. The ceramic vacuum break 8 insulates the wafer susceptor from the process chamber 2 in order to allow the substrate to have a floating potential. An exhaust port 10 is provided for exhausting reaction products.
The plasma reactor 3 preferably has an annular inner channel 33, which is shown in FIG. 2B. The plasma reactor 3 is preferably made of two dielectric cylinders 31 and 32 having different diameters. The inner cylinder 31, is preferably closed at the bottom and open at the top, while outer cylinder 32 is preferably open at the bottom and closed at the top, thereby forming annular inner channel 33 for excitation and transportation of plasma 14. Preferably, the cross-section of the annular inner channel 33 gradually changes from annular to circular from top to bottom. A bottom circumference 18 of FIG. 2A of the inner cylinder 31 acts as a baffle for changing the flow of charged and neutral particles in an axial part of the plasma source.
Such a preferred embodiment of the reactor offers several advantages over conventional approaches. First, active plasma volume is minimized, thus increasing specific power deposition. Second, back streaming of the reaction products into the plasma is minimized. Third, uniformity of neutral species velocities are improved. Fourth, neutral depletion effect caused by ion pumping is minimized, thus providing radial uniformity of neutral species at the outlet of the plasma source.
As shown in FIGS. 1, 2A, and 2B, the circumferential space between the reactor 3 and the wall of a plasma source chamber 15 is preferably used for the installation of the inductor coil 4. Under low pressures, exciting the discharge in this space is possible and sputtering of the inductor coil 4 may occur. However, process pressures as low as 1-10 mTorr are desirable in many cases. This sputtering problem is preferably solved by introducing a shutter 9, as shown in FIGS. 1 and 2A. The shutter 9 is positioned between the plasma source chamber 1 and the process chamber 2 to open (shown in FIG. 1) or close (shown in FIG. 2A) an opening 19. When the shutter 9 is opened, the entire volume of the plasma source between the plasma source chamber 1 and the plasma reactor 3 is evacuated. When the shutter 9 is closed, a flow of inert gas passes through the gap between the plasma reactor 3 and the wall of the plasma source chamber 15, thus providing a pressure that is sufficiently high to eliminate sputtering of the inductor coil 4 while the pressure in the plasma reactor 3 is the same as in the process chamber 2. The shutter 9 also prevents back streaming of the reaction products to the proximity of the inductor coil 4.
In the preferred embodiment, azimuthal uniformity of gas flow of the plasma in the plasma source chamber 1 is provided by means of two gas distributing plates 13. The gas distributing plates 13 are spaced apart from each other in the annular inner channel 33 of the plasma reactor 3 and a schematic configuration thereof is shown in FIG. 3. FIG. 3 illustrates an annular plate 13 having a number of symmetrically distributed orifices 17. The gas distributing plates 13 along with the shutter 9 provide a pressure distribution that prevents back streaming of the reaction products into the plasma source and the gas manifold 20.
Referring to FIG. 2A, the relationship of the various pressures is P<P2<P1<P3, where P is a pressure in the process chamber 2 and P3 is a pressure in the inductor area. Since the wafer susceptor in the present embodiment is preferably electrically floating, it may be connected to an auxiliary power supply. This auxiliary power supply must provide either a low frequency voltage or plurality of bipolar direct current (DC) pulses at a voltage sufficient to perform controlled excitation and ionization of the gas in the proximity of the wafer. In most cases, this greatly facilitates the deposition process over conventional remote plasma CVDs, which are too slow. Further, by pulsing of both a high power supply, which is applied to the high-density plasma source, and the low power supply, which is applied to the wafer susceptor, it is possible to provide modified radical-assisted sequential deposition wherein high power pulses are preferably synchronized with a series of discrete supplies of one reagent supply (e.g., O2) and low power pulses are preferably synchronized with a series of discrete supplies of the other reagent (e.g., SiH4).
FIG. 4 is a flowchart of a modified radical assisted sequential deposition process according to an embodiment of the present invention. This process differs from a conventional method in that it presents a repeated sequence of supplying an excited molecular precursor, purging the precursor, supplying radical particles under a high frequency power pulse, and then purging the radical particles.
As described above, actual plasma volume is minimized, and thus specific power deposition is increased. Additionally, back streaming of reaction products into the plasma is minimized, and an improved uniformity of neutral species velocities is provided. Further, a neutral depletion effect caused by ion pumping is minimized, and thus radial uniformity of neutral species is provided at the outlet of a plasma source.
Preferred embodiments of the present invention have been disclosed herein and, although specific terms are employed, they are used and are to be interpreted in a generic and descriptive sense only and not for purpose of limitation. Accordingly, it will be understood by those of ordinary skill in the art that various changes in form and details may be made without departing from the spirit and scope of the present invention as set forth in the following claims.

Claims (22)

What is claimed is:
1. An inductively coupled plasma apparatus comprising:
a process chamber having a wafer susceptor on which a substrate is installed;
a top plasma source chamber which is installed on the process chamber;
a reactor, which is installed in the top plasma source chamber, having a channel through which a gas flows, wherein the reactor supplies plasma reaction products to the process chamber;
an inductor, having two ends, is installed between the top plasma source chamber and the reactor and is wound around the reactor;
an opening positioned within a circumferential space wherein the inductor is installed, the opening selectively providing flow communication between the top plasma source chamber and the process chamber; and
a shutter operable to open and close the opening.
2. The inductively coupled plasma apparatus as claimed in claim 1, wherein the reactor comprises:
an inner cylinder;
an outer cylinder which surrounds the inner cylinder; and
an annular channel which is positioned between the inner cylinder and the outer cylinder,
wherein a top of the annular channel is connected to a gas manifold outside the top plasma source chamber.
3. The inductively coupled plasma apparatus as claimed in claim 2, wherein the bottom of the inner cylinder narrows so that a bottom of the annular channel between the inner cylinder and the outer cylinder is changed into a circular shape.
4. The inductively coupled plasma apparatus as claimed in claim 2, further comprising:
a gas distributing plate having a plurality of orifices, which is installed in the annular channel.
5. The inductively coupled plasma apparatus as claimed in claim 3, further comprising:
a gas distributing plate having a plurality of orifices, which is installed in the annular channel.
6. The inductively coupled plasma apparatus as claimed in claim 2, further comprising a plurality of gas distributing plates spaced apart from each other in the annular channel, each one of the plurality of gas distributing plates having a plurality of orifices.
7. The inductively coupled plasma apparatus as claimed in claim 3, further comprising a plurality of gas distributing plates spaced apart from each other in the annular channel, each one of the plurality of gas distributing plates having a plurality of orifices.
8. The inductively coupled plasma apparatus as claimed in claim 1, wherein the wafer susceptor is electrically floating in the process chamber.
9. The inductively coupled plasma apparatus as claimed in claim 8, wherein the wafer susceptor is supported by a ceramic vacuum break in the process chamber.
10. The inductively coupled plasma apparatus as claimed in claim 2, wherein the wafer susceptor is electrically floating in the process chamber.
11. The inductively coupled plasma apparatus as claimed in claim 10, wherein the wafer susceptor is supported by a ceramic vacuum break in the process chamber.
12. The inductively coupled plasma apparatus as claimed in claim 1, further comprising:
a purge inert gas being supplied to the circumferential space in which the inductor is installed.
13. The inductively coupled plasma apparatus as claimed in claim 1, wherein a length of the inductor is equal to m×¼ wavelengths of a frequency of an applied electromagnetic field, where m is an integer.
14. The inductively coupled plasma apparatus as claimed in claim 13, wherein a high frequency power is supplied to a turn of the inductor between the two ends of the inductor, and wherein the two ends of the inductor are either grounded or floating.
15. The inductively coupled plasma apparatus as claimed in claim 1, wherein a high frequency electromagnetic field and a low frequency electromagnetic field are pulsed periodically according to a given sequence.
16. The inductively coupled plasma apparatus as claimed in claim 13, wherein a high frequency electromagnetic field and low frequency electromagnetic field are pulsed periodically according to a given sequence.
17. The inductively coupled plasma apparatus as claimed in claim 1, wherein a plurality of bipolar pulses of a DC voltage are applied to the substrate via the wafer susceptor.
18. The inductively coupled plasma apparatus as claimed in claim 13, wherein a plurality of bipolar pulses of a DC voltage are applied to the substrate via the wafer susceptor.
19. The inductively coupled plasma apparatus as claimed in claim 15, wherein the pulses of the electromagnetic field are synchronized with a series of discrete supplies of a first and a second gas, whereby the first and the second gases are supplied sequentially to provide a modified radical-assisted sequential deposition process.
20. The inductively coupled plasma apparatus as claimed in claim 16, wherein the pulses of the electromagnetic field are synchronized with a series of discrete supplies of a first and a second gas, whereby the first and the second gases are supplied sequentially to provide a modified radical-assisted sequential deposition process.
21. The inductively coupled plasma apparatus as claimed in claim 17, wherein the pulses of the electromagnetic field are synchronized with a series of discrete supplies of a first and a second gas, whereby the first and the second gases are supplied sequentially to provide a modified radical-assisted sequential deposition process.
22. The inductively coupled plasma apparatus as claimed in claim 18, wherein the pulses of the electromagnetic field are synchronized with a series of discrete supplies of a first and a second gas, whereby the first and the second gases are supplied sequentially to provide a modified radical-assisted sequential deposition process.
US10/259,393 2001-12-14 2002-09-30 Inductively coupled plasma system Expired - Lifetime US6835919B2 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR10-2001-0079314A KR100446619B1 (en) 2001-12-14 2001-12-14 Inductively coupled plasma system
KR2001-79314 2001-12-14

Publications (2)

Publication Number Publication Date
US20030111963A1 US20030111963A1 (en) 2003-06-19
US6835919B2 true US6835919B2 (en) 2004-12-28

Family

ID=19717043

Family Applications (1)

Application Number Title Priority Date Filing Date
US10/259,393 Expired - Lifetime US6835919B2 (en) 2001-12-14 2002-09-30 Inductively coupled plasma system

Country Status (4)

Country Link
US (1) US6835919B2 (en)
JP (1) JP4025636B2 (en)
KR (1) KR100446619B1 (en)
CN (1) CN1248289C (en)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050093460A1 (en) * 2003-11-04 2005-05-05 Samsung Electronics Co., Ltd. Helical resonator type plasma processing apparatus
US20080232424A1 (en) * 2007-03-23 2008-09-25 Honeywell International Inc. Hearth plate including side walls defining a processing volume
US20090139963A1 (en) * 2007-11-30 2009-06-04 Theodoros Panagopoulos Multiple frequency pulsing of multiple coil source to control plasma ion density radial distribution
US20100278999A1 (en) * 2009-05-01 2010-11-04 Tokyo Electron Limited Plasma process apparatus and plasma process method
US20110073564A1 (en) * 2009-09-25 2011-03-31 Applied Materials, Inc. Method and apparatus for high efficiency gas dissociation in inductive couple plasma reactor
US20130125815A1 (en) * 2011-07-26 2013-05-23 National Applied Research Laboratories Plasma enhanced atomic layer deposition system
US9385003B1 (en) * 2015-02-16 2016-07-05 Lam Research Corporation Residue free systems and methods for isotropically etching silicon in tight spaces

Families Citing this family (374)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003033647A (en) * 2001-07-23 2003-02-04 Tokyo Ohka Kogyo Co Ltd Plasma treatment device
US6969953B2 (en) * 2003-06-30 2005-11-29 General Electric Company System and method for inductive coupling of an expanding thermal plasma
JP2005072446A (en) * 2003-08-27 2005-03-17 Chi Mei Electronics Corp Plasma treatment device and substrate surface treatment device
KR100754165B1 (en) * 2003-11-06 2007-09-03 삼성전자주식회사 Liquid crystal display device and projector using the same
JP4567979B2 (en) * 2004-01-15 2010-10-27 キヤノンアネルバ株式会社 Plasma processing system and plasma processing method
KR100725037B1 (en) 2005-01-21 2007-06-07 세메스 주식회사 Apparatus and method for treating semiconductor device with plasma
US7436122B1 (en) * 2005-05-18 2008-10-14 Aerojet-General Corporation Helicon hall thruster
CN100358099C (en) * 2005-08-05 2007-12-26 中微半导体设备(上海)有限公司 Plasma processing device
KR100805557B1 (en) * 2006-04-24 2008-02-20 최대규 Inductively coupled plasma source with multi magnetic core
KR100743842B1 (en) * 2006-04-25 2007-08-01 최대규 Plasma reactor having plasma chamber coupled with magnetic flux channel
US8986456B2 (en) * 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
CN101536154B (en) * 2006-11-09 2010-08-11 株式会社爱发科 Barrier film forming method
KR100857807B1 (en) * 2007-06-21 2008-09-09 주식회사 에스에프에이 Chemical vapor deposition apparatus for flat display
JP4160104B1 (en) * 2007-08-16 2008-10-01 株式会社アルバック Ashing equipment
KR100963291B1 (en) * 2008-02-22 2010-06-11 주식회사 유진테크 Apparatus and method for processing substrate
KR100963287B1 (en) * 2008-02-22 2010-06-11 주식회사 유진테크 Apparatus and method for processing substrate
KR100999583B1 (en) * 2008-02-22 2010-12-08 주식회사 유진테크 Apparatus and method for processing substrate
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10685815B2 (en) * 2009-08-25 2020-06-16 Canon Anelva Corporation Plasma processing apparatus and device manufacturing method
JP5651323B2 (en) * 2009-11-09 2015-01-07 富士機械製造株式会社 Plasma processing apparatus and plasma processing method
JP6060242B2 (en) * 2010-11-30 2017-01-11 株式会社日立国際電気 Substrate processing apparatus, semiconductor device manufacturing method, and baffle structure
JP5837793B2 (en) 2010-11-30 2015-12-24 株式会社日立国際電気 Substrate processing apparatus, semiconductor device manufacturing method, and baffle structure of substrate processing apparatus
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
WO2013028313A1 (en) * 2011-08-19 2013-02-28 Mattson Technology, Inc. High efficiency plasma source
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
CN103094038B (en) 2011-10-27 2017-01-11 松下知识产权经营株式会社 Plasma processing apparatus and plasma processing method
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
JP5830651B2 (en) * 2012-03-02 2015-12-09 パナソニックIpマネジメント株式会社 Plasma processing apparatus and method
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9029253B2 (en) 2012-05-02 2015-05-12 Asm Ip Holding B.V. Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
WO2014050903A1 (en) * 2012-09-27 2014-04-03 Sppテクノロジーズ株式会社 Plasma etching device
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
CN103269557A (en) * 2013-04-28 2013-08-28 大连民族学院 Radio frequency ion source
CN103258581A (en) * 2013-04-28 2013-08-21 大连民族学院 Plasma irradiation platform
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US9155184B2 (en) * 2013-11-18 2015-10-06 Applied Materials, Inc. Plasma generation source employing dielectric conduit assemblies having removable interfaces and related assemblies and methods
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
CN107073392B (en) * 2014-10-06 2020-08-25 北京康肯环保设备有限公司 Exhaust gas treatment device
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (en) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. Method of depositing thin film
KR102263121B1 (en) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. Semiconductor device and manufacuring method thereof
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
CN105714274A (en) * 2016-03-31 2016-06-29 成都西沃克真空科技有限公司 Plasma enhanced chemical vapor deposition equipment and film manufacturing method
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (en) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. Method of forming metal interconnection and method of fabricating semiconductor device using the same
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (en) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (en) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. Storage device for storing wafer cassettes used in batch furnaces
TWI791689B (en) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (en) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 Method for depositing gap filling layer by plasma auxiliary deposition
TW202325889A (en) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 Deposition method
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
JP7124098B2 (en) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
KR20190128558A (en) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TW202349473A (en) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (en) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
KR102435254B1 (en) * 2018-10-30 2022-08-23 베이징 나우라 마이크로일렉트로닉스 이큅먼트 씨오., 엘티디. Induction coil set and reaction chamber
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (en) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming device structure using selective deposition of gallium nitride, and system for the same
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
TW202104632A (en) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
TW202044325A (en) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 Method of filling a recess formed within a surface of a substrate, semiconductor structure formed according to the method, and semiconductor processing apparatus
KR20200102357A (en) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for plug fill deposition in 3-d nand applications
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
KR20200116033A (en) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
KR20200123380A (en) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system including a gas detector
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (en) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 Method of forming topologically controlled amorphous carbon polymer films
TW202113936A (en) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (en) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
KR20210043460A (en) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (en) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
KR20210116249A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. lockout tagout assembly and system and method of using same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
KR20210117157A (en) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. Method for Fabricating Layer Structure Having Target Topological Profile
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
CN113555279A (en) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 Method of forming vanadium nitride-containing layers and structures including the same
KR20210132605A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Vertical batch furnace assembly comprising a cooling gas supply
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210134226A (en) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. Solid source precursor vessel
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
CN113707524B (en) * 2020-05-20 2022-06-10 江苏鲁汶仪器有限公司 Prevent palirrhea air intake structure of plasma
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
KR20220010438A (en) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
TW202212623A (en) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 Method of forming metal silicon oxide layer and metal silicon oxynitride layer, semiconductor structure, and system
EP4200891A1 (en) * 2020-08-28 2023-06-28 Mattson Technology, Inc. Plasma strip tool with movable insert
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
KR20230088869A (en) * 2020-10-23 2023-06-20 램 리써치 코포레이션 Integration of the vapor deposition process into the plasma etch reactor
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
CN114836735B (en) * 2021-02-01 2024-01-19 江苏菲沃泰纳米科技股份有限公司 Plasma coating device and method based on ICP
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
JP2023003828A (en) * 2021-06-24 2023-01-17 東京エレクトロン株式会社 Film deposition apparatus and film deposition method
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5648175A (en) * 1996-02-14 1997-07-15 Applied Materials, Inc. Chemical vapor deposition reactor system and integrated circuit
US5965034A (en) 1995-12-04 1999-10-12 Mc Electronics Co., Ltd. High frequency plasma process wherein the plasma is executed by an inductive structure in which the phase and anti-phase portion of the capacitive currents between the inductive structure and the plasma are balanced
US6015465A (en) * 1998-04-08 2000-01-18 Applied Materials, Inc. Temperature control system for semiconductor process chamber
US6184158B1 (en) 1996-12-23 2001-02-06 Lam Research Corporation Inductively coupled plasma CVD
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6326597B1 (en) * 1999-04-15 2001-12-04 Applied Materials, Inc. Temperature control system for process chamber
US6551447B1 (en) * 1994-11-15 2003-04-22 Mattson Technology, Inc. Inductive plasma reactor

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW283250B (en) * 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
JPH10144668A (en) * 1996-11-14 1998-05-29 Tokyo Electron Ltd Plasma treating method
US6178920B1 (en) * 1997-06-05 2001-01-30 Applied Materials, Inc. Plasma reactor with internal inductive antenna capable of generating helicon wave
US6251242B1 (en) * 2000-01-21 2001-06-26 Applied Materials, Inc. Magnetron and target producing an extended plasma region in a sputter reactor

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6551447B1 (en) * 1994-11-15 2003-04-22 Mattson Technology, Inc. Inductive plasma reactor
US5965034A (en) 1995-12-04 1999-10-12 Mc Electronics Co., Ltd. High frequency plasma process wherein the plasma is executed by an inductive structure in which the phase and anti-phase portion of the capacitive currents between the inductive structure and the plasma are balanced
US5648175A (en) * 1996-02-14 1997-07-15 Applied Materials, Inc. Chemical vapor deposition reactor system and integrated circuit
US6184158B1 (en) 1996-12-23 2001-02-06 Lam Research Corporation Inductively coupled plasma CVD
US6015465A (en) * 1998-04-08 2000-01-18 Applied Materials, Inc. Temperature control system for semiconductor process chamber
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6326597B1 (en) * 1999-04-15 2001-12-04 Applied Materials, Inc. Temperature control system for process chamber

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
G.K. Vinogradov, Transmission line balanced inductive plasma sources, Plasma Sources Sci. Techn. 9 2000, 400-412.
G.R. Tynan, Neutral depletion and transport mechanisms in large-area high density plasma sources, J. Appl. Phys. 86 1999, 5356.

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050093460A1 (en) * 2003-11-04 2005-05-05 Samsung Electronics Co., Ltd. Helical resonator type plasma processing apparatus
US20080232424A1 (en) * 2007-03-23 2008-09-25 Honeywell International Inc. Hearth plate including side walls defining a processing volume
US20090139963A1 (en) * 2007-11-30 2009-06-04 Theodoros Panagopoulos Multiple frequency pulsing of multiple coil source to control plasma ion density radial distribution
US20100278999A1 (en) * 2009-05-01 2010-11-04 Tokyo Electron Limited Plasma process apparatus and plasma process method
US8683943B2 (en) * 2009-05-01 2014-04-01 Tokyo Electron Limited Plasma process apparatus and plasma process method
US9447926B2 (en) 2009-05-01 2016-09-20 Tokyo Electron Limited Plasma process method
US20110073564A1 (en) * 2009-09-25 2011-03-31 Applied Materials, Inc. Method and apparatus for high efficiency gas dissociation in inductive couple plasma reactor
US8753474B2 (en) * 2009-09-25 2014-06-17 Applied Materials, Inc. Method and apparatus for high efficiency gas dissociation in inductive couple plasma reactor
US9070633B2 (en) 2009-09-25 2015-06-30 Applied Materials, Inc. Method and apparatus for high efficiency gas dissociation in inductive coupled plasma reactor
US20130125815A1 (en) * 2011-07-26 2013-05-23 National Applied Research Laboratories Plasma enhanced atomic layer deposition system
US9404181B2 (en) * 2011-07-26 2016-08-02 National Applied Research Laboratories Plasma enhanced atomic layer deposition system
US9385003B1 (en) * 2015-02-16 2016-07-05 Lam Research Corporation Residue free systems and methods for isotropically etching silicon in tight spaces

Also Published As

Publication number Publication date
KR100446619B1 (en) 2004-09-04
US20030111963A1 (en) 2003-06-19
CN1426090A (en) 2003-06-25
JP4025636B2 (en) 2007-12-26
CN1248289C (en) 2006-03-29
KR20030049175A (en) 2003-06-25
JP2003249493A (en) 2003-09-05

Similar Documents

Publication Publication Date Title
US6835919B2 (en) Inductively coupled plasma system
US9051647B2 (en) Tunable multi-zone gas injection system
US9574270B2 (en) Plasma processing apparatus
US7785417B2 (en) Gas injection system for plasma processing
US6851384B2 (en) Remote plasma apparatus for processing substrate with two types of gases
US5976308A (en) High density plasma CVD and etching reactor
US6364995B1 (en) Dome-shaped inductive coupling wall having a plurality of radii for an inductively coupled plasma reactor
US6727654B2 (en) Plasma processing apparatus
US20060096540A1 (en) Apparatus to manufacture semiconductor
US20050093460A1 (en) Helical resonator type plasma processing apparatus
US6887341B2 (en) Plasma processing apparatus for spatial control of dissociation and ionization
US20140283746A1 (en) Liner assembly and substrate processing apparatus having the same
US20110008550A1 (en) Atomic layer growing apparatus and thin film forming method
WO1999049705A1 (en) Plasma processing apparatus
JP3907087B2 (en) Plasma processing equipment
US6016765A (en) Plasma processing apparatus
US6675737B2 (en) Plasma processing apparatus
KR20050043582A (en) Processing apparatus and method
US10381238B2 (en) Process for performing self-limited etching of organic materials
JP2005135801A5 (en)
US20230207292A1 (en) Plasma processing apparatus and semiconductor device manufacturing method

Legal Events

Date Code Title Description
FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

STCF Information on status: patent grant

Free format text: PATENTED CASE

FPAY Fee payment

Year of fee payment: 4

FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Free format text: PAYER NUMBER DE-ASSIGNED (ORIGINAL EVENT CODE: RMPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

FPAY Fee payment

Year of fee payment: 8

FPAY Fee payment

Year of fee payment: 12