US6745095B1 - Detection of process endpoint through monitoring fluctuation of output data - Google Patents

Detection of process endpoint through monitoring fluctuation of output data Download PDF

Info

Publication number
US6745095B1
US6745095B1 US09/686,656 US68665600A US6745095B1 US 6745095 B1 US6745095 B1 US 6745095B1 US 68665600 A US68665600 A US 68665600A US 6745095 B1 US6745095 B1 US 6745095B1
Authority
US
United States
Prior art keywords
plasma
output
value
chamber
fluctuation
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related, expires
Application number
US09/686,656
Inventor
Yuval Ben-Dov
Moshe Sarfaty
Alexander Viktorovich Garachtchenko
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US09/686,656 priority Critical patent/US6745095B1/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GARACHTCHENKO, ALEXANDER VIKTOROVICH, BEN-DOV, YUVAL, SARFATY, MOSHE
Priority to TW090119558A priority patent/TW498475B/en
Priority to PCT/US2001/030698 priority patent/WO2002029884A2/en
Application granted granted Critical
Publication of US6745095B1 publication Critical patent/US6745095B1/en
Adjusted expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement

Definitions

  • a dielectric layer is deposited over a first metal layer, a via hole is etched in the dielectric layer to expose the first metal layer, the via hole is filled with a metal plug and a second metal layer is deposited over the metal plug (e.g., forming an interconnect between the first and the second metal layers).
  • all dielectric material within the via hole must be etched from the top surface of the first metal layer prior to formation of the metal plug thereon; otherwise, residual high-resistivity dielectric material within the via hole significantly degrades the contact resistance of the interconnect. Similar process control is required during the etching of metal layers (e.g., Al, Cu, Pt, etc.), polysilicon layers and the like.
  • metal layers e.g., Al, Cu, Pt, etc.
  • an etch process may be continued for a time greater than a predicted time for etching the material layer (i.e., for an over-etch time).
  • Etching for an over-etch time ensures that all material to be removed is removed despite device variations that increase the required etch time and despite process/process chamber variations which slow etch rate (and thus increase the required etch time).
  • over-etch times ensure complete etching
  • over-etching raises a number of issues. Overetching increases the time required to process each semiconductor wafer, and thus decreases wafer throughput. Moreover, the drive for higher performance integrated circuits requires each generation of semiconductor devices to have finer dimensional tolerances, making over-etching increasingly undesirable. Overetching also prolongs exposure of the wafer to a plasma environment, affecting the heat budget of the process, generating additional particles that could contaminate the wafer, and consuming expensive process materials.
  • a more attractive solution is an in situ monitoring technique that more accurately identifies significant processing events such as etch endpoint, chamber clean endpoint, and chamber seasoning.
  • conventional monitoring techniques do not track progress of a semiconductor fabrication process accurately enough to reduce over-etch or other over-processing times required to compensate for both process/process chamber variations and device variations (e.g., material layer thickness variations, etch property variations, etc.).
  • previous attempts to correlate fluctuation in output data with process events have considered changes in output data over time periods on the order of seconds.
  • Embodiments of the present invention allow progress of a semiconductor fabrication process to be monitored by detecting fluctuations in output from the process over an extremely short time period of 10 milliseconds or less.
  • endpoint of a plasma chamber cleaning process may be detected by measuring optical emissions from a plasma chamber at a rate of>1 kHz, and then calculating standard deviation in optical emissions based upon a local time period of one second or less. Endpoint of the chamber cleaning process is indicated when standard deviation of optical emission attains a steady-state minimum value.
  • endpoint of a plasma chamber cleaning process may be determined by performing a Fast Fourier Transformation (FFT) to resolve the emission output data into frequency and amplitude components, and then identifying the point at which total power of optical emissions taken over all relevant frequencies attains a steady state.
  • FFT Fast Fourier Transformation
  • endpoint of a plasma chamber cleaning process may be determined by monitoring fluctuations in optical emissions of a plasma cell positioned downstream from the plasma chamber and receiving exhaust from the plasma chamber.
  • the invention is not limited to this particular application.
  • the progress of a process could also be monitored by detecting fluctuation of other types of output signals, including but not limited to RF power fluctuations, temperature fluctuations, pressure fluctuations, and fluctuations in readings of a mass-spectrometer receiving by-products of the process.
  • One embodiment of a method in accordance with the present invention comprises measuring a value of an output from the semiconductor fabrication process, characterizing a fluctuation in the value of the output over a time period of 10 milliseconds or less, and correlating the fluctuation to an event of the semiconductor fabrication process.
  • One embodiment of an apparatus for processing a substrate in accordance with the present invention comprises a substrate processing chamber; and a sensor operatively coupled to said substrate processing chamber to detect a value of an output from the chamber.
  • a computer processor is operatively coupled to said sensor; and a memory is coupled to said computer processor.
  • the memory stores a computer program in computer readable format including computer instructions to permit said processor to measure a value of an output from the process, and characterize a fluctuation in the value of the output over a time period of 10 milliseconds or less.
  • FIGS. 1A-C are schematic diagrams of a plasma processing system employing an inventive process monitoring system in accordance with embodiments of the present invention.
  • FIG. 1D is a block diagram of an embodiment of an analog processor for use in a method of monitoring a process in accordance with the present invention.
  • FIG. 1E illustrates analog determination of output fluctuation in accordance with one embodiment of the present invention.
  • FIG. 1F is a block diagram of an embodiment of a digital processor for use in a method of monitoring a process in accordance with the present invention.
  • FIG. 2 is a representative graph of an optical emission intensity signal generated in FIG. 1 A.
  • FIG. 2A is an enlargement of a portion of the optical emission intensity signal shown in FIG. 2 .
  • FIG. 3 is a top plan view of an automated tool for fabricating semiconductor devices in accordance with one embodiment of the present invention.
  • FIG. 4A plots intensity of a photodiode signal versus time for an in-situ plasma chamber cleaning process.
  • FIG. 4B is a blown-up view of FIG. 4A at 25 ⁇ 0.01 sec. into the cleaning process.
  • FIG. 4C is a blown-up view of FIG. 4A at 55 ⁇ 0.01 sec. into the cleaning process.
  • FIG. 5 plots standard deviation of intensity of a photodiode signal versus time for a chamber cleaning process performed on a clean chamber, a second chamber bearing a thin deposited layer, a third chamber bearing a deposited layer of intermediate thickness, and a fourth chamber bearing a deposited layer of the greatest thickness.
  • FIG. 6A plots a contour image of a frequency spectrum of plasma intensity versus elapsed time, for a chamber cleaning process.
  • FIGS. 6B and 6C each plot the amplitude component of the photodiode signal versus the frequency component at a narrow time interval during the process of FIG. 6 A.
  • FIG. 6D plots total power of the photodiode signal over a frequency range versus time of the process of FIG. 6 A.
  • FIG. 7 shows a remote plasma monitoring cell receiving exhaust from a plasma chamber.
  • FIG. 8 plots standard deviation in detected optical emission versus time for plasma generated at the exhaust line of the apparatus of FIG. 7 .
  • FIG. 9 is a schematic diagram of the plasma processing system of FIGS. 1A-C adapted to monitor plasma processing by detecting fluctuation in RF power.
  • FIG. 1A is a schematic diagram of a processing system 100 comprising a conventional plasma system 102 (for example, a plasma etching system or plasma enhanced chemical vapor deposition system) and one embodiment of an inventive process monitoring system 104 in accordance with the present invention coupled thereto.
  • a conventional plasma system 102 for example, a plasma etching system or plasma enhanced chemical vapor deposition system
  • an inventive process monitoring system 104 in accordance with the present invention coupled thereto.
  • “coupled” means coupled directly or indirectly so as to operate.
  • the conventional plasma system 102 comprises a plasma chamber 106 coupled to a plasma system controller 108 via a recipe control port 110 and via a first control bus 112 .
  • a singular interface e.g., the recipe control port 110
  • the plasma system controller 108 may interface the various mass flow controllers, RF generators, temperature controllers, etc., associated with the plasma chamber 106 via a plurality of interfaces (not shown).
  • the plasma chamber 106 comprises a viewport 114 for outputting electromagnetic emissions from a plasma 118 sustained within the plasma chamber 106 (described below). While the viewport 114 is shown positioned on the side of the plasma chamber 106 , it will be understood that the viewport 114 may be positioned at any other location (e.g., on the top or bottom of the chamber 106 ).
  • the inventive process monitoring system 104 comprises a detector 120 coupled to a processing mechanism (e.g., a processor 122 ) through a pre-amplifier 129 .
  • a processing mechanism e.g., a processor 122
  • Processor 122 operates by executing computer instructions stored in a memory 123 .
  • the processor 122 is coupled to the plasma system controller 108 via a second control bus 134 .
  • Detector 120 is positioned to collect the electromagnetic emissions 116 from the plasma 118 and may comprise a broadband photodiode such as a silicon photodiode.
  • the detector 120 alternatively may comprise a monochrometer 126 coupled to a photomultiplier tube 128 (FIG. 1B) for detecting electromagnetic emissions from specific chemical species as described below.
  • a lens 130 and a fiber optic cable 132 also may be disposed between the viewport 114 and the detector 120 (FIG. 1C) for improving collection of the electromagnetic emissions 116 by the detector 120 (e.g., by coupling the electromagnetic emissions 116 into the fiber optic cable 132 via the lens 130 and by transporting the electromagnetic emissions 116 to the detector 120 via the fiber optic cable 132 ).
  • a monochrometer or spectrometer equipped with a photodiode array wherein each photodiode monitors a different wavelength.
  • a bundle of fiber optic cables in connection with various optical filters may be coupled to the diode array, wherein each fiber optic cable within the bundle is coupled to a unique photodiode and supplies electromagnetic emissions thereto.
  • diffraction gratings, prisms, optical filters (e.g., glass filters) and other wavelength selective devices may be employed in place of the monochrometer.
  • a user 136 supplies (via a third control bus 138 ) the plasma system controller 108 with a set of instructions for generating the plasma 118 within the plasma chamber 106 (i.e., a plasma recipe).
  • a remote computer system for running a fabrication process that includes the processing system 100
  • a manufacturing execution system or other fabrication control systems may supply the plasma system controller 108 with a plasma recipe (e.g., as supplied by the user 136 or as stored within a plasma recipe database).
  • a typical plasma recipe includes processing parameters such as the pressure, temperature, power, gas types, gas flow rates and the like used to initiate and maintain the plasma 118 within the plasma chamber 106 during plasma processing.
  • processing parameters such as the pressure, temperature, power, gas types, gas flow rates and the like used to initiate and maintain the plasma 118 within the plasma chamber 106 during plasma processing.
  • a typical plasma recipe would include at least the following: a desired chamber pressure, a desired process temperature, a desired RF power level, a desired wafer bias, desired process gas flow rates (e.g., desired flow rates for process gasses such as Ar, BC 1 3 or C 1 2 ), etc.
  • the plasma system controller 108 receives a plasma recipe from the user 136 , from a remote computer system, or from a manufacturing execution system, etc.
  • the plasma recipe is supplied to the recipe control port 110 via the first control bus 112 , and the recipe control port 110 (or the plasma system controller 108 itself) establishes and maintains within the plasma chamber 106 the processing parameters specified by the plasma recipe.
  • the plasma 118 During a plasma process within the plasma chamber 106 , the plasma 118 generates electromagnetic emissions having wavelengths primarily in the optical spectrum (e.g., from about 200 to 1100 nanometers), although both ultra-violet and infrared wavelengths also may result. A portion of these electromagnetic emissions (e.g., the electromagnetic emissions 116 ) travel through the viewport 114 and reach the inventive process monitoring system 104 . Note that while the electromagnetic emissions 116 are represented generally by three emission wavelengths in FIGS. 1A-1C, it will be understood that the electromagnetic emissions 116 typically comprise many more wavelengths.
  • the detector 120 receives the electromagnetic emissions 116 either directly (FIG. 1A) or indirectly via the lens 130 and the fiber optic cable 132 (FIG. 1 C). Assuming the detector 120 is a silicon photodiode, the detector 120 detects the intensity of electromagnetic emissions within the broad wavelength range from about 200 to 1100 nanometers (hereinafter the “optical emission spectrum (OES)”), and in response thereto, generates a detection signal to pre-amplifier 129 . This detection signal (e.g., an optical emission current signal or an “OE signal”) is proportional to the intensity of the detected electromagnetic emissions.
  • OES optical emission spectrum
  • the detector 120 then outputs the OE signal.
  • the OE signal is amplified via pre-amplifier 129 , and the amplified OE signal is supplied to the processor 122 for subsequent processing (described below).
  • the particular type of processing to be performed by the processor 122 preferably is selected by the user 136 (or by a remote computer system, by a manufacturing execution system, etc.) via a fourth control bus 140 .
  • an attribute e.g., electromagnetic emissions
  • a detection signal e.g., an OE signal
  • processor 122 monitors changes in intensity of the detection signal over time. However, rather than conventionally examining the overall magnitude of changes of the OE signal 200 over an extended time period, (e.g., the change in intensity from I 1 to I 2 occurs over a time period on the order of seconds), processor 122 characterizes fluctuation in the OE signal (e.g., portion 202 of the OE signal 200 shown enlarged in FIG. 2A) over a much shorter time period.
  • fluctuation in output signals other than optical emissions could be utilized to monitor progress of a semiconductor fabrication process.
  • Possible alternative output signals include temperature, pressure, RF power, and mass spectrometry readings from the process chamber.
  • FIG. 1D is a block diagram of one embodiment of an analog processor for use in monitoring a process in accordance with the present invention.
  • Analog processor 122 a receives amplified analog OE signal 200 from amplifier 129 and passes this amplified signal through filter 150 .
  • filter 150 is a band pass or high pass filter. Depending upon the particular application however, filter 150 could also be a high or low pass filter.
  • Multiplier 152 then multiplies the filtered analog signal by itself, with the resulting positive signal is passed through low pass filter 154 to reflect a mean value whose fluctuation over time can be displayed by oscilloscope 156 .
  • the resulting manipulation of the analog signal is shown in FIG. 1E, which plots signal intensity versus time for the OE signal, the OE 2 signal, and the filtered OE 2 signal, and illustrates analog determination of output fluctuation in accordance with the present invention.
  • operational amplifier or diode bridge structures could be utilized.
  • FIG. 1F shows a block diagram of an embodiment for a digital processor for use in monitoring a process in accordance with the present invention.
  • Digital processor 122 b receives an amplified analog OE 200 signal from amplifier 129 and passes this amplified signal to A/D converter 158 .
  • analog OE signal 200 may be filtered by band pass or high pass filter 150 prior to reaching A/D converter 158 .
  • A/D converter 158 rapidly samples OE signal 200 . For example, if OE signal 200 is sampled with a 10 kHz sampling rate, the intensity of the OE signal will be detected every 0.0001 sec. It will be understood that sampling rates other than 10 kHz may be similarly employed.
  • an OE signal provides significant plasma etching endpoint information when sampling rates of greater than 1 kHz are employed.
  • the sampled digital signal is then communicated to a logic block 160 , which characterizes fluctuation of the OE signal 200 as a standard deviation or other value.
  • FIG. 3 is a top plan view of an automated tool 300 for fabricating semiconductor devices.
  • the tool 300 comprises a pair of load locks 302 a , 302 b , and a wafer handler chamber 304 containing a wafer handler 306 .
  • the wafer handler chamber 304 and the wafer handler 306 are coupled to a plurality of processing chambers 308 , 310 .
  • Wafer handler chamber 304 and the wafer handler 306 are coupled to the plasma chamber 106 of the processing system 100 of FIGS. 1A-C.
  • the plasma chamber 106 has the inventive process monitoring system 104 coupled thereto (as shown).
  • the entire tool 300 is controlled by a controller 312 (e.g., a dedicated controller for the tool 300 , a remote computer system for running a fabrication process, a manufacturing execution system, etc.) having a program therein which controls semiconductor substrate transfer among the load locks 302 a , 302 b and the chambers 308 , 310 and 106 , and which controls processing therein.
  • a controller 312 e.g., a dedicated controller for the tool 300 , a remote computer system for running a fabrication process, a manufacturing execution system, etc.
  • the controller 312 contains a program for controlling the chamber 106 in real-time and for monitoring processing events (e.g., chucking, breakthrough, endpoint, etc.) in real-time via the inventive process monitoring system 104 as previously described with reference to FIGS. 1A-1F.
  • the inventive process monitoring system 104 allows for better monitoring of the process of the plasma chamber 106 and more accurately identifies when processing events occur therein (effectively increasing the throughput of the plasma chamber 106 ). Accordingly, both the yield and the throughput of the automated fabrication tool 300 increases significantly.
  • FIG. 4A plots optical emission intensity versus time for a plasma chamber cleaning process.
  • Casual inspection of FIG. 4A indicates an initial, large change in signal intensity at a process time of about 2-3 sec., corresponding to creation of the plasma within the chamber.
  • FIG. 4A then indicates some change in signal intensity through about 25 sec., with the period after 25 sec. showing little or no discemable change in the magnitude of the signal.
  • FIG. 4B is an enlarged view of FIG. 4A at a process time of between 24.99 and 25.01 sec.
  • the signal intensity of FIG. 4B shows significant deviation in signal intensity over this 0.02 sec. process interval.
  • FIG. 4C is an enlarged view of FIG. 3A at a process time of between 54.99 and 55.01 sec. By contrast, the signal intensity of FIG. 4C exhibits significantly less deviation in signal intensity over this later 0.02 sec. process interval.
  • FIGS. 4B and 4C indicate a difference in the character of optical emission, and hence progress of the cleaning process, between process times of 25 and 55 sec. However, this change is not evident from FIG. 4A, and moreover is not readily quantified for purposes of comparison by FIGS. 4B and 4C.
  • an embodiment of method in accordance with the present invention monitors a plasma semiconductor fabrication process by determining change in standard deviation in intensity of optical emissions over a time period.
  • n number of measured values.
  • FIG. 5 plots standard deviation of intensity of a photodiode signal versus time of a plasma chamber cleaning process performed on a plasma chamber under several different conditions.
  • the chamber Under a first set of conditions, the chamber is free from deposited materials.
  • the chamber Under a second set of conditions, the chamber bears from a prior deposition process a deposited layer having a least thickness.
  • the chamber Under a third set of conditions, the chamber bears from a prior deposition process a deposited layer having an intermediate thickness.
  • the chamber bears from a prior deposition process a deposited layer having a greatest thickness.
  • intensity of optical emissions was sampled at a rate of 10 kHz, with local standard deviation calculated once every second.
  • FIG. 5 shows-little or no change in standard deviation of the detected optical emission signal during exposure of the clean chamber to plasma. This indicates that little or no residual material was present in the chamber to react with and be consumed by the cleaning plasma.
  • FIG. 5 shows large changes in standard deviation in intensity of the detected signal until about 50 sec. into the cleaning process. After about 50 sec., the standard deviation of intensity remains relatively constant.
  • FIG. 5 shows significant variation in standard deviation in intensity until about 60 sec. into the process. After about 60 sec., the standard deviation of intensity remains relatively constant. Stabilization in standard deviation at a later time for the chamber bearing the deposited layer is consistent with the greater thickness of the deposited layer. Hence, removal of the seasoning layer requires exposure to plasma for a longer period of time.
  • FIG. 5 shows large variation in standard deviation in intensity of the detected signal until about 75 sec. into the cleaning process. After about 75 sec., the standard deviation of intensity remains constant. The fact that stabilization in standard deviation occurs later for the chamber bearing the deposited layer of greatest thickness is consistent with requiring exposure to plasma for the longest period of time in order for the deposited layer to be removed.
  • stabilization of fluctuation in intensity of optical emissions indicates the endpoint of the cleaning process.
  • the precision of this determination of plasma chamber clean endpoint is not available through conventional techniques such as resolving emissions into a spectrum over the processing period, monitoring changes in pressure of the plasma chamber, or monitoring changes in RF power.
  • wavelengths monitored are generally specific to the composition of the material being processed (i.e. exposure of oxide residues to plasma may require monitoring a wavelength different from that monitored for exposure of polymer residues to plasma).
  • the wavelengths conventionally monitored are generally specific to the particular reactant material (i.e. removing a material with an oxygen-based plasma may require monitoring of a wavelength different than removal of the same material with a fluorine- based plasma).
  • the present invention monitor intensity of emissions over a broad spectral range. Therefore, the present invention is widely applicable to detect endpoint of plasma processing of any number of material compositions utilizing any number of reactant species. In this manner, the present invention exhibits enhanced flexibility over conventional techniques.
  • Another advantage of the present method is simplicity and economy. Where conventional techniques monitoring only specific emission wavelengths typically require a separate filter/detector configuration for each specific material being processed, the present invention utilizes simple emission detectors already present in the system, in conjunction with statistical analysis of the detected information. The present method therefore does not require that additional complex, expensive, and fault-susceptible apparatuses (for example optical filters) be deployed and then reconfigured to account for routine changes in the process being monitored.
  • additional complex, expensive, and fault-susceptible apparatuses for example optical filters
  • a third advantage of the method in accordance with the present invention is enhanced reliability. For example, by relying only upon information presented by selected wavelengths, conventional methods determine endpoint based upon limited information that may be inaccurate. For example, in the case of the plasma chamber cleaning, prior processing steps may have resulted in formation of a residue on a chamber window that distorts only certain wavelengths of optical emissions transmitted through the window. Consideration of only the intensity of emission at these wavelengths would not provide an accurate picture of conditions within the plasma chamber.
  • the method in accordance with one embodiment of the present invention utilizes optical intensity measurements taken across a broad region of the spectrum.
  • the method is thus less susceptible to incomplete or incorrect information concerning the value of emission intensity at any particular wavelength.
  • FIGS. 6A-6D show an alternative embodiment of a method of monitoring a semiconductor fabrication process, wherein fluctuation in output is characterized by performing FFT on the optical emissions of a plasma chamber.
  • a photodiode signal representing total emission intensity from a plasma chamber is resolved into a contour plot of frequency and amplitude components over a local time period of 1 second utilizing FFT.
  • FIG. 6A illustrates that emission of spectral data containing useful information occurs over a frequency range of between about 10-60 kHz.
  • FIGS. 6B and 6C plot amplitude of the photodiode signal over the 10-60 kHz frequency range at process times of 25 sec. and 37 sec., respectively.
  • FIGS. 6B and 6C show that total power of optical emissions, as quantified by the areas under the respective amplitude vs. frequency curves, is greater at 25 sec. than at 37 sec. This indicates fluctuations in total power of optical emissions over time.
  • FIG. 6D is a power spectrum plotting total power of the photodiode signal over the 10-60 kHz frequency range versus processing time.
  • the values for total power plotted in FIG. 6D are derived from the area under an amplitude vs. frequency plot for each time point.
  • FIG. 6D shows that by about 42 sec., the total power has attained a steady state. This indicates endpoint of the cleaning process.
  • FIG. 7 shows a schematic view of an in-line remote chamber cleaning embodiment, wherein radical species 700 are generated in remote plasma source 702 , and then injected into plasma chamber 704 .
  • the injected radical species react with and consume residual materials 708 on exposed surfaces of chamber 704 . Due to an absence of electrons in the chamber however, little or no optical emission takes place in chamber 704 itself during this process.
  • radical species 701 may be extracted from cleaned chamber 704 and flowed downstream through exhaust line 705 to plasma cell 710 remote from chamber 704 .
  • Radical species 701 may include unreacted radical species 700 originally generated, and may also include radical species produced by reaction within the plasma chamber.
  • Radical species 701 flowed into remote plasma cell 710 are exposed to RF energy from electrode 711 in the presence of electrons, such that optical emissions occur and can be sensed by detection apparatus 712 comprising photodiode 714 , pre-amplifier 716 , and processor 718 having memory 720 containing instructions in the form of a computer program.
  • FIG. 8 plots standard deviation in detected optical emissions versus time for the apparatus described above in connection with FIG. 7, for a cleaning process performed on a plasma chamber under several different conditions. Under a first set of conditions, the plasma chamber is free of deposited materials. Under a second set of conditions, the plasma chamber bears a layer deposited for 50 sec. Under a third set of conditions, the plasma chamber bears a layer deposited for 258 sec. Consistent with the results of FIG. 5, FIG. 8 indicates that the time at which fluctuation in standard deviation reaches a minimum level is significantly longer for the chamber bearing the layer deposited for the longest time (and hence having the greatest thickness).
  • While the present invention has been described primarily in conjunction with detecting variation in fluctuation of a detected optical emission signal, the present invention is not limited to detecting this type of signal.
  • Other attributes of a plasma process such as chamber pressure, chamber temperature, or RF power delivered to a plasma chamber, also contain information useful in monitoring progress of the process.
  • FIG. 9 is a schematic diagram of processing system 900 comprising a plasma system 901 wherein the inventive process monitoring system 904 is adapted to monitor fluctuations in RF power delivered to a wafer pedestal of a plasma chamber.
  • signals representative of RF power delivered to the plasma chamber 906 are supplied to the processor 922 .
  • the RF power signals are supplied from an RF wafer bias generator 902 of the plasma system 901 via a fifth control bus 903 .
  • an optical detector may be employed to supply OE signals to the processor 922 along with the RF power signals if desired.
  • the RF wafer bias generator 902 is coupled to the recipe control port 911 (e.g., for receiving the RF power level required for the desired plasma recipe) and to a wafer pedestal 907 located within the plasma chamber 906 .
  • the wafer pedestal 907 is shown having a semiconductor wafer 908 disposed thereon.
  • an RF generator drives an RF antenna (not shown) disposed outside the plasma chamber 906 , and if a wafer bias is desired, a separate RF wafer bias generator (e.g., the RF wafer bias generator 902 ) provides the wafer bias.
  • a second electrode (not shown) is disposed within the plasma chamber 906 , and a single RF generator (e.g., the RF wafer bias generator 902 ) delivers power to both the wafer pedestal 907 and the second electrode.
  • a single RF generator e.g., the RF wafer bias generator 902
  • the RF wafer bias generator 902 comprises a data port such as an analog input/output interface 910 at the backside of the generator for controlling and monitoring the RF power delivered by the generator 902 .
  • the interface 910 may provide linear, 0-10 volt, DC voltage outputs that are scaled to represent the power delivered to the wafer pedestal 907 from the RF generator 902 (i.e., the forward power) and the power reflected from the wafer pedestal 907 back to the RF generator 902 (i.e., the reflected power), or the forward and reflected power signals may be represented in any other analog or digital form with arbitrary scaling.
  • These forward and reflected power signals are provided to the processor 922 as RF power “detection” signals via the fifth control bus 903 and monitored over time to provide information regarding the progress of the process.
  • FIG. 9 detects endpoint by monitoring fluctuation of RF power applied directly to the plasma chamber
  • the present invention is not limited to this configuration. Fluctuation in RF power occurring in a plasma cell positioned downstream from the plasma chamber, as described in conjunction with FIG. 7, could also be monitored to determine process endpoint.
  • the present method is not limited to this type of application.
  • the method in accordance with the present invention could be also be employed to detect endpoints in other semiconductor fabrication processes.
  • an output of a metrology sensor could also be monitored to provide information concerning progress of a chemical mechanical polishing (CMP) process.
  • CMP chemical mechanical polishing
  • the present invention is also applicable to monitor other fabrication techniques such as photolithography baking steps.

Abstract

Progress of a semiconductor fabrication process is monitored by detecting data output by the process, and then correlating a specific process event to fluctuations in the output data over a time period of 10 milliseconds or less. In one embodiment, endpoint of a plasma chamber cleaning process may be identified by calculating standard deviation of intensity of optical chamber emissions based upon a local time period. The time at which standard deviation of optical emissions attains a steady state indicates endpoint of the cleaning process. Another approach to characterizing fluctuation is to perform a Fast Fourier Transform (FFT) on the output emissions data, and then to plot over time the total power of the emissions over a relevant frequency range. The time at which total power attains a steady state also reveals endpoint of the process. Other techniques for characterizing fluctuation for process monitoring include calculation of the root-mean-square or entropy of an output signal.

Description

CROSS-REFERENCE TO RELATED APPLICATIONS
This application contains subject matter related to the following copending application which is hereby incorporated by reference: U.S. patent application Ser. No. 09/288,041, filed Apr. 7, 1999.
BACKGROUND OF THE INVENTION
Within the semiconductor industry, an ever present need exists for improved process repeatability and control, and in particular for ways of monitoring progress of a process. For example, during the formation of a typical metal-layer-to-metal-layer interconnect, a dielectric layer is deposited over a first metal layer, a via hole is etched in the dielectric layer to expose the first metal layer, the via hole is filled with a metal plug and a second metal layer is deposited over the metal plug (e.g., forming an interconnect between the first and the second metal layers). To ensure the interconnect has low contact resistance, all dielectric material within the via hole must be etched from the top surface of the first metal layer prior to formation of the metal plug thereon; otherwise, residual high-resistivity dielectric material within the via hole significantly degrades the contact resistance of the interconnect. Similar process control is required during the etching of metal layers (e.g., Al, Cu, Pt, etc.), polysilicon layers and the like.
Conventional process monitoring techniques provide only a rough estimate of when a material layer has been completely etched (i.e., endpoint). Accordingly, to accommodate varying thicknesses of material layers (e.g., device variations) or varying etch rates of material layers (e.g., process/process chamber variations), an etch process may be continued for a time greater than a predicted time for etching the material layer (i.e., for an over-etch time). Etching for an over-etch time ensures that all material to be removed is removed despite device variations that increase the required etch time and despite process/process chamber variations which slow etch rate (and thus increase the required etch time).
While over-etch times ensure complete etching, over-etching raises a number of issues. Overetching increases the time required to process each semiconductor wafer, and thus decreases wafer throughput. Moreover, the drive for higher performance integrated circuits requires each generation of semiconductor devices to have finer dimensional tolerances, making over-etching increasingly undesirable. Overetching also prolongs exposure of the wafer to a plasma environment, affecting the heat budget of the process, generating additional particles that could contaminate the wafer, and consuming expensive process materials.
A more attractive solution is an in situ monitoring technique that more accurately identifies significant processing events such as etch endpoint, chamber clean endpoint, and chamber seasoning. However, conventional monitoring techniques do not track progress of a semiconductor fabrication process accurately enough to reduce over-etch or other over-processing times required to compensate for both process/process chamber variations and device variations (e.g., material layer thickness variations, etch property variations, etc.). Moreover, previous attempts to correlate fluctuation in output data with process events have considered changes in output data over time periods on the order of seconds.
Accordingly, a need exists for an improved method and apparatus for monitoring semiconductor processes.
SUMMARY OF THE INVENTION
The present inventors have discovered that detecting fluctuation in the output data of a semiconductor fabrication process over extremely short time periods can provide previously unavailable information concerning the progress of the fabrication process. Embodiments of the present invention allow progress of a semiconductor fabrication process to be monitored by detecting fluctuations in output from the process over an extremely short time period of 10 milliseconds or less. For example, in accordance with one embodiment of the present invention, endpoint of a plasma chamber cleaning process may be detected by measuring optical emissions from a plasma chamber at a rate of>1 kHz, and then calculating standard deviation in optical emissions based upon a local time period of one second or less. Endpoint of the chamber cleaning process is indicated when standard deviation of optical emission attains a steady-state minimum value.
Alternatively, endpoint of a plasma chamber cleaning process may be determined by performing a Fast Fourier Transformation (FFT) to resolve the emission output data into frequency and amplitude components, and then identifying the point at which total power of optical emissions taken over all relevant frequencies attains a steady state.
Yet further alternatively, where optical emissions are absent from the plasma chamber due to a lack of electrons, endpoint of a plasma chamber cleaning process may be determined by monitoring fluctuations in optical emissions of a plasma cell positioned downstream from the plasma chamber and receiving exhaust from the plasma chamber.
While the above description relates to detecting endpoint of a plasma process by monitoring fluctuation in optical data output by the process, the invention is not limited to this particular application. The progress of a process could also be monitored by detecting fluctuation of other types of output signals, including but not limited to RF power fluctuations, temperature fluctuations, pressure fluctuations, and fluctuations in readings of a mass-spectrometer receiving by-products of the process.
One embodiment of a method in accordance with the present invention comprises measuring a value of an output from the semiconductor fabrication process, characterizing a fluctuation in the value of the output over a time period of 10 milliseconds or less, and correlating the fluctuation to an event of the semiconductor fabrication process.
One embodiment of an apparatus for processing a substrate in accordance with the present invention comprises a substrate processing chamber; and a sensor operatively coupled to said substrate processing chamber to detect a value of an output from the chamber. A computer processor is operatively coupled to said sensor; and a memory is coupled to said computer processor. The memory stores a computer program in computer readable format including computer instructions to permit said processor to measure a value of an output from the process, and characterize a fluctuation in the value of the output over a time period of 10 milliseconds or less.
These and other embodiments of the present invention, as well as its advantages and features, are described in more detail in conjunction with the text below and attached figures.
BRIEF DESCRIPTION OF THE DRAWINGS
FIGS. 1A-C are schematic diagrams of a plasma processing system employing an inventive process monitoring system in accordance with embodiments of the present invention.
FIG. 1D is a block diagram of an embodiment of an analog processor for use in a method of monitoring a process in accordance with the present invention.
FIG. 1E illustrates analog determination of output fluctuation in accordance with one embodiment of the present invention.
FIG. 1F is a block diagram of an embodiment of a digital processor for use in a method of monitoring a process in accordance with the present invention.
FIG. 2 is a representative graph of an optical emission intensity signal generated in FIG. 1A.
FIG. 2A is an enlargement of a portion of the optical emission intensity signal shown in FIG. 2.
FIG. 3 is a top plan view of an automated tool for fabricating semiconductor devices in accordance with one embodiment of the present invention.
FIG. 4A plots intensity of a photodiode signal versus time for an in-situ plasma chamber cleaning process.
FIG. 4B is a blown-up view of FIG. 4A at 25±0.01 sec. into the cleaning process.
FIG. 4C is a blown-up view of FIG. 4A at 55±0.01 sec. into the cleaning process.
FIG. 5 plots standard deviation of intensity of a photodiode signal versus time for a chamber cleaning process performed on a clean chamber, a second chamber bearing a thin deposited layer, a third chamber bearing a deposited layer of intermediate thickness, and a fourth chamber bearing a deposited layer of the greatest thickness.
FIG. 6A plots a contour image of a frequency spectrum of plasma intensity versus elapsed time, for a chamber cleaning process.
FIGS. 6B and 6C each plot the amplitude component of the photodiode signal versus the frequency component at a narrow time interval during the process of FIG. 6A.
FIG. 6D plots total power of the photodiode signal over a frequency range versus time of the process of FIG. 6A.
FIG. 7 shows a remote plasma monitoring cell receiving exhaust from a plasma chamber.
FIG. 8 plots standard deviation in detected optical emission versus time for plasma generated at the exhaust line of the apparatus of FIG. 7.
FIG. 9 is a schematic diagram of the plasma processing system of FIGS. 1A-C adapted to monitor plasma processing by detecting fluctuation in RF power.
DESCRIPTION OF THE SPECIFIC EMBODIMENTS
Previous attempts to monitor progress of a semiconductor process through the detection of changes in output data have examined changes on the order of seconds. However, the inventors of the present application have discovered that detecting fluctuation in the output data much shorter time periods can provide previously unavailable information concerning process events. The method of the present invention can be employed for process monitoring in connection with a wide variety of semiconductor techniques. For purposes of illustration however, the following detailed description focuses upon an embodiment relating to detecting an endpoint of a plasma chamber cleaning process.
FIG. 1A is a schematic diagram of a processing system 100 comprising a conventional plasma system 102 (for example, a plasma etching system or plasma enhanced chemical vapor deposition system) and one embodiment of an inventive process monitoring system 104 in accordance with the present invention coupled thereto. As used herein, “coupled” means coupled directly or indirectly so as to operate.
The conventional plasma system 102 comprises a plasma chamber 106 coupled to a plasma system controller 108 via a recipe control port 110 and via a first control bus 112. It will be understood that while a singular interface (e.g., the recipe control port 110) is shown between the plasma chamber 106 and the plasma system controller 108 for convenience, in general, the plasma system controller 108 may interface the various mass flow controllers, RF generators, temperature controllers, etc., associated with the plasma chamber 106 via a plurality of interfaces (not shown).
The plasma chamber 106 comprises a viewport 114 for outputting electromagnetic emissions from a plasma 118 sustained within the plasma chamber 106 (described below). While the viewport 114 is shown positioned on the side of the plasma chamber 106, it will be understood that the viewport 114 may be positioned at any other location (e.g., on the top or bottom of the chamber 106).
The inventive process monitoring system 104 comprises a detector 120 coupled to a processing mechanism (e.g., a processor 122) through a pre-amplifier 129. Processor 122 operates by executing computer instructions stored in a memory 123. The processor 122 is coupled to the plasma system controller 108 via a second control bus 134.
Detector 120 is positioned to collect the electromagnetic emissions 116 from the plasma 118 and may comprise a broadband photodiode such as a silicon photodiode. The detector 120 alternatively may comprise a monochrometer 126 coupled to a photomultiplier tube 128 (FIG. 1B) for detecting electromagnetic emissions from specific chemical species as described below. A lens 130 and a fiber optic cable 132 also may be disposed between the viewport 114 and the detector 120 (FIG. 1C) for improving collection of the electromagnetic emissions 116 by the detector 120 (e.g., by coupling the electromagnetic emissions 116 into the fiber optic cable 132 via the lens 130 and by transporting the electromagnetic emissions 116 to the detector 120 via the fiber optic cable 132).
Other alternative configurations for collecting electromagnetic emissions from the plasma 118 may be employed such as a monochrometer or spectrometer equipped with a photodiode array wherein each photodiode monitors a different wavelength. If desired, a bundle of fiber optic cables in connection with various optical filters may be coupled to the diode array, wherein each fiber optic cable within the bundle is coupled to a unique photodiode and supplies electromagnetic emissions thereto. Similarly, diffraction gratings, prisms, optical filters (e.g., glass filters) and other wavelength selective devices may be employed in place of the monochrometer.
In operation, a user 136 (e.g., a person in charge of a wafer fabrication process) supplies (via a third control bus 138) the plasma system controller 108 with a set of instructions for generating the plasma 118 within the plasma chamber 106 (i.e., a plasma recipe). Alternatively, a remote computer system for running a fabrication process that includes the processing system 100, a manufacturing execution system or other fabrication control systems may supply the plasma system controller 108 with a plasma recipe (e.g., as supplied by the user 136 or as stored within a plasma recipe database).
A typical plasma recipe includes processing parameters such as the pressure, temperature, power, gas types, gas flow rates and the like used to initiate and maintain the plasma 118 within the plasma chamber 106 during plasma processing. For example, to perform aluminum etching within the plasma chamber 106, a typical plasma recipe would include at least the following: a desired chamber pressure, a desired process temperature, a desired RF power level, a desired wafer bias, desired process gas flow rates (e.g., desired flow rates for process gasses such as Ar, BC1 3 or C1 2), etc.
Once the plasma system controller 108 receives a plasma recipe from the user 136, from a remote computer system, or from a manufacturing execution system, etc., the plasma recipe is supplied to the recipe control port 110 via the first control bus 112, and the recipe control port 110 (or the plasma system controller 108 itself) establishes and maintains within the plasma chamber 106 the processing parameters specified by the plasma recipe.
During a plasma process within the plasma chamber 106, the plasma 118 generates electromagnetic emissions having wavelengths primarily in the optical spectrum (e.g., from about 200 to 1100 nanometers), although both ultra-violet and infrared wavelengths also may result. A portion of these electromagnetic emissions (e.g., the electromagnetic emissions 116) travel through the viewport 114 and reach the inventive process monitoring system 104. Note that while the electromagnetic emissions 116 are represented generally by three emission wavelengths in FIGS. 1A-1C, it will be understood that the electromagnetic emissions 116 typically comprise many more wavelengths.
With reference to FIGS. 1A and 1C, the detector 120 receives the electromagnetic emissions 116 either directly (FIG. 1A) or indirectly via the lens 130 and the fiber optic cable 132 (FIG. 1C). Assuming the detector 120 is a silicon photodiode, the detector 120 detects the intensity of electromagnetic emissions within the broad wavelength range from about 200 to 1100 nanometers (hereinafter the “optical emission spectrum (OES)”), and in response thereto, generates a detection signal to pre-amplifier 129. This detection signal (e.g., an optical emission current signal or an “OE signal”) is proportional to the intensity of the detected electromagnetic emissions.
The detector 120 then outputs the OE signal. The OE signal is amplified via pre-amplifier 129, and the amplified OE signal is supplied to the processor 122 for subsequent processing (described below).
The particular type of processing to be performed by the processor 122 preferably is selected by the user 136 (or by a remote computer system, by a manufacturing execution system, etc.) via a fourth control bus 140. Thus, in accordance with the present invention, an attribute (e.g., electromagnetic emissions) of plasma 118 is measured via detector 120, and a detection signal (e.g., an OE signal) is generated by the detector 120.
As described in detail below, processor 122 monitors changes in intensity of the detection signal over time. However, rather than conventionally examining the overall magnitude of changes of the OE signal 200 over an extended time period, (e.g., the change in intensity from I1 to I2 occurs over a time period on the order of seconds), processor 122 characterizes fluctuation in the OE signal (e.g., portion 202 of the OE signal 200 shown enlarged in FIG. 2A) over a much shorter time period.
Although not illustrated in this particular embodiment of the present invention, fluctuation in output signals other than optical emissions could be utilized to monitor progress of a semiconductor fabrication process. Possible alternative output signals include temperature, pressure, RF power, and mass spectrometry readings from the process chamber.
FIG. 1D is a block diagram of one embodiment of an analog processor for use in monitoring a process in accordance with the present invention. Analog processor 122 a receives amplified analog OE signal 200 from amplifier 129 and passes this amplified signal through filter 150. In the particular embodiment shown in FIG. 1D, filter 150 is a band pass or high pass filter. Depending upon the particular application however, filter 150 could also be a high or low pass filter.
Multiplier 152 then multiplies the filtered analog signal by itself, with the resulting positive signal is passed through low pass filter 154 to reflect a mean value whose fluctuation over time can be displayed by oscilloscope 156. The resulting manipulation of the analog signal is shown in FIG. 1E, which plots signal intensity versus time for the OE signal, the OE2 signal, and the filtered OE2 signal, and illustrates analog determination of output fluctuation in accordance with the present invention. As an alternative to multiplier 152, operational amplifier or diode bridge structures could be utilized.
Alternatively, FIG. 1F shows a block diagram of an embodiment for a digital processor for use in monitoring a process in accordance with the present invention. Digital processor 122 b receives an amplified analog OE 200 signal from amplifier 129 and passes this amplified signal to A/D converter 158. Optionally, analog OE signal 200 may be filtered by band pass or high pass filter 150 prior to reaching A/D converter 158. A/D converter 158 rapidly samples OE signal 200. For example, if OE signal 200 is sampled with a 10 kHz sampling rate, the intensity of the OE signal will be detected every 0.0001 sec. It will be understood that sampling rates other than 10 kHz may be similarly employed. However, the present inventors have found that an OE signal provides significant plasma etching endpoint information when sampling rates of greater than 1 kHz are employed. The sampled digital signal is then communicated to a logic block 160, which characterizes fluctuation of the OE signal 200 as a standard deviation or other value.
FIG. 3 is a top plan view of an automated tool 300 for fabricating semiconductor devices. The tool 300 comprises a pair of load locks 302 a, 302 b, and a wafer handler chamber 304 containing a wafer handler 306. The wafer handler chamber 304 and the wafer handler 306 are coupled to a plurality of processing chambers 308, 310. Wafer handler chamber 304 and the wafer handler 306 are coupled to the plasma chamber 106 of the processing system 100 of FIGS. 1A-C. The plasma chamber 106 has the inventive process monitoring system 104 coupled thereto (as shown). The entire tool 300 is controlled by a controller 312 (e.g., a dedicated controller for the tool 300, a remote computer system for running a fabrication process, a manufacturing execution system, etc.) having a program therein which controls semiconductor substrate transfer among the load locks 302 a, 302 b and the chambers 308, 310 and 106, and which controls processing therein.
The controller 312 contains a program for controlling the chamber 106 in real-time and for monitoring processing events (e.g., chucking, breakthrough, endpoint, etc.) in real-time via the inventive process monitoring system 104 as previously described with reference to FIGS. 1A-1F. The inventive process monitoring system 104 allows for better monitoring of the process of the plasma chamber 106 and more accurately identifies when processing events occur therein (effectively increasing the throughput of the plasma chamber 106). Accordingly, both the yield and the throughput of the automated fabrication tool 300 increases significantly.
The usefulness of monitoring a process utilizing a method in accordance with one embodiment of the present invention is now illustrated in connection with determining endpoint of a plasma chamber cleaning process.
FIG. 4A plots optical emission intensity versus time for a plasma chamber cleaning process. Casual inspection of FIG. 4A indicates an initial, large change in signal intensity at a process time of about 2-3 sec., corresponding to creation of the plasma within the chamber. FIG. 4A then indicates some change in signal intensity through about 25 sec., with the period after 25 sec. showing little or no discemable change in the magnitude of the signal.
Closer inspection of FIG. 4A however, reveals the presence of additional information relating to the chamber cleaning process. FIG. 4B is an enlarged view of FIG. 4A at a process time of between 24.99 and 25.01 sec. The signal intensity of FIG. 4B shows significant deviation in signal intensity over this 0.02 sec. process interval. FIG. 4C is an enlarged view of FIG. 3A at a process time of between 54.99 and 55.01 sec. By contrast, the signal intensity of FIG. 4C exhibits significantly less deviation in signal intensity over this later 0.02 sec. process interval.
Comparison of FIGS. 4B and 4C indicates a difference in the character of optical emission, and hence progress of the cleaning process, between process times of 25 and 55 sec. However, this change is not evident from FIG. 4A, and moreover is not readily quantified for purposes of comparison by FIGS. 4B and 4C.
However, in accordance with one embodiment of the present invention it has been discovered that progress in a semiconductor fabrication process can be effectively monitored by measuring fluctuations in an output signal over a period of 10 milliseconds or less.
One measure of the amount of fluctuation in an output signal is standard deviation. Accordingly, an embodiment of method in accordance with the present invention monitors a plasma semiconductor fabrication process by determining change in standard deviation in intensity of optical emissions over a time period.
Standard deviation is generally defined by Equation (I) below: σ = i = 1 n ( x i - x 0 ) 2 n - 1 ( I )
Figure US06745095-20040601-M00001
where:
sigma=standard deviation;
xi=measured value;
x0=mean of measured value; and
n=number of measured values.
FIG. 5 plots standard deviation of intensity of a photodiode signal versus time of a plasma chamber cleaning process performed on a plasma chamber under several different conditions. Under a first set of conditions, the chamber is free from deposited materials. Under a second set of conditions, the chamber bears from a prior deposition process a deposited layer having a least thickness. Under a third set of conditions, the chamber bears from a prior deposition process a deposited layer having an intermediate thickness. Under a fourth set of conditions, the chamber bears from a prior deposition process a deposited layer having a greatest thickness. In plotting the data of FIG. 5, intensity of optical emissions was sampled at a rate of 10 kHz, with local standard deviation calculated once every second.
FIG. 5 shows-little or no change in standard deviation of the detected optical emission signal during exposure of the clean chamber to plasma. This indicates that little or no residual material was present in the chamber to react with and be consumed by the cleaning plasma.
Under the second set of deposition conditions resulting in formation of the thinnest layer within the plasma chamber, FIG. 5 shows large changes in standard deviation in intensity of the detected signal until about 50 sec. into the cleaning process. After about 50 sec., the standard deviation of intensity remains relatively constant.
Under the third set of deposition conditions resulting in formation of a layer of intermediate thickness within the plasma chamber, FIG. 5 shows significant variation in standard deviation in intensity until about 60 sec. into the process. After about 60 sec., the standard deviation of intensity remains relatively constant. Stabilization in standard deviation at a later time for the chamber bearing the deposited layer is consistent with the greater thickness of the deposited layer. Hence, removal of the seasoning layer requires exposure to plasma for a longer period of time.
Under the fourth set of deposition conditions resulting in formation of a layer of greatest thickness within the plasma chamber, FIG. 5 shows large variation in standard deviation in intensity of the detected signal until about 75 sec. into the cleaning process. After about 75 sec., the standard deviation of intensity remains constant. The fact that stabilization in standard deviation occurs later for the chamber bearing the deposited layer of greatest thickness is consistent with requiring exposure to plasma for the longest period of time in order for the deposited layer to be removed.
For each of the processes plotted in FIG. 5, stabilization of fluctuation in intensity of optical emissions, as reflected by standard deviation, indicates the endpoint of the cleaning process. The precision of this determination of plasma chamber clean endpoint is not available through conventional techniques such as resolving emissions into a spectrum over the processing period, monitoring changes in pressure of the plasma chamber, or monitoring changes in RF power.
Monitoring a semiconductor fabrication process in the manner just described offers a number of distinct advantages over conventional approaches. One advantage is enhanced flexibility.
As shown in FIG. 2, conventional methods may monitor intensity of optical emissions only at particular wavelengths, with emission at these wavelengths characteristic of a processing event such as endpoint. However, the wavelengths monitored are generally specific to the composition of the material being processed (i.e. exposure of oxide residues to plasma may require monitoring a wavelength different from that monitored for exposure of polymer residues to plasma). Moreover, the wavelengths conventionally monitored are generally specific to the particular reactant material (i.e. removing a material with an oxygen-based plasma may require monitoring of a wavelength different than removal of the same material with a fluorine- based plasma).
However, methods in accordance with embodiments of the present invention monitor intensity of emissions over a broad spectral range. Therefore, the present invention is widely applicable to detect endpoint of plasma processing of any number of material compositions utilizing any number of reactant species. In this manner, the present invention exhibits enhanced flexibility over conventional techniques.
Another advantage of the present method is simplicity and economy. Where conventional techniques monitoring only specific emission wavelengths typically require a separate filter/detector configuration for each specific material being processed, the present invention utilizes simple emission detectors already present in the system, in conjunction with statistical analysis of the detected information. The present method therefore does not require that additional complex, expensive, and fault-susceptible apparatuses (for example optical filters) be deployed and then reconfigured to account for routine changes in the process being monitored.
A third advantage of the method in accordance with the present invention is enhanced reliability. For example, by relying only upon information presented by selected wavelengths, conventional methods determine endpoint based upon limited information that may be inaccurate. For example, in the case of the plasma chamber cleaning, prior processing steps may have resulted in formation of a residue on a chamber window that distorts only certain wavelengths of optical emissions transmitted through the window. Consideration of only the intensity of emission at these wavelengths would not provide an accurate picture of conditions within the plasma chamber.
By contrast, the method in accordance with one embodiment of the present invention utilizes optical intensity measurements taken across a broad region of the spectrum. The method is thus less susceptible to incomplete or incorrect information concerning the value of emission intensity at any particular wavelength.
Having fully described at least one embodiment of the present invention, other equivalent or alternative methods for controlling a semiconductor fabrication process according to the present invention will be apparent to those skilled in the art.
For example, while the embodiment of the present invention described above utilizes standard deviation to characterize fluctuation in a process output signal, this is not required by the present invention. Other techniques for quantifying fluctuation over time could also be utilized to monitor a semiconductor fabrication process. Such alternative techniques include, but are not limited to, calculation of mean deviation in the output, Fast Fourier Transform (FFT) of the output, calculation of the root-mean-square (RMS) value of the output, and calculation of entropy of the output signal.
Accordingly, FIGS. 6A-6D show an alternative embodiment of a method of monitoring a semiconductor fabrication process, wherein fluctuation in output is characterized by performing FFT on the optical emissions of a plasma chamber. In FIG. 6A, a photodiode signal representing total emission intensity from a plasma chamber is resolved into a contour plot of frequency and amplitude components over a local time period of 1 second utilizing FFT. FIG. 6A illustrates that emission of spectral data containing useful information occurs over a frequency range of between about 10-60 kHz.
FIGS. 6B and 6C plot amplitude of the photodiode signal over the 10-60 kHz frequency range at process times of 25 sec. and 37 sec., respectively. FIGS. 6B and 6C show that total power of optical emissions, as quantified by the areas under the respective amplitude vs. frequency curves, is greater at 25 sec. than at 37 sec. This indicates fluctuations in total power of optical emissions over time.
FIG. 6D is a power spectrum plotting total power of the photodiode signal over the 10-60 kHz frequency range versus processing time. The values for total power plotted in FIG. 6D are derived from the area under an amplitude vs. frequency plot for each time point. FIG. 6D shows that by about 42 sec., the total power has attained a steady state. This indicates endpoint of the cleaning process.
Cleaning a plasma chamber may not necessarily produce detectable optical emissions within the chamber itself, thereby preventing in-situ monitoring of endpoint. Accordingly, FIG. 7 shows a schematic view of an in-line remote chamber cleaning embodiment, wherein radical species 700 are generated in remote plasma source 702, and then injected into plasma chamber 704. The injected radical species react with and consume residual materials 708 on exposed surfaces of chamber 704. Due to an absence of electrons in the chamber however, little or no optical emission takes place in chamber 704 itself during this process.
Nevertheless, a method in accordance with an alternative embodiment of the present invention may be employed to detect endpoint of this cleaning process. Specifically, radical species 701 may be extracted from cleaned chamber 704 and flowed downstream through exhaust line 705 to plasma cell 710 remote from chamber 704. Radical species 701 may include unreacted radical species 700 originally generated, and may also include radical species produced by reaction within the plasma chamber.
Radical species 701 flowed into remote plasma cell 710 are exposed to RF energy from electrode 711 in the presence of electrons, such that optical emissions occur and can be sensed by detection apparatus 712 comprising photodiode 714, pre-amplifier 716, and processor 718 having memory 720 containing instructions in the form of a computer program.
FIG. 8 plots standard deviation in detected optical emissions versus time for the apparatus described above in connection with FIG. 7, for a cleaning process performed on a plasma chamber under several different conditions. Under a first set of conditions, the plasma chamber is free of deposited materials. Under a second set of conditions, the plasma chamber bears a layer deposited for 50 sec. Under a third set of conditions, the plasma chamber bears a layer deposited for 258 sec. Consistent with the results of FIG. 5, FIG. 8 indicates that the time at which fluctuation in standard deviation reaches a minimum level is significantly longer for the chamber bearing the layer deposited for the longest time (and hence having the greatest thickness).
While the present invention has been described primarily in conjunction with detecting variation in fluctuation of a detected optical emission signal, the present invention is not limited to detecting this type of signal. Other attributes of a plasma process, such as chamber pressure, chamber temperature, or RF power delivered to a plasma chamber, also contain information useful in monitoring progress of the process.
Accordingly, FIG. 9 is a schematic diagram of processing system 900 comprising a plasma system 901 wherein the inventive process monitoring system 904 is adapted to monitor fluctuations in RF power delivered to a wafer pedestal of a plasma chamber. Specifically, within the inventive process monitoring system 904, signals representative of RF power delivered to the plasma chamber 906 are supplied to the processor 922. The RF power signals are supplied from an RF wafer bias generator 902 of the plasma system 901 via a fifth control bus 903. It will be understood that an optical detector may be employed to supply OE signals to the processor 922 along with the RF power signals if desired.
As shown in FIG. 9, the RF wafer bias generator 902 is coupled to the recipe control port 911 (e.g., for receiving the RF power level required for the desired plasma recipe) and to a wafer pedestal 907 located within the plasma chamber 906. The wafer pedestal 907 is shown having a semiconductor wafer 908 disposed thereon. As is known in the art, when an inductively coupled plasma source is employed to generate the plasma 918, typically an RF generator (not shown) drives an RF antenna (not shown) disposed outside the plasma chamber 906, and if a wafer bias is desired, a separate RF wafer bias generator (e.g., the RF wafer bias generator 902) provides the wafer bias. However, when a capacitively coupled plasma source is employed, a second electrode (not shown) is disposed within the plasma chamber 906, and a single RF generator (e.g., the RF wafer bias generator 902) delivers power to both the wafer pedestal 907 and the second electrode.
The RF wafer bias generator 902 comprises a data port such as an analog input/output interface 910 at the backside of the generator for controlling and monitoring the RF power delivered by the generator 902. For example, the interface 910 may provide linear, 0-10 volt, DC voltage outputs that are scaled to represent the power delivered to the wafer pedestal 907 from the RF generator 902 (i.e., the forward power) and the power reflected from the wafer pedestal 907 back to the RF generator 902 (i.e., the reflected power), or the forward and reflected power signals may be represented in any other analog or digital form with arbitrary scaling. These forward and reflected power signals are provided to the processor 922 as RF power “detection” signals via the fifth control bus 903 and monitored over time to provide information regarding the progress of the process.
While the embodiment described in FIG. 9 detects endpoint by monitoring fluctuation of RF power applied directly to the plasma chamber, the present invention is not limited to this configuration. Fluctuation in RF power occurring in a plasma cell positioned downstream from the plasma chamber, as described in conjunction with FIG. 7, could also be monitored to determine process endpoint.
Moreover, while the above discussion focuses upon use of the present method to monitor progress and endpoint of a plasma chamber cleaning process, the invention is not limited to this type of application. The method in accordance with the present invention could be also be employed to detect endpoints in other semiconductor fabrication processes. For example, an output of a metrology sensor could also be monitored to provide information concerning progress of a chemical mechanical polishing (CMP) process. The present invention is also applicable to monitor other fabrication techniques such as photolithography baking steps.
Given the above detailed description of the present invention and the variety of embodiments described therein, these equivalents and alternatives along with the understood obvious changes and modifications are intended to be included within the scope of the present invention.

Claims (35)

What is claimed is:
1. A method for monitoring a semiconductor fabrication process comprising:
measuring a value of an output from the semiconductor fabrication process;
characterizing a fluctuation in the value of the output over a time period of 10 milliseconds or less; and
correlating the fluctuation to an event of the semiconductor fabrication process.
2. The method of claim 1 wherein measuring a value of an output comprises detecting an analog value of the output.
3. The method of claim 2 wherein characterizing fluctuation in the output comprises determining a standard deviation of the output from a local average.
4. The method of claim 3 wherein determining a standard deviation comprises:
passing the analog signal through a band pass filter to produce a filtered analog signal;
multiplying the filtered analog signal by itself to produce a squared filtered analog signal; and
passing the squared filtered analog signal through a low pass filter.
5. The method of claim 2 wherein characterizing a fluctuation comprises calculating a root-mean-square of the value.
6. The method of claim 1 wherein measuring a value of an output comprises:
detecting an analog value of the output; and
sampling the analog value of the detected process output at a sampling rate of 1 kHz or greater; and
converting the sampled analog value to a digital value.
7. The method of claim 6 wherein characterizing a fluctuation comprises calculating a standard deviation of the sampled value over the second time period.
8. The method of claim 6 wherein characterizing a fluctuation comprises:
performing a Fast Fourier Transformation (FFT) to resolve the sampled value into an amplitude of a frequency component; and
plotting a fluctuation of the amplitude.
9. The method of claim 6 wherein characterizing a fluctuation in the value of the output comprises calculating a root-mean-square of the sampled value.
10. The method of claim 1 wherein correlating fluctuation in value of the output to a process event comprises correlating a steady state of the output value to a process endpoint.
11. The method of claim 1 wherein measuring a value of the output comprises measuring an intensity of light emitted from a plasma.
12. The method of claim 11 wherein the light intensity is measured in a chamber in which the plasma is generated.
13. The method of claim 11 wherein the light intensity is measured in a cell downstream from a chamber in which the plasma is generated.
14. The method of claim 11 wherein measuring an intensity of light comprises measuring a current output by a photodiode receiving the emitted light.
15. The method of claim 11 wherein measuring an intensity of light comprises measuring a current output by a monochrometer receiving the emitted light and coupled to a photomultiplier tube.
16. The method of claim 1 wherein measuring a value of the output comprises measuring an RF power supplied during generation of a plasma.
17. The method of claim 16 wherein the RF power is measured in a chamber in which the plasma is generated.
18. The method of claim 16 wherein the RF power is measured in a cell downstream from a chamber in which the plasma is generated.
19. The method of claim 1 wherein measuring a value of the output comprises measuring a temperature.
20. The method of claim 1 wherein measuring a value of the output comprises measuring a mass of a product material by mass spectrometry.
21. An apparatus for processing a substrate, said apparatus comprising:
a substrate processing chamber;
a sensor operatively coupled to said substrate processing chamber to detect a value of an output from the chamber;
a computer processor operatively coupled to said sensor; and
a memory coupled to said computer processor, said memory storing a computer program in computer readable format including computer instructions to permit said processor to;
measure a value of an output from the process, and
characterize a fluctuation in the value of the output over a time period of 10 milliseconds or less.
22. The apparatus of claim 21 wherein:
the substrate processing chamber comprises a plasma chamber;
the sensor comprises a photodiode in optical communication with the plasma chamber through a window; and
the memory stores a computer program including computer instructions to permit said processor to measure an intensity of plasma emission.
23. The apparatus of claim 21 wherein:
the substrate processing chamber comprises a plasma chamber;
the sensor comprises a monochrometer in optical communication with the plasma chamber through a window and coupled to a photomultiplier tube; and
the memory stores a computer program including computer instructions to permit said processor to measure an intensity of plasma emission.
24. The apparatus of claim 21 wherein:
the substrate processing chamber comprises a plasma chamber;
the sensor is in electrical communication with an RF wafer bias generator; and
the memory stores a computer program including computer instructions to permit said processor to measure an RF power.
25. The apparatus of claim 21 wherein the memory stores a computer program including computer instructions enabling the processor to characterize a fluctuation by calculating a standard deviation of the value of the output.
26. The apparatus of claim 21 wherein the memory stores a computer program including computer instructions enabling the processor to characterize a fluctuation by performing a Fast Fourier Transformation of the value of the output.
27. The apparatus of claim 21 wherein the memory stores a computer program including computer instructions enabling the processor to characterize a fluctuation by calculating an entropy of the value of the output.
28. The apparatus of claim 21 wherein the processor comprises:
a band pass filter in electrical communication with the sensor and receiving an analog electrical signal from the sensor;
a multiplier in electrical communication with the band pass filter and receiving a filtered electrical signal from the band pass filter; and
a low pass filter in electrical communication with the multiplier and receiving a multiplied signal from the multiplier.
29. The apparatus of claim 21 wherein the processor comprises:
an analog to digital converter in electrical communication with the sensor and receiving an analog electrical signal from the sensor; and
a logic structure in electrical communication with the analog to digital converter and receiving a sampled digital signal from the analog to digital converter and performing a calculation on the sampled digital signal.
30. The apparatus of claim 29 wherein the logic structure is configured to calculate a standard deviation of the sampled digital signal.
31. The apparatus of claim 29 wherein the logic structure is configured to perform a Fast Fourier Transformation on the sampled digital signal.
32. The apparatus of claim 21 wherein:
the substrate processing chamber comprises a plasma chamber;
the sensor comprises a photodiode in optical communication with a plasma cell remote from the plasma chamber and receiving exhaust from the plasma chamber; and
the memory stores a computer program including computer instructions to permit said processor to measure an intensity of plasma emission.
33. The apparatus of claim 21 wherein:
the substrate processing chamber comprises a plasma chamber;
the sensor comprises a monochrometer in optical communication with a plasma cell remote from the plasma chamber and coupled to a photomultiplier tube, the plasma cell receiving exhaust from the plasma chamber; and
the memory stores a computer program including computer instructions to permit said processor to measure an intensity of plasma emission.
34. The apparatus of claim 21 wherein:
the substrate processing chamber comprises a plasma chamber;
the sensor is in electrical communication with an RF wafer bias generator positioned in the plasma chamber; and
the memory stores a computer program including computer instructions to permit said processor to measure an RF power.
35. The apparatus of claim 21 wherein:
the substrate processing chamber comprises a plasma chamber;
the sensor is in electrical communication with an RF wafer bias generator positioned in a plasma cell remote from the plasma chamber and receiving the exhaust of the plasma chamber; and
the memory stores a computer program including computer instructions to permit said processor to measure an RF power.
US09/686,656 2000-10-04 2000-10-04 Detection of process endpoint through monitoring fluctuation of output data Expired - Fee Related US6745095B1 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
US09/686,656 US6745095B1 (en) 2000-10-04 2000-10-04 Detection of process endpoint through monitoring fluctuation of output data
TW090119558A TW498475B (en) 2000-10-04 2001-08-09 Detection of process endpoint through monitoring fluctuation of output data
PCT/US2001/030698 WO2002029884A2 (en) 2000-10-04 2001-10-01 Detection of process endpoint through monitoring fluctuation of output data

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/686,656 US6745095B1 (en) 2000-10-04 2000-10-04 Detection of process endpoint through monitoring fluctuation of output data

Publications (1)

Publication Number Publication Date
US6745095B1 true US6745095B1 (en) 2004-06-01

Family

ID=24757190

Family Applications (1)

Application Number Title Priority Date Filing Date
US09/686,656 Expired - Fee Related US6745095B1 (en) 2000-10-04 2000-10-04 Detection of process endpoint through monitoring fluctuation of output data

Country Status (3)

Country Link
US (1) US6745095B1 (en)
TW (1) TW498475B (en)
WO (1) WO2002029884A2 (en)

Cited By (315)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040067645A1 (en) * 2002-10-05 2004-04-08 Taiwan Semiconductor Manufacturing Co., Ltd. Method for recovering a plasma process
US20060048891A1 (en) * 2002-10-31 2006-03-09 Tokyo Electron Limited Method and apparatus for determining an etch property using an endpoint signal
US20060075968A1 (en) * 2004-10-12 2006-04-13 Applied Materials, Inc. Leak detector and process gas monitor
US20060157446A1 (en) * 2005-01-19 2006-07-20 Sumer Johel End point detection method for plasma etching of semiconductor wafers with low exposed area
US20070042509A1 (en) * 2005-08-18 2007-02-22 Texas Instruments Inc. Detecting endpoint using luminescence in the fabrication of a microelectronics device
US20080029484A1 (en) * 2006-07-25 2008-02-07 Applied Materials, Inc. In-situ process diagnostics of in-film aluminum during plasma deposition
US20080063810A1 (en) * 2006-08-23 2008-03-13 Applied Materials, Inc. In-situ process state monitoring of chamber
US20080138988A1 (en) * 2006-12-07 2008-06-12 Jeffrey Drue David Detection of clearance of polysilicon residue
US20080200032A1 (en) * 2007-02-20 2008-08-21 Hitachi Chemical Co., Ltd. Polishing method of semiconductor substrate
US20090218314A1 (en) * 2008-02-29 2009-09-03 Applied Materials, Inc. Advanced process sensing and control using near infrared spectral reflectometry
US20090261839A1 (en) * 2008-03-14 2009-10-22 Turner Terry R Effluent impedance based endpoint detection
US20110098866A1 (en) * 2008-04-11 2011-04-28 Meidensha Corporation System stabilization device
US20110104987A1 (en) * 2009-11-03 2011-05-05 Jeffrey Drue David Endpoint method using peak location of spectra contour plots versus time
US8591698B2 (en) 2006-10-31 2013-11-26 Applied Materials, Inc. Peak-based endpointing for chemical mechanical polishing
US8718810B2 (en) 2008-11-14 2014-05-06 Applied Materials, Inc. Semi-quantitative thickness determination
US20140346650A1 (en) * 2009-08-14 2014-11-27 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20170140905A1 (en) * 2015-11-16 2017-05-18 Tokyo Electron Limited Advanced optical sensor and method for plasma chamber
US9997325B2 (en) 2008-07-17 2018-06-12 Verity Instruments, Inc. Electron beam exciter for use in chemical analysis in processing systems
US10002804B2 (en) 2012-10-17 2018-06-19 Tokyo Electron Limited Method of endpoint detection of plasma etching process using multivariate analysis
US10023960B2 (en) 2012-09-12 2018-07-17 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10262859B2 (en) 2016-03-24 2019-04-16 Asm Ip Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10312129B2 (en) 2015-09-29 2019-06-04 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10340125B2 (en) 2013-03-08 2019-07-02 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US10361201B2 (en) 2013-09-27 2019-07-23 Asm Ip Holding B.V. Semiconductor structure and device formed using selective epitaxial process
US10366864B2 (en) 2013-03-08 2019-07-30 Asm Ip Holding B.V. Method and system for in-situ formation of intermediate reactive species
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10436717B2 (en) 2016-11-18 2019-10-08 Tokyo Electron Limited Compositional optical emission spectroscopy for detection of particle induced arcs in a fabrication process
US10438965B2 (en) 2014-12-22 2019-10-08 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10446453B2 (en) 2017-03-17 2019-10-15 Tokyo Electron Limited Surface modification control for etch metric enhancement
US10453653B2 (en) 2016-09-02 2019-10-22 Tokyo Electron Limited Endpoint detection algorithm for atomic layer etching (ALE)
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10480072B2 (en) 2009-04-06 2019-11-19 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US10541173B2 (en) 2016-07-08 2020-01-21 Asm Ip Holding B.V. Selective deposition method to form air gaps
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US10561975B2 (en) 2014-10-07 2020-02-18 Asm Ip Holdings B.V. Variable conductance gas distribution apparatus and method
US10566223B2 (en) 2012-08-28 2020-02-18 Asm Ip Holdings B.V. Systems and methods for dynamic semiconductor process scheduling
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10651017B2 (en) * 2016-06-30 2020-05-12 Tokyo Electron Limited Method for operation instability detection in a surface wave plasma source
US20200151239A1 (en) * 2017-05-25 2020-05-14 Oerlikon Metco (Us) Inc. Plasma gun diagnostics using real time voltage monitoring
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10773282B2 (en) 2016-03-31 2020-09-15 Tokyo Electron Limited Controlling dry etch process characteristics using waferless dry clean optical emission spectroscopy
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10896833B2 (en) 2018-05-09 2021-01-19 Applied Materials, Inc. Methods and apparatus for detecting an endpoint of a seasoning process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10910201B1 (en) 2019-08-22 2021-02-02 Tokyo Electron Limited Synthetic wavelengths for endpoint detection in plasma etching
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
WO2022256295A1 (en) * 2021-06-01 2022-12-08 Inficon, Inc. Method of detecting radicals using mass spectrometry
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11538723B2 (en) 2019-05-23 2022-12-27 Tokyo Electron Limited Optical diagnostics of semiconductor process using hyperspectral imaging
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11967488B2 (en) 2022-05-16 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6982175B2 (en) * 2003-02-14 2006-01-03 Unaxis Usa Inc. End point detection in time division multiplexed etch processes
CN113723723A (en) * 2020-05-25 2021-11-30 中国石油化工股份有限公司 Operation parameter fluctuation path extraction method and device, storage medium and processor

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4312732A (en) 1976-08-31 1982-01-26 Bell Telephone Laboratories, Incorporated Method for the optical monitoring of plasma discharge processing operations
US4948259A (en) 1989-01-14 1990-08-14 Leybold Aktiengesellschaft Method and apparatus for monitoring layer erosion in a dry-etching process
US5374327A (en) 1992-04-28 1994-12-20 Tokyo Electron Limited Plasma processing method
US5552016A (en) 1993-04-28 1996-09-03 Applied Materials, Inc. Method and apparatus for etchback endpoint detection
JPH1081965A (en) 1996-09-05 1998-03-31 Sony Corp Plasma controlling method and device therefor
US5846373A (en) 1996-06-28 1998-12-08 Lam Research Corporation Method for monitoring process endpoints in a plasma chamber and a process monitoring arrangement in a plasma chamber
WO1999021210A1 (en) 1997-10-23 1999-04-29 Massachusetts Institute Of Technology Monitor of plasma processes with multivariate statistical analysis of plasma emission spectra
WO1999040617A1 (en) 1998-02-03 1999-08-12 Tokyo Electron Yamanashi Limited End point detecting method for semiconductor plasma processing
US6046796A (en) 1998-04-22 2000-04-04 Advanced Micro Devices, Inc. Methodology for improved semiconductor process monitoring using optical emission spectroscopy
US6246972B1 (en) * 1996-08-23 2001-06-12 Aspen Technology, Inc. Analyzer for modeling and optimizing maintenance operations

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4312732A (en) 1976-08-31 1982-01-26 Bell Telephone Laboratories, Incorporated Method for the optical monitoring of plasma discharge processing operations
US4948259A (en) 1989-01-14 1990-08-14 Leybold Aktiengesellschaft Method and apparatus for monitoring layer erosion in a dry-etching process
US5374327A (en) 1992-04-28 1994-12-20 Tokyo Electron Limited Plasma processing method
US5552016A (en) 1993-04-28 1996-09-03 Applied Materials, Inc. Method and apparatus for etchback endpoint detection
US5846373A (en) 1996-06-28 1998-12-08 Lam Research Corporation Method for monitoring process endpoints in a plasma chamber and a process monitoring arrangement in a plasma chamber
US6246972B1 (en) * 1996-08-23 2001-06-12 Aspen Technology, Inc. Analyzer for modeling and optimizing maintenance operations
JPH1081965A (en) 1996-09-05 1998-03-31 Sony Corp Plasma controlling method and device therefor
WO1999021210A1 (en) 1997-10-23 1999-04-29 Massachusetts Institute Of Technology Monitor of plasma processes with multivariate statistical analysis of plasma emission spectra
US6153115A (en) * 1997-10-23 2000-11-28 Massachusetts Institute Of Technology Monitor of plasma processes with multivariate statistical analysis of plasma emission spectra
WO1999040617A1 (en) 1998-02-03 1999-08-12 Tokyo Electron Yamanashi Limited End point detecting method for semiconductor plasma processing
US6046796A (en) 1998-04-22 2000-04-04 Advanced Micro Devices, Inc. Methodology for improved semiconductor process monitoring using optical emission spectroscopy

Non-Patent Citations (3)

* Cited by examiner, † Cited by third party
Title
Department of Chemical Engineering, University of Texas, Model-Based control in Microelectronics Manufacturing, IEEE 1999, p. 4185-4191.* *
Moshe Sarfaty et al., "Temporal fluctuations: A fingerprint of surface chemical reactions", Applied Physics Letters, vol. 76, No. 24, Jun. 12, 2000, pp. 3641-3643.
Sovarong Leang, A control System for Photolithographic Sequqnces, IEEE 1996, p. 191-207.* *

Cited By (408)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040067645A1 (en) * 2002-10-05 2004-04-08 Taiwan Semiconductor Manufacturing Co., Ltd. Method for recovering a plasma process
US6927076B2 (en) * 2002-10-05 2005-08-09 Taiwan Semiconductor Manufacturing Co., Ltd Method for recovering a plasma process
US20060048891A1 (en) * 2002-10-31 2006-03-09 Tokyo Electron Limited Method and apparatus for determining an etch property using an endpoint signal
US8048326B2 (en) * 2002-10-31 2011-11-01 Tokyo Electron Limited Method and apparatus for determining an etch property using an endpoint signal
US20060075968A1 (en) * 2004-10-12 2006-04-13 Applied Materials, Inc. Leak detector and process gas monitor
US20060157446A1 (en) * 2005-01-19 2006-07-20 Sumer Johel End point detection method for plasma etching of semiconductor wafers with low exposed area
US7871830B2 (en) 2005-01-19 2011-01-18 Pivotal Systems Corporation End point detection method for plasma etching of semiconductor wafers with low exposed area
US20070042509A1 (en) * 2005-08-18 2007-02-22 Texas Instruments Inc. Detecting endpoint using luminescence in the fabrication of a microelectronics device
US20080029484A1 (en) * 2006-07-25 2008-02-07 Applied Materials, Inc. In-situ process diagnostics of in-film aluminum during plasma deposition
US20080063810A1 (en) * 2006-08-23 2008-03-13 Applied Materials, Inc. In-situ process state monitoring of chamber
US9799578B2 (en) 2006-10-31 2017-10-24 Applied Materials, Inc. Peak-based endpointing for chemical mechanical polishing
US9564377B2 (en) 2006-10-31 2017-02-07 Applied Materials, Inc. Peak-based endpointing for chemical mechanical polishing
US8591698B2 (en) 2006-10-31 2013-11-26 Applied Materials, Inc. Peak-based endpointing for chemical mechanical polishing
US20080138988A1 (en) * 2006-12-07 2008-06-12 Jeffrey Drue David Detection of clearance of polysilicon residue
US20080200032A1 (en) * 2007-02-20 2008-08-21 Hitachi Chemical Co., Ltd. Polishing method of semiconductor substrate
US20090218314A1 (en) * 2008-02-29 2009-09-03 Applied Materials, Inc. Advanced process sensing and control using near infrared spectral reflectometry
US8009938B2 (en) * 2008-02-29 2011-08-30 Applied Materials, Inc. Advanced process sensing and control using near infrared spectral reflectometry
US20090261839A1 (en) * 2008-03-14 2009-10-22 Turner Terry R Effluent impedance based endpoint detection
US8527106B2 (en) * 2008-04-11 2013-09-03 Meidensha Corporation System stabilization device
US20110098866A1 (en) * 2008-04-11 2011-04-28 Meidensha Corporation System stabilization device
US9997325B2 (en) 2008-07-17 2018-06-12 Verity Instruments, Inc. Electron beam exciter for use in chemical analysis in processing systems
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8718810B2 (en) 2008-11-14 2014-05-06 Applied Materials, Inc. Semi-quantitative thickness determination
US10480072B2 (en) 2009-04-06 2019-11-19 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10844486B2 (en) 2009-04-06 2020-11-24 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US20140346650A1 (en) * 2009-08-14 2014-11-27 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10804098B2 (en) * 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
WO2011056485A2 (en) * 2009-11-03 2011-05-12 Applied Materials, Inc. Endpoint method using peak location of spectra contour plots versus time
US9886026B2 (en) 2009-11-03 2018-02-06 Applied Materials, Inc. Endpoint method using peak location of spectra contour plots versus time
US8977379B2 (en) 2009-11-03 2015-03-10 Applied Materials, Inc. Endpoint method using peak location of spectra contour plots versus time
WO2011056485A3 (en) * 2009-11-03 2011-10-20 Applied Materials, Inc. Endpoint method using peak location of spectra contour plots versus time
US10948900B2 (en) 2009-11-03 2021-03-16 Applied Materials, Inc. Display of spectra contour plots versus time for semiconductor processing system control
US20110104987A1 (en) * 2009-11-03 2011-05-05 Jeffrey Drue David Endpoint method using peak location of spectra contour plots versus time
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US10566223B2 (en) 2012-08-28 2020-02-18 Asm Ip Holdings B.V. Systems and methods for dynamic semiconductor process scheduling
US10023960B2 (en) 2012-09-12 2018-07-17 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US10002804B2 (en) 2012-10-17 2018-06-19 Tokyo Electron Limited Method of endpoint detection of plasma etching process using multivariate analysis
US10366864B2 (en) 2013-03-08 2019-07-30 Asm Ip Holding B.V. Method and system for in-situ formation of intermediate reactive species
US10340125B2 (en) 2013-03-08 2019-07-02 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10361201B2 (en) 2013-09-27 2019-07-23 Asm Ip Holding B.V. Semiconductor structure and device formed using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10561975B2 (en) 2014-10-07 2020-02-18 Asm Ip Holdings B.V. Variable conductance gas distribution apparatus and method
US10438965B2 (en) 2014-12-22 2019-10-08 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10312129B2 (en) 2015-09-29 2019-06-04 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US20170140905A1 (en) * 2015-11-16 2017-05-18 Tokyo Electron Limited Advanced optical sensor and method for plasma chamber
US10692705B2 (en) * 2015-11-16 2020-06-23 Tokyo Electron Limited Advanced optical sensor and method for detecting an optical event in a light emission signal in a plasma chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11956977B2 (en) 2015-12-29 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10720322B2 (en) 2016-02-19 2020-07-21 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top surface
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US10262859B2 (en) 2016-03-24 2019-04-16 Asm Ip Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
US10773282B2 (en) 2016-03-31 2020-09-15 Tokyo Electron Limited Controlling dry etch process characteristics using waferless dry clean optical emission spectroscopy
US11273469B2 (en) 2016-03-31 2022-03-15 Tokyo Electron Limited Controlling dry etch process characteristics using waferless dry clean optical emission spectroscopy
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10651017B2 (en) * 2016-06-30 2020-05-12 Tokyo Electron Limited Method for operation instability detection in a surface wave plasma source
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US10541173B2 (en) 2016-07-08 2020-01-21 Asm Ip Holding B.V. Selective deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10453653B2 (en) 2016-09-02 2019-10-22 Tokyo Electron Limited Endpoint detection algorithm for atomic layer etching (ALE)
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10943771B2 (en) 2016-10-26 2021-03-09 Asm Ip Holding B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10644025B2 (en) 2016-11-07 2020-05-05 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10622375B2 (en) 2016-11-07 2020-04-14 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10436717B2 (en) 2016-11-18 2019-10-08 Tokyo Electron Limited Compositional optical emission spectroscopy for detection of particle induced arcs in a fabrication process
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10784102B2 (en) 2016-12-22 2020-09-22 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10468262B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by a cyclical deposition and related semiconductor device structures
US10446453B2 (en) 2017-03-17 2019-10-15 Tokyo Electron Limited Surface modification control for etch metric enhancement
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10950432B2 (en) 2017-04-25 2021-03-16 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11609967B2 (en) * 2017-05-25 2023-03-21 Oerlikon Metco (Us) Inc. Plasma gun diagnostics using real time voltage monitoring
US20200151239A1 (en) * 2017-05-25 2020-05-14 Oerlikon Metco (Us) Inc. Plasma gun diagnostics using real time voltage monitoring
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10672636B2 (en) 2017-08-09 2020-06-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10734223B2 (en) 2017-10-10 2020-08-04 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD913980S1 (en) 2018-02-01 2021-03-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US10896833B2 (en) 2018-05-09 2021-01-19 Applied Materials, Inc. Methods and apparatus for detecting an endpoint of a seasoning process
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11837483B2 (en) 2018-06-04 2023-12-05 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11952658B2 (en) 2018-06-27 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10755923B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11959171B2 (en) 2019-01-17 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11538722B2 (en) 2019-05-23 2022-12-27 Tokyo Electron Limited Optical diagnostics of semiconductor process using hyperspectral imaging
US11538723B2 (en) 2019-05-23 2022-12-27 Tokyo Electron Limited Optical diagnostics of semiconductor process using hyperspectral imaging
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11453946B2 (en) 2019-06-06 2022-09-27 Asm Ip Holding B.V. Gas-phase reactor system including a gas detector
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US10910201B1 (en) 2019-08-22 2021-02-02 Tokyo Electron Limited Synthetic wavelengths for endpoint detection in plasma etching
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
US11784031B2 (en) 2021-06-01 2023-10-10 Inficon, Inc. Method of detecting radicals using mass spectrometry
WO2022256295A1 (en) * 2021-06-01 2022-12-08 Inficon, Inc. Method of detecting radicals using mass spectrometry
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11967488B2 (en) 2022-05-16 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor

Also Published As

Publication number Publication date
TW498475B (en) 2002-08-11
WO2002029884A2 (en) 2002-04-11
WO2002029884A3 (en) 2003-03-13

Similar Documents

Publication Publication Date Title
US6745095B1 (en) Detection of process endpoint through monitoring fluctuation of output data
US6455437B1 (en) Method and apparatus for monitoring the process state of a semiconductor device fabrication process
US6368975B1 (en) Method and apparatus for monitoring a process by employing principal component analysis
US10002804B2 (en) Method of endpoint detection of plasma etching process using multivariate analysis
US6673200B1 (en) Method of reducing process plasma damage using optical spectroscopy
US6652710B2 (en) Process monitoring apparatus and method
US6395563B1 (en) Device for manufacturing semiconductor device and method of manufacturing the same
US6046796A (en) Methodology for improved semiconductor process monitoring using optical emission spectroscopy
EP0735565A1 (en) Method and apparatus for monitoring the dry etching of a dielectric film to a given thickness
US20020104832A1 (en) Plasma processing apparatus and method
EP0841682A2 (en) Method of detecting end point of plasma processing and apparatus for the same
JP2010518597A (en) Method and apparatus for determining process parameters of a plasma etching process
KR20070020226A (en) A method of plasma etch endpoint detection using a v-i probe diagnostics
US8048326B2 (en) Method and apparatus for determining an etch property using an endpoint signal
US8685265B2 (en) Manufacturing method of semiconductor device and semiconductor manufacturing apparatus
KR20020054479A (en) Method for observing recipe of plasma chamber
US7393459B2 (en) Method for automatic determination of substrates states in plasma processing chambers
JPH11238723A (en) Method and apparatus for plasma processing
Marcoux et al. Optical methods for end-point detection in plasma etching
WO2022256194A1 (en) In situ sensor and logic for process control
Barna et al. In Situ Metrology
KR20070020319A (en) Method and apparatus for detecting processing of semiconductor waper

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:BEN-DOV, YUVAL;SARFATY, MOSHE;GARACHTCHENKO, ALEXANDER VIKTOROVICH;REEL/FRAME:011225/0600;SIGNING DATES FROM 20001115 TO 20001120

FPAY Fee payment

Year of fee payment: 4

REMI Maintenance fee reminder mailed
LAPS Lapse for failure to pay maintenance fees
STCH Information on status: patent discontinuation

Free format text: PATENT EXPIRED DUE TO NONPAYMENT OF MAINTENANCE FEES UNDER 37 CFR 1.362

FP Lapsed due to failure to pay maintenance fee

Effective date: 20120601