US6700089B1 - Plasma processing device, its maintenance method, and its installation method - Google Patents

Plasma processing device, its maintenance method, and its installation method Download PDF

Info

Publication number
US6700089B1
US6700089B1 US09/937,669 US93766901A US6700089B1 US 6700089 B1 US6700089 B1 US 6700089B1 US 93766901 A US93766901 A US 93766901A US 6700089 B1 US6700089 B1 US 6700089B1
Authority
US
United States
Prior art keywords
assembly
processing chamber
upper electrode
removing mechanism
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
US09/937,669
Inventor
Takaaki Hirooka
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Assigned to TOKYO ELECTRON LIMITED reassignment TOKYO ELECTRON LIMITED ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: HIROOKA, TAKAAKI
Application granted granted Critical
Publication of US6700089B1 publication Critical patent/US6700089B1/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means

Definitions

  • the present invention relates to a plasma processing device, and a maintenance method and an installation method thereof.
  • Plasma processing devices are widely used during the process of manufacturing semiconductor devices in the prior art.
  • a plasma processing device includes an upper electrode and a lower electrode facing opposite each other inside an air-tight processing chamber. During the process, high-frequency power is applied to the upper electrode to generate plasma from a processing gas induced into the processing chamber. Thus, a specific type of plasma process is implemented on a workpiece placed on the lower electrode.
  • An upper electrode unit at which the upper electrode is provided assumes a complex structure having a shield box in which a power supply member such as a power supply rod for supplying the high-frequency power to the upper electrode is housed, a matching box in which a matcher and the like are housed, a processing gas supply system and the like assembled as an integrated unit. Accordingly, the upper electrode unit as a whole becomes large and heavy.
  • the device must be disassembled and reassembled each time maintenance work is performed in the prior art. This poses a problem of lowered device operating efficiency.
  • the disassembled members must be accurately aligned during the reassembly process. Such a process is bound to be complicated and time-consuming.
  • the shield box and the matching box are normally set at high positions that are hard for the operator to access. As a result, the operator is forced to assume an uncomfortable posture when mounting or dismounting the members. Thus, there is a problem in that a great onus is placed on the operator.
  • piping systems and wirings such as a processing gas supply system, and an evacuating system, a cooling water circulating system and a power supply system are usually connected to the processing device.
  • a processing gas supply system and an evacuating system
  • a cooling water circulating system and a power supply system
  • a power supply system is usually connected to the processing device.
  • An object of the present invention is to provide a new and improved plasma processing device that addresses the problems discussed above and problems other than those discussed above and a maintenance method and an installation method thereof.
  • a plasma processing device having a processing chamber in which a plasma process is implemented on a workpiece and an upper electrode unit constituting the upper wall of the processing chamber, which is characterized in that the upper electrode unit is capable of vacuum-locking the processing chamber by using its own weight and the difference between the pressure inside the processing chamber and the pressure outside the processing chamber without having to employ a means for locking, is provided.
  • the upper electrode unit vacuum locks the processing chamber without utilizing a means for locking.
  • the processing chamber can be opened by simply removing the upper electrode unit.
  • the upper electrode unit is placed in air-tight contact with the wall of the processing chamber due to the weight of the upper electrode unit and the difference between the pressure inside the processing chamber and the pressure outside the processing chamber.
  • a high degree of air-tightness is assured inside the processing chamber.
  • the processing chamber can be opened and then sealed easily and quickly. Consequently, the onus on the operator is reduced and, in addition, the length of time required for performing maintenance inside the processing chamber is reduced as well.
  • a plasma processing device having a processing chamber in which a plasma process is implemented on a workpiece and an upper electrode unit constituting the upper wall of the processing chamber, which is characterized in that the upper electrode unit is constituted of a plurality of assemblies including, at least, one assembly capable of vacuum-locking the processing chamber by using its own weight and the difference between the pressure inside the processing chamber and the pressure outside the processing chamber without having to employ a means for locking and another assembly on which the one assembly can be placed, is provided.
  • the upper electrode unit is constituted of a plurality of members to facilitate work performed by the operator.
  • the heavy upper electrode unit can be disengaged in separate parts.
  • This structure further reduces the onus placed on the operator.
  • the one assembly is placed on the other assembly.
  • the weight of the one assembly in addition to the difference between the pressure inside the processing chamber and the pressure outside the processing chamber allows the one assembly to be placed in air-tight contact with the other assembly. Consequently, the degree of air-tightness between the one assembly and the other assembly is improved.
  • the upper electrode unit is constituted of integrated assemblies that facilitate work performed by the operator. As a result, the upper electrode unit can be mounted and dismounted with ease and its maintenance is facilitated as well.
  • the third assembly weighs more than the first assembly or the second assembly. For this reason, it is desirable to constitute the one assembly as the third assembly and the other assembly as the first assembly.
  • the processing chamber can be vacuum-locked with a higher degree of reliability by using the weight of the third assembly.
  • a plasma processing device having a processing chamber in which a plasma process is implemented on a workpiece and an upper electrode unit constituting the upper wall of the processing chamber, which is characterized in that a removing mechanism utilized to disengage the upper electrode unit from the processing chamber is provided, in that the upper electrode unit is constituted of a plurality of assemblies and in that the removing mechanism is capable of disengaging at least one assembly among the plurality of assemblies by itself and also capable of disengaging at least two assemblies among the plurality of assemblies together as an integrated member, is provided.
  • an assembly that is harder for the operator to work on for instance, can be disengaged by employing the removing mechanism.
  • the onus placed on the operator is reduced.
  • an assembly can be disengaged by itself or a plurality of assemblies can be disengaged together as necessary. Consequently, the maintenance work can be performed with a high degree of efficiency within a short period of time.
  • At least one assembly is mounted detachably at the processing chamber by employing a first locking mechanism.
  • the first locking mechanism by opening/closing the first locking mechanism, the one assembly can be mounted/dismounted with ease.
  • the position of the one assembly can be determined by the first locking mechanism.
  • the one assembly can be set in air-tight contact at the processing chamber with a high degree of reliability.
  • a high degree of air-tightness is assured inside the processing chamber.
  • the individual assemblies can be mounted/dismounted and their positions can be determined with ease and accuracy.
  • the second locking mechanism by locking the second locking mechanism, the two assemblies can be mounted/dismounted as an integrated unit. When the second locking mechanism is released, either one of the assemblies can be mounted/dismounted by itself.
  • the relatively small first assembly with relatively little weight which includes, for instance, an electrode for supplying the high-frequency power into the processing chamber or a grounded electrode can be disengaged.
  • the operator can perform maintenance on, for instance, the first assembly through a simple operation without having to disassemble the upper electrode unit into separate parts.
  • the second assembly having relatively great weight and a relatively large size that holds the first assembly for instance, can be disengaged together with the third assembly by utilizing the removing mechanism.
  • maintenance work can be performed inside the processing chamber, for instance, through a simple operation and the onus on the operator can be reduced.
  • the reassembly process to be performed when the maintenance work is completed is facilitated.
  • the second assembly that includes, for instance, an electrode for supplying the high-frequency power into the processing chamber or a grounded electrode can be disengaged.
  • the second assembly can be serviced through a simple operation.
  • the first assembly can be reinstalled at the original mounting position by using the removing mechanism. As a result, the onus placed on the operator after the maintenance work on the second assembly is completed is reduced.
  • a plasma processing device having a processing chamber in which a plasma process is implemented on a workpiece and a base frame on which the processing chamber is set, which is characterized in that the base frame includes a link piping having a means for switching and in hat the piping type links a pipe connected to a fluid supply source from which a fluid to be used in the processing chamber is supplied to a pipe connected to the processing chamber, is provided.
  • the link piping is provided in the base frame.
  • the installation of the base frame and the piping work between the link piping at the base frame and the supply source can be completed.
  • the final piping process can be performed simply by connecting the link piping and the processing chamber through piping after installing the device at the base frame.
  • the piping process is facilitated and also a reduction in the length of time required for the installation is achieved.
  • the fluid does not leak even if the fluid is supplied to the link piping in advance prior to the installation of the main device. Consequently, the fluid can be supplied promptly after the piping becomes connected to the processing chamber.
  • the processing chamber as referred to in this description includes all the spaces at various devices installed on the base frame and used during the semiconductor manufacturing step during which various types of pipings become connected, including the delivery chamber of the delivery device which delivers the workpiece, as well as the processing chamber itself, in which the plasma process is implemented on the workpiece.
  • the fluid as referred to in the description may be any substance distributed via a piping including a gas such as a processing gas or a liquid such as cooling water.
  • the wirings can be connected in a manner similar to that with which the pipings are connected as described above.
  • a method for installing a plasma processing device having a processing chamber in which a plasma process is implemented on a workpiece and a base frame on which the processing chamber is placed with the base frame having a link piping provided with a means for switching and the link piping used to link a pipe connected to a fluid supply source from which a fluid to be used in the processing chamber is supplied to a pipe connected to the processing chamber which comprises a first step in which the base frame is secured onto the base on which the processing chamber is placed, a second step implementation after the first step, in which the pipe connected to the fluid supply source from which the fluid to be used in the processing chamber is supplied is connected to the link piping, a third step implemented after the second step, in which the processing chamber is secured to the base frame and a fourth step implemented after the third step, in which the pipe connected to the processing chamber is connected to the link piping, is provided.
  • the plasma processing device having the base frame can be installed speedily over a shorter period of time.
  • a link wiring having an on/off means at the base frame to link a wiring connected to a power source that provides power to be applied to the plasma processing device to a wiring connected to the plasma processing device, implement a step in which the wiring connected to the power source that provides the power to be applied to the plasma processing device is connected to the link wiring during the third step and implement a step in which the wiring connected to the plasma processing device is connected to the link wiring during the fourth step.
  • the wirings can be connected through a process similar to that implemented to connect the pipings.
  • FIG. 1 is a schematic sectional view of an etching device that may adopt the present invention
  • FIG. 2 is an enlarged schematic sectional view of the upper electrode unit of the etching device shown in FIG. 1;
  • FIG. 3 schematically illustrates a step implemented to perform maintenance on the upper electrode and inside the processing chamber of the etching device shown in FIG. 1;
  • FIG. 4 schematically illustrates a step implemented to perform maintenance on the upper electrode and inside the processing chamber of the etching device shown in FIG. 1;
  • FIG. 5 schematically illustrates a step implemented to perform maintenance on the upper electrode and inside the processing chamber of the etching device shown in FIG. 1;
  • FIG. 6 schematically illustrates a step implemented to perform maintenance on the upper electrode and inside the processing chamber of the etching device shown in FIG. 1;
  • FIG. 7 schematically illustrates the base frame of the etching device in FIG. 1;
  • FIG. 8 is a schematic perspective of the base frame in FIG. 7;
  • FIG. 9 schematically illustrates a method for installing the etching device shown in FIG. 1;
  • FIG. 10 schematically illustrates the method for installing the etching device shown in FIG. 1;
  • FIG. 11 schematically illustrates the method for installing the etching device shown in FIG. 1 .
  • a processing chamber 102 is provided in a conductive processing container 104 which is formed in a roughly cylindrical shape with an open top.
  • an upper electrode unit 103 is mounted at the ceiling of the processing chamber 102 .
  • a conductive lower electrode 108 is provided inside the processing chamber 102 .
  • the lower electrode 108 is formed so as to allow a workpiece such as a semiconductor wafer (hereafter referred to as a “wafer”) W to be placed on it.
  • the lower electrode 108 is internally provided with a coolant circulating passage 110 . Through the coolant circulating passage 110 , a coolant which cools the wafer W to sustain the temperature of the wafer W at a specific level circulates.
  • high frequency power output from a high frequency power source 134 is supplied to the upper electrode unit 103 via a matcher 138 .
  • the high-frequency power may have a frequency of, for instance, 13.56 MHz.
  • High-frequency power output from a high-frequency power source 140 is supplied to the lower electrode 108 via a matcher 142 .
  • the frequency of the high-frequency power may be, for instance, 380 KHz.
  • a shield ring 144 is provided inside the processing chamber 102 .
  • the shield ring 144 constituted of a dielectric material such as quartz covers the ceiling of the processing chamber 102 excluding the upper electrode 124 .
  • the shield ring 144 is fitted so as to interlock the outer edge of the shield ring 144 at a staged portion 104 a formed at the inner edge of the top of the processing container 104 .
  • An evacuating baffle plate 126 is provided around the lower electrode 108 .
  • the evacuating baffle plate 126 allows the gas inside the processing chamber 102 to be evacuated as necessary by a turbo-molecular pump 132 via a switching valve 128 and an evacuating quantity control valve 130 .
  • the etching device 100 in the embodiment is constituted of the main components described above. Next, a detailed explanation is given on the structure of the upper electrode unit 103 constituting the core of the present invention.
  • the upper electrode unit 103 mainly comprises first ⁇ third assemblies 202 , 204 and 206 .
  • the first assembly 202 is constituted of the upper electrode 124 , a cooling plate 154 and a baffle plate 164 .
  • the second assembly 204 is constituted of a supporting plate 146 and an insulator 158 .
  • the third assembly 206 is constituted of a shield box 106 , a matching box 136 , a power supply rod 178 and an electro-body 172 . The following is an explanation of the structure adopted in each assembly.
  • the upper electrode 124 constituting the first assembly 202 may be formed by using, for instance, silicon or aluminum having undergone an anodizing treatment, and is formed in a roughly disk shape.
  • a plurality of gas outlet holes 124 a are formed at the upper electrode 124 .
  • the processing gas is supplied into the processing chamber 102 through the gas outlet holes 124 a .
  • the cooling plate 154 is mounted on top of the upper electrode 124 by using fastening members 156 such as screws or bolts. Through the cooling plate 154 , power is delivered to the upper electrode 124 and also the heat generated at the upper electrode 124 during the process is communicated to the electro-body 172 which is to be detailed later.
  • the cooling plate 154 which is constituted of, for instance, aluminum having undergone an anodizing treatment, is formed in a roughly cylindrical shape.
  • a staged portion 154 a is formed at the outer circumference of the cooling plate 154 .
  • the staged portion 154 a is formed so as to interlock with a staged portion 158 a formed at the insulator 158 which is to be detailed later.
  • a space in which the baffle plate 164 for diffusing the processing gas is to be housed is formed toward the top of the cooling plate 154 .
  • the baffle plate 164 is constituted of a first baffle plate 166 and a second baffle plate 168 each constituted of aluminum having undergone an anodizing treatment and formed in a roughly disk shape.
  • the baffle plate 164 is fastened inside the upper space at the cooling plate 154 by fastening members 170 .
  • Through holes 166 a and 168 a are formed respectively at the upper baffle plate 166 and the lower baffle plate 168 .
  • the processing gas having travelled through the baffle plate 164 is supplied to the gas outlet holes 124 a via gas supply paths 154 c formed at the cooling plate 154 .
  • the supporting plate 146 which is a component of the second assembly 204 , constitutes part of the ceiling of the processing chamber 102 and supports the first and third assemblies 202 and 206 provided over the processing chamber 102 .
  • the supporting plate 146 which is constituted of, for instance, aluminum having undergone an anodizing treatment, is formed in a roughly toroidal shape.
  • the supporting plate 146 and the processing container 104 are detachably secured to each other by a first locking mechanism 200 such as a buckling mechanism.
  • the first locking mechanism 200 also achieves a function of determining the position of the second assembly 204 .
  • the second assembly 204 is positioned at a specific position on the processing chamber 102 and becomes secured. Such a structure simplifies the process of positioning the second assembly 204 . As a result, the second assembly 204 is mounted quickly.
  • O rings 196 and 198 are provided between the supporting plate 146 and the processing container 104 .
  • the O-ring 196 is provided to maintain air-tightness.
  • the O-ring 198 assures electrical conductivity.
  • the insulator 158 is fitted at the inner edge of the supporting plate 146 .
  • the insulator 158 is provided to insulate the first assembly 202 and the electro-body 172 constituting the third assembly 206 to be detailed later from the supporting plate 146 .
  • the insulator 158 which may be constituted of, for instance, ceramic, is formed in a roughly cylindrical shape so as to enclose the outer circumferences of the first assembly 202 and the electro-body 172 .
  • the insulator 158 is detachably supported by the supporting plate 146 at its projecting portion 158 b formed at the outer circumference of the insulator 158 that interlocks with the inner edge of the supporting plate 146 .
  • an O-ring 162 is provided between the projecting portion 158 b and the supporting plate 146 .
  • the staged portion 158 a is formed inside the insulator 158 .
  • the staged portion 158 a is provided to detachably support the first assembly 202 inserted at the insulator 158 by interlocking with the staged portion 154 a formed at the cooling plate 154 mentioned earlier. Thus, once the first assembly 202 is inserted at the insulator 158 , the first assembly 202 is set at a specific position.
  • An O-ring 160 is provided between the staged portion 154 a and the stage portion 158 a.
  • the shield box 106 which is a component of the third assembly 206 , prevents the high-frequency power from leaking to the outside of the etching device 100 .
  • the shield box 106 which may be constituted of, for instance, stainless steel, is formed in a roughly cylindrical shape so as to enclose the periphery of the power supply rod 178 , the electro-body 172 and the first and second assemblies 202 and 204 .
  • the shield box 106 also functions as a cover that covers the various mechanical units such as the first assembly 202 .
  • the shield box 106 is set on the supporting plate 146 .
  • the shield box 106 is secured by a second locking mechanism 150 , which detachably locks the shield box 106 to the supporting plate 146 .
  • the second locking mechanism 150 also achieves a function of determining the position of the third assembly 206 .
  • the third assembly 206 is set at a specific position at the second assembly 204 and becomes secured.
  • Such a structure facilitates the process of positioning the third assembly 206 .
  • the third assembly 206 can be mounted quickly.
  • the shield box 106 is grounded via the supporting plate 146 and the processing container 104 .
  • the matching box 136 is set on the shield box 106 .
  • the matching box 136 which may be constituted of, for instance, stainless steel, houses the matcher 138 .
  • the matching box 136 is secured to the shield box 106 by fastening members 174 .
  • an output unit 176 of the matcher 138 which is constituted as a projection protruding into the shield box 106 is secured via an insulating member (not shown).
  • the power supply rod 178 for communicating high-frequency power to the first assembly 202 is connected to the output unit 176 .
  • the power supply rod 178 may be constituted of, for instance, a stainless steel member formed in a roughly tubular shape.
  • the power supply rod 178 is connected to the output unit 176 and an input unit 172 a formed at the electro-body 172 .
  • An electrically conductive multi-plane contact (not shown) achieving elasticity is provided between the power supply rod 178 and the output unit 176 and between the power supply rod 178 and the input unit 172 a .
  • the output unit 176 of the matcher 138 is secured to the upper end of the power supply rod 178 via screws (not shown).
  • the input unit 172 a of the electro-body 172 is secured care the lower end of the power supply rod 178 via a pin or the like (not shown) in such a manner that a movement over approximately several mm is allowed along the vertical direction.
  • the third assembly 206 is set on the first assembly 202 in this structure, the electro-body 172 is placed in air-tight contact with the cooling plate 154 due to its own weight. As a result, a high degree of air-tightness is assured in the processing chamber 102 .
  • the cooling plate 154 is placed in air-tight contact with the insulator 158 by the weight of the electro-body 172 and the first assembly 202 .
  • the insulator 158 is placed in air-tight with the supporting plate 146 by the weight of the electro-body 172 and the first assembly 202 and also by its own weight.
  • the supporting plate 146 is then placed in air-tight contact with the processing container 104 by the weight of the first and third assemblies 202 and 206 and the weight of the insulator 158 and also by its own weight.
  • the individual members are placed in air-tight contact with each other to assure a high degree of air-tightness in the processing container 104 .
  • the electro-body 172 is provided to deliver the high-frequency power to the first assembly 202 .
  • the electro-body 172 may be a member achieved by forming anodized aluminum, for instance, in a roughly disk shape.
  • the electro-body 172 is formed in a size which allows it to be housed within the insulator 158 . Thus, the outer circumference of the electro-body 172 becomes enclosed by the insulator 158 when it is mounted.
  • the electro-body 172 is internally provided with a gas supply path 172 b .
  • a gas supply source 184 such as a fluorocarbon gas
  • the electro-body 172 is also internally provided with a coolant circulating passage 172 c . Through the coolant circulating passage 172 c , the coolant circulates. The coolant absorbs the heat generated at the upper electrode 124 during the process.
  • the temperature of the upper electrode 124 is sustained at a predetermined level.
  • An O-ring 195 for sustaining the air-tightness and an electrically conductive O-ring 182 for assuring electrical conductivity are provided between the electro-body 172 and the cooling plate 154 .
  • the removing mechanism 208 is provided to move the third assembly 206 by itself from its mounting position are to move the third assembly 206 and the second assembly 204 as an integrated unit from their mounting positions in order to disengage the third assembly 206 or the integrated unit constituted of the third assembly 206 and the second assembly 204 from the etching device 100 .
  • the removing mechanism 208 comprises a plate unit 212 , an arm unit 214 and a drive shaft 216 which is connected to a drive mechanism (not shown).
  • the plate unit 212 is fastened to the matching box 136 by fastening members 210 .
  • the arm unit 214 supports the plate unit 212 .
  • the drive shaft 216 causes the plate unit 212 to travel along the vertical direction or to rotate along the horizontal direction via the arm unit 214 . It is to be noted that the operation of the removing mechanism 208 and the structure adopted to achieve mounting/dismounting operations of the second and third assemblies 204 and 206 are to be detailed later.
  • FIGS. 3 ⁇ 6 a detailed explanation is given on the structure adopted to allow mounting/dismounting of the first ⁇ third assemblies 202 , 204 and 206 .
  • the explanation given below focuses on an example for performing maintenance on the upper electrode 124 and inside the processing chamber 102 .
  • FIGS. 3 ( a ), 4 ( a ), 5 ( a ) and 6 ( a ) present schematic perspectives of the entire etching device 100
  • FIGS. 3 ( b ), 4 ( b ), 5 ( b ) and 6 ( b ) present an enlarged schematic sectional views of the area around the shield box 106 .
  • the plate unit 212 of the removing mechanism 208 is fastened to the matching box 136 by the fastening members 210 .
  • the second locking mechanism 150 which secures the shield box 106 to the supporting plate 146 is released.
  • the drive shaft 216 is raised and rotated by the drive mechanism (not shown).
  • the third assembly 206 is also raised and rotated and thus the third assembly 206 moves away from the mounting position.
  • the third assembly 206 is constituted of the matching box 136 , the shield box 106 , the power supply rod 178 and the electro-body 172 as explained earlier. Through this procedure, the first and second assembly 202 and 204 become exposed. This procedure is enabled since the electro-body 172 of the third assembly 206 and the cooling plate 154 of the first assembly 202 are not secured to each other via screws or the like.
  • a jig 218 is mounted at the cooling plate 154 housed inside the insulator 158 as shown in FIGS. 4 ( a ) and 4 ( b ).
  • the maintenance worker disengages the first assembly 202 by hand.
  • the first assembly 202 is constituted of the cooling plate 154 , the upper electrode 124 and the baffle plate 164 as explained earlier.
  • the second assembly 204 is left on the processing chamber 102 .
  • a specific type of maintenance work is performed on the disengaged first assembly 202 . For instance, if reaction products and the like formed during the process are adhering to the upper electrode 124 , the upper electrode 124 should be cleaned.
  • the upper electrode 124 should be replaced. It is to be noted that when the first assembly 202 is serviced, the first assembly 202 is reinstalled into the original state by performing a procedure which is a reversal of the procedure described above.
  • the drive shaft 216 is rotated and lowered while the first assembly 202 is still disengaged. Then, the third assembly 206 is mounted at the second assembly 204 , and the shield box 106 and the supporting plate 146 are secured by the second locking mechanism 150 .
  • the first locking mechanism 200 securing the supporting plate 146 and the processing container 104 is released, as shown in FIG. 6 ( a ) and 6 ( b ).
  • the drive shaft 216 is rotated and moved upwards again to move the second assembly 204 away from its mounting position together with the third assembly 206 .
  • the processing chamber 102 becomes opened.
  • the worker removes the shield ring 144 provided inside the processing chamber 102 to completely open up the processing chamber 102 .
  • the processing chamber 102 is serviced to, for instance, clean any matter adhering to the inner wall of the processing container 104 .
  • the disengaged shield ring 144 too, can be serviced.
  • the first ⁇ third assemblies 202 , 204 and 206 are remounted through a procedure which is a reversal of the procedure described above. Namely, first, the shield ring 144 is fitted at a sidewall of the processing chamber 102 as shown in FIGS. 6 ( a ) and 6 ( b ). Next, the second and third assemblies 204 and 206 having been moved out of the way are placed upon the processing container 104 , as shown in FIGS. 5 ( a ) and 5 ( b ). In the next step, the supporting plate 146 is secured to the processing container 104 with the first locking mechanism 200 . Then, the second locking mechanism 150 is released to allow the third assembly 206 alone to move away from its mounting position.
  • the first assembly 202 is mounted at the second assembly 204 .
  • the third assembly 206 having been moved out of the way is mounted at the second assembly 204 to restore the state illustrated in FIG. 2 .
  • the shield box 106 and the supporting plate 146 are secured by employing the second locking mechanism 150 .
  • the first ⁇ third assemblies 202 , 204 and 206 are remounted at the etching device 100 .
  • the upper electrode 124 and the processing chamber 102 are both serviced.
  • the upper electrode 124 alone may be serviced as described below.
  • the first assembly 202 is disengaged through steps equivalent to those in FIGS. 3 ( a ) and 3 ( b ) and FIGS. 4 ( a ) and 4 ( b ) explained earlier. Then, maintenance work is performed on the upper electrode 124 of the disengaged first assembly 202 . After the first assembly 202 has been serviced it is remounted at the second assembly 204 .
  • the embodiment assumes the structure described above.
  • the upper electrode unit 103 is constituted of three separate assemblies, i.e., the first ⁇ third assemblies 202 , 204 and 206 , that are each provided as an integrated unit.
  • the second and third assemblies 204 and 206 which are heavy and large, are moved by utilizing the removing mechanism 208 .
  • the onus placed on the maintenance worker is reduced.
  • the first assembly 202 is pulled upward off the second assembly 202 *[1] by the maintenance worker. This allows the operator to maintain a better work posture.
  • an etching device 100 is installed on a base on which various devices are installed, e.g., on a floor 352 of a clean room, together with a load lock device 362 , by utilizing a process ship (casters) 302 and a base frame 306 .
  • the load lock device 362 which connects the etching device 100 to a delivery device 364 , includes a delivery path through which a wafer W is delivered.
  • the process ship 302 also functions as a supporting frame which supports the etching device 100 and the load lock device 362 .
  • the process ship 302 which is provided with detachable casters 370 , is allowed to move freely.
  • the base frame 306 supports the process ship 302 and the delivery device 364 . It is to be noted that the delivery device 364 may be installed on the floor 352 instead of on the base frame 306 .
  • the base frame 306 should be constituted of a material such as a steel material that has sufficient strength to withstand the heavy load which includes the weight of the etching device 100 , the load lock device 362 and the delivery device 364 and should be formed in a rough frame shape, as illustrated in FIG. 8 . It is to be noted that while the base frame 306 is formed as an integrated unit in the sample shown in FIG. 8, it may be constituted of two or three separate parts instead.
  • a staged portion 306 a is formed at the base frame 306 . The staged portion 306 a has a thickness smaller than the thickness at the remaining portion of the base frame 306 . This structure allows the process ship 302 to pass over the base frame 306 .
  • the base frame 306 is internally provided with or mounted with first ⁇ fifth link pipings 308 , 310 , 312 , 314 and 316 .
  • first ⁇ fifth link pipings 308 , 310 , 312 , 314 and 316 are pre-designed and pre-installed in conformance to the connecting positions at which the pipings are connected to the etching device 100 .
  • a first feed pipe 116 a and a second feed pipe 116 b through which the coolant is supplied from a coolant tank (not shown) to the coolant circulating passage 110 are connected to the first link piping 308 .
  • a first drain pipe 118 a and a second drain pipe 118 b through which the coolant is drained into the coolant tank from the coolant circulating passage 110 are connected to the second link piping 310 .
  • a first gas supply pipe 322 a and a second gas supply pipe 322 b for supplying dry air from a gas supply source (not shown) to the etching device 100 are connected to the third link piping 312 .
  • a third gas supply pipe 324 a and a fourth gas supply pipe 324 b through which an inert gas is supplied from a gas supply source (not shown) into the processing chamber 102 are connected to the fourth link piping 314 .
  • a first evacuating pipe 330 a and a second evacuating pipe 330 b through which discharged gas is evacuated from the turbo-molecular pump 132 mentioned earlier to a dry pump (not shown) are connected to the fifth link piping 316 .
  • Switching valves 320 , 326 and 328 each constituting a means for switching are provided respectively at the first link piping 308 , the third link piping 312 and the fourth link piping 314 .
  • the switching valves 320 , 326 and 328 are all internally mounted at the base frame 306 . In this structure, by leaving the switching valves 320 , 326 and 328 in a closed state, the gases and the like can be supplied to the first, third and fourth by the link pipings 308 , 312 and 314 before the etching device 100 is installed. As a result, the gas and the like can be promptly supplied after the etching device 100 is installed.
  • the gas box 186 explained earlier is secured at the base frame 306 .
  • a sixth link piping 318 is provided at the gas box 186 .
  • a fifth gas supply pipe 332 a and a sixth gas supply pipe 332 b through which the processing gas is supplied from the gas supply source 184 into the processing chamber 102 are connected to the sixth link piping 318 .
  • a switching valve 330 internally mounted at the gas box 186 is provided at the sixth link piping 318 . It is to be noted that while only a single gas supply system is shown inside the gas box 186 in FIG. 7, a plurality of gas supply systems, the number of which corresponds to the number of gases constituting the processing gas to be supplied into the processing chamber 102 are provided in the gas box 186 in reality.
  • the base frame 306 is also internally provided with a link wiring 354 as shown in FIGS. 7 and 8.
  • a first wiring 356 a and a second wiring 356 b through which power output from a source (not shown) is supplied to the etching device 100 are connected to the link wiring 354 as illustrated in FIG. 7 .
  • the link wiring 354 is pre-designed and pre-installed in conformance to the position at which the wiring is connected at the etching device 100 .
  • a switch 360 constituting an on/off means is provided at the link wiring 354 , as illustrated in FIG. 7 .
  • the switch 360 is internally mounted at the base frame 306 . This structure allows power to be supplied to the link wiring 354 before the etching device 100 is installed as long as the switch 360 is turned off. As a result, it is possible to supply power promptly after the etching device 100 is installed.
  • link pipings and a link wiring structured roughly identically to those described above are provided in conjunction with the load lock device 362 as well at the base frame 306 .
  • pipes for supplying and draining the fluids in a manner similar to that described above and a power supply source are connected to these link pipings and link wirings.
  • a switching valve or a switch is provided at each of the link pipings and the link wiring as necessary as well.
  • the base frame 306 is secured to the floor 352 of the clean room by using earthquake-proof metal fixtures such as bolts.
  • the first ⁇ sixth pipes 116 a , 118 b , 322 a , 324 a , 332 a and 330 b connected to the gas/liquid supply sources and the fluid source constituted of a vacuum pump and the first wiring 356 a connected to the power supply source are buried under the floor of the clean room, for instance, as shown in FIGS. 7 and 8.
  • first ⁇ sixth pipes 116 a , 118 b , 322 a , 324 a , 332 a and 330 b and the first wiring 35 a are respectively connected to the first ⁇ sixth link pipings 308 , 310 , 312 , 314 , 316 and 318 and the link wiring 354 .
  • the delivery device 364 is set on the base frame 306 . It is to be noted that if the delivery device 364 is not to be installed on the base frame 306 , the delivery device 364 should be installed on the floor 352 .
  • a delivery mechanism (not shown) which delivers the wafer W is provided inside the delivery device 364 .
  • a cassette chamber 366 is connected to the delivery device 364 . The cassette (not shown) to house the wafer W is provided inside the cassette chamber 366 .
  • the process ship 302 is moved close to the base frame 306 .
  • the etching device 100 and the load lock device 362 are set on the process ship 302 in a connected state.
  • the process ship 302 is set parallel to, for instance, the base frame 306 .
  • the process ship 302 is aligned so as to allow the delivery device 364 to be connected with the load lock device 362 as shown in FIG. 11 .
  • an elevator mechanism 372 connected to the casters 370 is lowered to set the process ship 302 on the base frame 306 .
  • the casters 370 are taken off and the process ship 302 is secured to the base frame 306 with the earthquake proof metal fixture and the like. It is to be noted that the process ship 302 may be secured to the floor 352 instead.
  • the load lock device 362 is connected to the delivery device 364 .
  • the pipes 116 b , 118 a , 322 b , 324 b , 332 b and 330 a and the second wiring 356 b already connected to the etching device 100 are respectively connected to the first ⁇ sixth link pipings 308 , 310 , 312 , 314 , 316 and 318 and the link wiring 354 .
  • the individual connections are made via a through opening 302 a provided at the process ship 302 .
  • the embodiment adopting the structure described above allows the base frame 306 , which is provided to support the etching device 100 and the like, to be secured to the base before the etching device 100 and the like are brought to the installation site, e.g., while the etching device 100 and the like are being manufactured.
  • the pipes 116 a , 118 b , 322 a , 324 a , 332 a and 330 b on the fluid source side which require difficult piping work can be laid out and connected to the first ⁇ sixth link pipings 308 , 310 , 312 , 314 , 316 and 318 in advance during the production.
  • the length of time to elapse between the installation of the etching device 100 and the like and the start of the actual operation is greatly reduced. Furthermore, as long as the switching valves 320 , 326 , 328 and 330 remain closed, the gases and the like do not leak before the etching device 100 is connected.
  • the present invention is not restricted by these details.
  • the present invention may be adopted when the number of assemblies is two or four or more or when members included in the individual assemblies are different from those in the embodiment, as well.
  • the present invention is not limited to this example.
  • the second assembly can be set at a specific position by fitting an indentation and/or a projection formed at the lower surface of the supporting plate with a projection and/or an indentation formed at the top surface of the processing container, without having to utilize the first locking mechanism. It is to be noted that in such a case, too, a high degree of air-tightness is maintained at the processing container due to the weight of the assemblies and the difference between the pressure inside the processing chamber and the pressure outside the processing chamber.
  • the present invention is not restricted by these structural details.
  • the present invention may be adopted in conjunction with locking mechanisms constituted of other mechanisms as long as the second assembly and the processing container or the second assembly and the third assembly can the positioned relative to each other and secured.
  • the present invention is not restricted by these details either.
  • the present invention may be adopted by, for instance, securing the insulator to the supporting plate via a retaining member as well.
  • the present invention is not limited to this example.
  • the present invention may be implemented by leaving the removing mechanism and the matching box in a locked state at all times instead.
  • the present invention is not limited to this example.
  • the present invention may be adopted in conjunction with an upper electrode that constitutes a ground electrode, instead.
  • the present invention is not restricted to these structural details.
  • the present invention may be instead implemented by constituting a grounding path with the electro-body, the power supply rod and the like.
  • link pipings for supplying specific gases or fluids are provided at the base frame
  • present invention is not restricted to such structural details.
  • the present invention may be implemented by providing a link piping through which any type of fluid required in a plasma processing device is supplied at the base frame.
  • the number of link pipings and the number of link wirings provided at the base frame can be adjusted as necessary in conformance to the design of a given processing device.
  • the present invention is not restricted to these details.
  • the present invention may be implemented by internally providing or externally mounting the link pipings and the link wiring as appropriate at the base frame in conformance to the design of a given processing device.
  • the present invention is not limited to this example.
  • the present invention may be adopted in any of various types of plasma processing devices including magnetron plasma processing devices and inductively coupled plasma processing devices as well.
  • the present invention may be adopted in an apparatus that performs various types of plasma processing including ashing and film formation processing.
  • it may be adopted in an apparatus that performs processing on a glass substrate to constitute an LCD.
  • the present invention makes it possible for the maintenance worker to assume a better work posture while performing maintenance work on the electrode or inside the processing chamber.
  • the heavy and large members do not need to be mounted or dismounted by the maintenance worker.
  • the onus placed on the worker is reduced.
  • the work process to be carried out by the maintenance worker is greatly simplified and thus, the length of time required for the maintenance work is reduced.
  • the length of time to elapse between the plasma processing device installation and the start of the actual operation of the device is reduced. Thus, the production of can be started promptly.
  • the present invention can be adopted in a plasma processing device and, more specifically, in a plasma etching device, a plasma ashing device and a plasma CVD (chemical vapor deposition) device.
  • a plasma processing device and, more specifically, in a plasma etching device, a plasma ashing device and a plasma CVD (chemical vapor deposition) device.
  • the electro-body 172 is internally provided with a gas supply path 172 b .
  • a gas supply source 184 such as a fluorocarbon gas
  • the electro-body 172 is also internally provided with a coolant circulating passage 172 c . Through the coolant circulating passage 172 c , the coolant circulates. The coolant absorbs the heat generated at the upper electrode 124 during the process.
  • the temperature of the upper electrode 124 is sustained at a predetermined level.
  • An O-ring 195 for sustaining the air-tightness and an electrically conductive O-ring 182 for assuring electrical conductivity are provided between the electro-body 172 and the cooling plate 154 .

Abstract

An upper electrode unit constituting the upper wall of a processing chamber of an etching device includes a first assembly that includes an upper electrode, a second assembly that supports the first assembly and a third assembly that includes power supply routes. After releasing a second locking mechanism and disengaging the third assembly alone with a removing mechanism, the first assembly is disengaged to perform maintenance on the upper electrode. After locking the second locking mechanism and releasing a first locking mechanism, the removing mechanism is utilized to disengage the second and third assemblies and, as a result, the processing chamber is opened to enable maintenance. By adopting the structure described above, a plasma processing device and a maintenance method thereof, that facilitate maintenance and reduce the workload imposed on the operator, are provided.

Description

TECHNICAL FIELD
The present invention relates to a plasma processing device, and a maintenance method and an installation method thereof.
BACKGROUND ART
Plasma processing devices are widely used during the process of manufacturing semiconductor devices in the prior art. A plasma processing device includes an upper electrode and a lower electrode facing opposite each other inside an air-tight processing chamber. During the process, high-frequency power is applied to the upper electrode to generate plasma from a processing gas induced into the processing chamber. Thus, a specific type of plasma process is implemented on a workpiece placed on the lower electrode.
An upper electrode unit at which the upper electrode is provided assumes a complex structure having a shield box in which a power supply member such as a power supply rod for supplying the high-frequency power to the upper electrode is housed, a matching box in which a matcher and the like are housed, a processing gas supply system and the like assembled as an integrated unit. Accordingly, the upper electrode unit as a whole becomes large and heavy.
This necessitates the operator to perform maintenance work such as cleaning the upper electrode and the inside of the processing chamber after disassembling the upper electrode unit into members with weights and in sizes that allow for easier handling. In addition, when the maintenance work is completed, the individual members must be reassembled into the upper electrode unit.
As described above, the device must be disassembled and reassembled each time maintenance work is performed in the prior art. This poses a problem of lowered device operating efficiency. In addition, the disassembled members must be accurately aligned during the reassembly process. Such a process is bound to be complicated and time-consuming. The shield box and the matching box are normally set at high positions that are hard for the operator to access. As a result, the operator is forced to assume an uncomfortable posture when mounting or dismounting the members. Thus, there is a problem in that a great onus is placed on the operator.
In addition, numerous piping systems and wirings such as a processing gas supply system, and an evacuating system, a cooling water circulating system and a power supply system are usually connected to the processing device. When installing such a processing device at a semiconductor manufacturing plant or the like, it is crucial to reduce the length of time required for the installation work by efficiently connecting the piping systems and the wirings. However, the pipings and the wirings are connected after delivering the device to the installation site.
The present invention has been completed by addressing the problems of the prior art discussed above. An object of the present invention is to provide a new and improved plasma processing device that addresses the problems discussed above and problems other than those discussed above and a maintenance method and an installation method thereof.
DISCLOSURE OF THE INVENTION
In order to achieve the object described above, in a first aspect of the present invention, a plasma processing device having a processing chamber in which a plasma process is implemented on a workpiece and an upper electrode unit constituting the upper wall of the processing chamber, which is characterized in that the upper electrode unit is capable of vacuum-locking the processing chamber by using its own weight and the difference between the pressure inside the processing chamber and the pressure outside the processing chamber without having to employ a means for locking, is provided.
According to the present invention, the upper electrode unit vacuum locks the processing chamber without utilizing a means for locking. When this structure is adopted, the processing chamber can be opened by simply removing the upper electrode unit. In addition, by placing the upper electrode unit on the processing chamber and reducing the pressure inside the processing chamber to a pressure lower than the pressure outside the processing chamber, the upper electrode unit is placed in air-tight contact with the wall of the processing chamber due to the weight of the upper electrode unit and the difference between the pressure inside the processing chamber and the pressure outside the processing chamber. As a result, a high degree of air-tightness is assured inside the processing chamber. As described above, the processing chamber can be opened and then sealed easily and quickly. Consequently, the onus on the operator is reduced and, in addition, the length of time required for performing maintenance inside the processing chamber is reduced as well.
In a second aspect of the present invention, a plasma processing device having a processing chamber in which a plasma process is implemented on a workpiece and an upper electrode unit constituting the upper wall of the processing chamber, which is characterized in that the upper electrode unit is constituted of a plurality of assemblies including, at least, one assembly capable of vacuum-locking the processing chamber by using its own weight and the difference between the pressure inside the processing chamber and the pressure outside the processing chamber without having to employ a means for locking and another assembly on which the one assembly can be placed, is provided.
According to the present invention, the upper electrode unit is constituted of a plurality of members to facilitate work performed by the operator. Thus, the heavy upper electrode unit can be disengaged in separate parts. This structure further reduces the onus placed on the operator. In addition, the one assembly is placed on the other assembly. As a result, the weight of the one assembly in addition to the difference between the pressure inside the processing chamber and the pressure outside the processing chamber allows the one assembly to be placed in air-tight contact with the other assembly. Consequently, the degree of air-tightness between the one assembly and the other assembly is improved.
It is desirable to include a first assembly having an electrode for supplying high-frequency power into the processing chamber or a grounded electrode, a second assembly that holds the first assembly and a third assembly having a high-frequency power supply path or a grounding path in the upper electrode unit. In this structure, the upper electrode unit is constituted of integrated assemblies that facilitate work performed by the operator. As a result, the upper electrode unit can be mounted and dismounted with ease and its maintenance is facilitated as well.
In addition, it is desirable to constitute the one assembly as the first assembly and the other assembly as the second assembly. This structure allows maintenance on the electrode to be performed with ease.
Under normal circumstances, the third assembly weighs more than the first assembly or the second assembly. For this reason, it is desirable to constitute the one assembly as the third assembly and the other assembly as the first assembly. When this structure is adopted, the processing chamber can be vacuum-locked with a higher degree of reliability by using the weight of the third assembly.
In a third aspect of the present invention, a plasma processing device having a processing chamber in which a plasma process is implemented on a workpiece and an upper electrode unit constituting the upper wall of the processing chamber, which is characterized in that a removing mechanism utilized to disengage the upper electrode unit from the processing chamber is provided, in that the upper electrode unit is constituted of a plurality of assemblies and in that the removing mechanism is capable of disengaging at least one assembly among the plurality of assemblies by itself and also capable of disengaging at least two assemblies among the plurality of assemblies together as an integrated member, is provided.
In this structure, an assembly that is harder for the operator to work on, for instance, can be disengaged by employing the removing mechanism. As a result, the onus placed on the operator is reduced. In addition, depending upon the type of maintenance being performed, an assembly can be disengaged by itself or a plurality of assemblies can be disengaged together as necessary. Consequently, the maintenance work can be performed with a high degree of efficiency within a short period of time.
It is desirable to allow at least one assembly to be mounted detachably at the processing chamber by employing a first locking mechanism. In this structure, by opening/closing the first locking mechanism, the one assembly can be mounted/dismounted with ease. In addition, the position of the one assembly can be determined by the first locking mechanism. As a result, the one assembly can be set in air-tight contact at the processing chamber with a high degree of reliability. Thus, a high degree of air-tightness is assured inside the processing chamber.
In addition, it is desirable to allow at least two assemblies to be detachably assembled with each other by employing a second locking mechanism. In this structure, the individual assemblies can be mounted/dismounted and their positions can be determined with ease and accuracy. Furthermore, by locking the second locking mechanism, the two assemblies can be mounted/dismounted as an integrated unit. When the second locking mechanism is released, either one of the assemblies can be mounted/dismounted by itself.
It is desirable to include a first assembly having an electrode for supplying high-frequency power into the processing chamber or a grounded electrode, a second assembly that holds the first assembly and a third assembly having a high-frequency power supply path or a grounding path in the upper electrode unit.
In a fourth aspect of the present invention, a method for performing maintenance on a plasma processing device having a processing chamber in which a plasma process is implemented on a workpiece, an upper electrode unit constituting the upper wall of the processing chamber and a removing mechanism used to disengage the upper electrode unit from the processing chamber with the upper electrode unit having at least a first assembly, a second assembly and a third assembly, which comprises a step in which the third assembly is secured to the removing mechanism and is disengaged, a step in which the first assembly is disengaged without using the removing mechanism, a step in which the third assembly and the second assembly are assembled together as an integrated unit, a step in which the third assembly coupled with the second assembly is secured to the removing mechanism and is disengaged and a step in which maintenance work is performed on at least one of; the first assembly, the second assembly, the third assembly and the processing chamber, is provided.
According to the present invention, after disengaging the large third assembly with relatively great weight which includes, for instance, a power supply path through which the high-frequency power is supplied or a grounding path with the removing mechanism, the relatively small first assembly with relatively little weight which includes, for instance, an electrode for supplying the high-frequency power into the processing chamber or a grounded electrode can be disengaged. Thus, the operator can perform maintenance on, for instance, the first assembly through a simple operation without having to disassemble the upper electrode unit into separate parts. In addition, after disengaging the first assembly, the second assembly having relatively great weight and a relatively large size that holds the first assembly, for instance, can be disengaged together with the third assembly by utilizing the removing mechanism. As a result, maintenance work can be performed inside the processing chamber, for instance, through a simple operation and the onus on the operator can be reduced. Furthermore, the reassembly process to be performed when the maintenance work is completed is facilitated.
In a fifth aspect of the present invention, a method for performing maintenance on a plasma processing device having a processing chamber in which a plasma process is implemented on a workpiece, an upper electrode unit constituting the upper wall of the processing chamber and a removing mechanism used to disengage the upper electrode unit from the processing chamber with the upper electrode unit having at least a first assembly and a second assembly, which comprises a step in which the first assembly is secured to the removing mechanism and is disengaged, a step in which the second assembly is disengaged without using the removing mechanism, a step in which maintenance work is performed on the disengaged second assembly, a step in which the second assembly having been serviced is reinstalled to the original position without using the removing mechanism and a step in which the first assembly secured to the removing mechanism is reinstalled to the original position, is provided.
According to the present invention, after the first assembly which includes, for instance, a supply path through which the high-frequency power is supplied or a grounding path is disengaged by using the removing mechanism, the second assembly that includes, for instance, an electrode for supplying the high-frequency power into the processing chamber or a grounded electrode can be disengaged. Thus, the second assembly can be serviced through a simple operation. In addition, after remounting the second assembly having undergone the maintenance process, the first assembly can be reinstalled at the original mounting position by using the removing mechanism. As a result, the onus placed on the operator after the maintenance work on the second assembly is completed is reduced.
In a sixth aspect of the present invention, a plasma processing device having a processing chamber in which a plasma process is implemented on a workpiece and a base frame on which the processing chamber is set, which is characterized in that the base frame includes a link piping having a means for switching and in hat the piping type links a pipe connected to a fluid supply source from which a fluid to be used in the processing chamber is supplied to a pipe connected to the processing chamber, is provided.
According to the present invention, the link piping is provided in the base frame. Thus, before the main device is installed, e.g., while the main device is being manufactured, the installation of the base frame and the piping work between the link piping at the base frame and the supply source can be completed. Then, the final piping process can be performed simply by connecting the link piping and the processing chamber through piping after installing the device at the base frame. As a result, the piping process is facilitated and also a reduction in the length of time required for the installation is achieved. In addition, as long as the means for switching is closed, the fluid does not leak even if the fluid is supplied to the link piping in advance prior to the installation of the main device. Consequently, the fluid can be supplied promptly after the piping becomes connected to the processing chamber. This means that the device is allowed to enter an operating state without a great delay. It is to be noted that the processing chamber as referred to in this description includes all the spaces at various devices installed on the base frame and used during the semiconductor manufacturing step during which various types of pipings become connected, including the delivery chamber of the delivery device which delivers the workpiece, as well as the processing chamber itself, in which the plasma process is implemented on the workpiece. Furthermore, the fluid as referred to in the description may be any substance distributed via a piping including a gas such as a processing gas or a liquid such as cooling water.
It is also desirable to provide a link wiring having an on/off means at the base frame, to link a wiring connected to a power source that provides power to be applied to the plasma processing device to a wiring connected to the plasma processing device. By adopting this structure, the wirings can be connected in a manner similar to that with which the pipings are connected as described above.
In a seventh aspect of the present invention, a method for installing a plasma processing device having a processing chamber in which a plasma process is implemented on a workpiece and a base frame on which the processing chamber is placed with the base frame having a link piping provided with a means for switching and the link piping used to link a pipe connected to a fluid supply source from which a fluid to be used in the processing chamber is supplied to a pipe connected to the processing chamber, which comprises a first step in which the base frame is secured onto the base on which the processing chamber is placed, a second step implementation after the first step, in which the pipe connected to the fluid supply source from which the fluid to be used in the processing chamber is supplied is connected to the link piping, a third step implemented after the second step, in which the processing chamber is secured to the base frame and a fourth step implemented after the third step, in which the pipe connected to the processing chamber is connected to the link piping, is provided.
According to the present invention, the plasma processing device having the base frame can be installed speedily over a shorter period of time.
It is even more desirable to provide a link wiring having an on/off means at the base frame to link a wiring connected to a power source that provides power to be applied to the plasma processing device to a wiring connected to the plasma processing device, implement a step in which the wiring connected to the power source that provides the power to be applied to the plasma processing device is connected to the link wiring during the third step and implement a step in which the wiring connected to the plasma processing device is connected to the link wiring during the fourth step. Through this method, the wirings can be connected through a process similar to that implemented to connect the pipings.
BRIEF DESCRIPTION OF THE DRAWINGS
FIG. 1 is a schematic sectional view of an etching device that may adopt the present invention;
FIG. 2 is an enlarged schematic sectional view of the upper electrode unit of the etching device shown in FIG. 1;
FIG. 3 schematically illustrates a step implemented to perform maintenance on the upper electrode and inside the processing chamber of the etching device shown in FIG. 1;
FIG. 4 schematically illustrates a step implemented to perform maintenance on the upper electrode and inside the processing chamber of the etching device shown in FIG. 1;
FIG. 5 schematically illustrates a step implemented to perform maintenance on the upper electrode and inside the processing chamber of the etching device shown in FIG. 1;
FIG. 6 schematically illustrates a step implemented to perform maintenance on the upper electrode and inside the processing chamber of the etching device shown in FIG. 1;
FIG. 7 schematically illustrates the base frame of the etching device in FIG. 1;
FIG. 8 is a schematic perspective of the base frame in FIG. 7;
FIG. 9 schematically illustrates a method for installing the etching device shown in FIG. 1;
FIG. 10 schematically illustrates the method for installing the etching device shown in FIG. 1; and
FIG. 11 schematically illustrates the method for installing the etching device shown in FIG. 1.
BEST MODE FOR CARRYING OUT THE INVENTION
The following is a detailed explanation of the preferred embodiments of the plasma processing device and the methods for performing maintenance on and installing the plasma processing device according to the present invention, given in reference to the attached drawings.
First Embodiment
First, in reference to FIGS. 1˜6, a first embodiment in which the plasma processing device and the maintenance method according to the present invention are adopted in a plasma etching device and a maintenance method thereof is explained.
(1) Overall Structure of Etching Device
The structure of an etching device 100 is briefly explained. As illustrated in FIG. 1, a processing chamber 102 is provided in a conductive processing container 104 which is formed in a roughly cylindrical shape with an open top. At the ceiling of the processing chamber 102, an upper electrode unit 103 is mounted by assuring a high degree of air-tightness. A conductive lower electrode 108 is provided inside the processing chamber 102. The lower electrode 108 is formed so as to allow a workpiece such as a semiconductor wafer (hereafter referred to as a “wafer”) W to be placed on it. In addition, the lower electrode 108 is internally provided with a coolant circulating passage 110. Through the coolant circulating passage 110, a coolant which cools the wafer W to sustain the temperature of the wafer W at a specific level circulates.
A detailed explanation is to be given later on the structure and the operation of the upper electrode unit 103 which constitutes the core of the present invention. It is to be noted that high frequency power output from a high frequency power source 134 is supplied to the upper electrode unit 103 via a matcher 138. The high-frequency power may have a frequency of, for instance, 13.56 MHz. High-frequency power output from a high-frequency power source 140 is supplied to the lower electrode 108 via a matcher 142. The frequency of the high-frequency power may be, for instance, 380 KHz. Through this power application, a processing gas induced into the processing chamber 102 is raised to plasma. As a result, a specific type of etching process is implemented on the wafer W with the plasma.
In addition, a shield ring 144 is provided inside the processing chamber 102. The shield ring 144 constituted of a dielectric material such as quartz covers the ceiling of the processing chamber 102 excluding the upper electrode 124. By assuming such a structure, it becomes possible to prevent the ceiling of the processing chamber 102 from becoming worn down by the impact of the plasma. It is to be noted that the shield ring 144 is fitted so as to interlock the outer edge of the shield ring 144 at a staged portion 104 a formed at the inner edge of the top of the processing container 104.
An evacuating baffle plate 126 is provided around the lower electrode 108. The evacuating baffle plate 126 allows the gas inside the processing chamber 102 to be evacuated as necessary by a turbo-molecular pump 132 via a switching valve 128 and an evacuating quantity control valve 130.
The etching device 100 in the embodiment is constituted of the main components described above. Next, a detailed explanation is given on the structure of the upper electrode unit 103 constituting the core of the present invention.
(2) Structure of Upper Electrode Unit
As illustrated in FIG. 2, the upper electrode unit 103 mainly comprises first˜ third assemblies 202, 204 and 206. It is to be noted that the first assembly 202 is constituted of the upper electrode 124, a cooling plate 154 and a baffle plate 164. The second assembly 204 is constituted of a supporting plate 146 and an insulator 158. The third assembly 206 is constituted of a shield box 106, a matching box 136, a power supply rod 178 and an electro-body 172. The following is an explanation of the structure adopted in each assembly.
(a) Structure of First Assembly
First, the structure adopted in the first assembly 202 is explained. The upper electrode 124 constituting the first assembly 202 may be formed by using, for instance, silicon or aluminum having undergone an anodizing treatment, and is formed in a roughly disk shape. In addition, a plurality of gas outlet holes 124 a are formed at the upper electrode 124. The processing gas is supplied into the processing chamber 102 through the gas outlet holes 124 a. The cooling plate 154 is mounted on top of the upper electrode 124 by using fastening members 156 such as screws or bolts. Through the cooling plate 154, power is delivered to the upper electrode 124 and also the heat generated at the upper electrode 124 during the process is communicated to the electro-body 172 which is to be detailed later. The cooling plate 154, which is constituted of, for instance, aluminum having undergone an anodizing treatment, is formed in a roughly cylindrical shape. A staged portion 154 a is formed at the outer circumference of the cooling plate 154. The staged portion 154 a is formed so as to interlock with a staged portion 158 a formed at the insulator 158 which is to be detailed later. A space in which the baffle plate 164 for diffusing the processing gas is to be housed is formed toward the top of the cooling plate 154.
The baffle plate 164 is constituted of a first baffle plate 166 and a second baffle plate 168 each constituted of aluminum having undergone an anodizing treatment and formed in a roughly disk shape. In addition, the baffle plate 164 is fastened inside the upper space at the cooling plate 154 by fastening members 170. Through holes 166 a and 168 a are formed respectively at the upper baffle plate 166 and the lower baffle plate 168. In such a structure, the processing gas having travelled through the baffle plate 164 is supplied to the gas outlet holes 124 a via gas supply paths 154 c formed at the cooling plate 154.
(b) Structure of Second Assembly
Next, the structure adopted in the second assembly 204 is explained. The supporting plate 146, which is a component of the second assembly 204, constitutes part of the ceiling of the processing chamber 102 and supports the first and third assemblies 202 and 206 provided over the processing chamber 102. The supporting plate 146, which is constituted of, for instance, aluminum having undergone an anodizing treatment, is formed in a roughly toroidal shape. In addition, the supporting plate 146 and the processing container 104 are detachably secured to each other by a first locking mechanism 200 such as a buckling mechanism. The first locking mechanism 200 also achieves a function of determining the position of the second assembly 204. Thus, once the first locking mechanism 200 is secured, the second assembly 204 is positioned at a specific position on the processing chamber 102 and becomes secured. Such a structure simplifies the process of positioning the second assembly 204. As a result, the second assembly 204 is mounted quickly. O rings 196 and 198 are provided between the supporting plate 146 and the processing container 104. The O-ring 196 is provided to maintain air-tightness. The O-ring 198 assures electrical conductivity.
In addition, the insulator 158 is fitted at the inner edge of the supporting plate 146. The insulator 158 is provided to insulate the first assembly 202 and the electro-body 172 constituting the third assembly 206 to be detailed later from the supporting plate 146. The insulator 158, which may be constituted of, for instance, ceramic, is formed in a roughly cylindrical shape so as to enclose the outer circumferences of the first assembly 202 and the electro-body 172. The insulator 158 is detachably supported by the supporting plate 146 at its projecting portion 158 b formed at the outer circumference of the insulator 158 that interlocks with the inner edge of the supporting plate 146. In addition, an O-ring 162 is provided between the projecting portion 158 b and the supporting plate 146. The staged portion 158 a is formed inside the insulator 158. The staged portion 158 a is provided to detachably support the first assembly 202 inserted at the insulator 158 by interlocking with the staged portion 154 a formed at the cooling plate 154 mentioned earlier. Thus, once the first assembly 202 is inserted at the insulator 158, the first assembly 202 is set at a specific position. An O-ring 160 is provided between the staged portion 154 a and the stage portion 158 a.
(c) Structure of Third Assembly
The structure of the third assembly 206 is now explained. The shield box 106, which is a component of the third assembly 206, prevents the high-frequency power from leaking to the outside of the etching device 100. The shield box 106, which may be constituted of, for instance, stainless steel, is formed in a roughly cylindrical shape so as to enclose the periphery of the power supply rod 178, the electro-body 172 and the first and second assemblies 202 and 204. The shield box 106 also functions as a cover that covers the various mechanical units such as the first assembly 202.
The shield box 106 is set on the supporting plate 146. The shield box 106 is secured by a second locking mechanism 150, which detachably locks the shield box 106 to the supporting plate 146. The second locking mechanism 150 also achieves a function of determining the position of the third assembly 206. Thus, once the second locking mechanism 150 is secured, the third assembly 206 is set at a specific position at the second assembly 204 and becomes secured. Such a structure facilitates the process of positioning the third assembly 206. As a result, the third assembly 206 can be mounted quickly. In addition, the shield box 106 is grounded via the supporting plate 146 and the processing container 104.
The matching box 136 is set on the shield box 106. The matching box 136, which may be constituted of, for instance, stainless steel, houses the matcher 138. In addition, the matching box 136 is secured to the shield box 106 by fastening members 174. At the bottom of the matching box 136, an output unit 176 of the matcher 138, which is constituted as a projection protruding into the shield box 106 is secured via an insulating member (not shown). The power supply rod 178 for communicating high-frequency power to the first assembly 202 is connected to the output unit 176.
The power supply rod 178 may be constituted of, for instance, a stainless steel member formed in a roughly tubular shape. The power supply rod 178 is connected to the output unit 176 and an input unit 172 a formed at the electro-body 172. An electrically conductive multi-plane contact (not shown) achieving elasticity is provided between the power supply rod 178 and the output unit 176 and between the power supply rod 178 and the input unit 172 a. The output unit 176 of the matcher 138 is secured to the upper end of the power supply rod 178 via screws (not shown). The input unit 172 a of the electro-body 172 is secured care the lower end of the power supply rod 178 via a pin or the like (not shown) in such a manner that a movement over approximately several mm is allowed along the vertical direction. When the third assembly 206 is set on the first assembly 202 in this structure, the electro-body 172 is placed in air-tight contact with the cooling plate 154 due to its own weight. As a result, a high degree of air-tightness is assured in the processing chamber 102.
In addition, the cooling plate 154 is placed in air-tight contact with the insulator 158 by the weight of the electro-body 172 and the first assembly 202. The insulator 158, in turn, is placed in air-tight with the supporting plate 146 by the weight of the electro-body 172 and the first assembly 202 and also by its own weight. The supporting plate 146 is then placed in air-tight contact with the processing container 104 by the weight of the first and third assemblies 202 and 206 and the weight of the insulator 158 and also by its own weight. As a result, the individual members are placed in air-tight contact with each other to assure a high degree of air-tightness in the processing container 104. By performing evacuation in the processing container 104, an even higher degree of air-tight contact is achieved between the cooling plate 154 and the insulator 158, between the insulator 158 and the supporting plate 146 and between the supporting plate 146 and the processing container 104 due to the difference between the air pressure inside the processing container 104 and the air pressure outside the processing container 104. Consequently, the degree of air-tightness in the processing container 104 is further improved.
As explained earlier, the electro-body 172 is provided to deliver the high-frequency power to the first assembly 202. The electro-body 172 may be a member achieved by forming anodized aluminum, for instance, in a roughly disk shape. The electro-body 172 is formed in a size which allows it to be housed within the insulator 158. Thus, the outer circumference of the electro-body 172 becomes enclosed by the insulator 158 when it is mounted.
The electro-body 172 is internally provided with a gas supply path 172 b. As a result, when it is mounted, the processing gas supplied from a gas supply source 184 such as a fluorocarbon gas is supplied to the baffle plate 164 via a flow-regulating valve 188 and a switching valve 190 housed inside a gas box 186 and a switching valve 192, a gas inlet 194 and the gas supply path 172 b provided inside the shield box 106. The electro-body 172 is also internally provided with a coolant circulating passage 172 c. Through the coolant circulating passage 172 c, the coolant circulates. The coolant absorbs the heat generated at the upper electrode 124 during the process. As a result, the temperature of the upper electrode 124 is sustained at a predetermined level. An O-ring 195 for sustaining the air-tightness and an electrically conductive O-ring 182 for assuring electrical conductivity are provided between the electro-body 172 and the cooling plate 154.
(d) Structure of Removing Mechanism
Next, the removing mechanism 208 is explained. The removing mechanism 208 is provided to move the third assembly 206 by itself from its mounting position are to move the third assembly 206 and the second assembly 204 as an integrated unit from their mounting positions in order to disengage the third assembly 206 or the integrated unit constituted of the third assembly 206 and the second assembly 204 from the etching device 100. The removing mechanism 208 comprises a plate unit 212, an arm unit 214 and a drive shaft 216 which is connected to a drive mechanism (not shown). The plate unit 212 is fastened to the matching box 136 by fastening members 210. The arm unit 214 supports the plate unit 212. The drive shaft 216 causes the plate unit 212 to travel along the vertical direction or to rotate along the horizontal direction via the arm unit 214. It is to be noted that the operation of the removing mechanism 208 and the structure adopted to achieve mounting/dismounting operations of the second and third assemblies 204 and 206 are to be detailed later.
(3) Structure Adopted to Allow Mounting/Dismounting of First˜Third Assemblies
Next, in reference to FIGS. 3˜6, a detailed explanation is given on the structure adopted to allow mounting/dismounting of the first˜ third assemblies 202, 204 and 206. The explanation given below focuses on an example for performing maintenance on the upper electrode 124 and inside the processing chamber 102. FIGS. 3(a), 4(a), 5(a) and 6(a) present schematic perspectives of the entire etching device 100, whereas FIGS. 3(b), 4(b), 5(b) and 6(b) present an enlarged schematic sectional views of the area around the shield box 106.
As shown in FIGS. 3(a) and 3(b), the plate unit 212 of the removing mechanism 208 is fastened to the matching box 136 by the fastening members 210. Next, the second locking mechanism 150 which secures the shield box 106 to the supporting plate 146 is released. Then, the drive shaft 216 is raised and rotated by the drive mechanism (not shown). As a result, the third assembly 206 is also raised and rotated and thus the third assembly 206 moves away from the mounting position. It is to be noted that the third assembly 206 is constituted of the matching box 136, the shield box 106, the power supply rod 178 and the electro-body 172 as explained earlier. Through this procedure, the first and second assembly 202 and 204 become exposed. This procedure is enabled since the electro-body 172 of the third assembly 206 and the cooling plate 154 of the first assembly 202 are not secured to each other via screws or the like.
Next, a jig 218 is mounted at the cooling plate 154 housed inside the insulator 158 as shown in FIGS. 4(a) and 4(b). By using the jig 218, the maintenance worker disengages the first assembly 202 by hand. It is to be noted that the first assembly 202 is constituted of the cooling plate 154, the upper electrode 124 and the baffle plate 164 as explained earlier. Thus, only the second assembly 204 is left on the processing chamber 102. A specific type of maintenance work is performed on the disengaged first assembly 202. For instance, if reaction products and the like formed during the process are adhering to the upper electrode 124, the upper electrode 124 should be cleaned. Or if the upper electrode 124 has become worn due to plasma collisions, the upper electrode 124 should be replaced. It is to be noted that when the first assembly 202 is serviced, the first assembly 202 is reinstalled into the original state by performing a procedure which is a reversal of the procedure described above.
Next, as shown in FIGS. 5(a) and 5(b), the drive shaft 216 is rotated and lowered while the first assembly 202 is still disengaged. Then, the third assembly 206 is mounted at the second assembly 204, and the shield box 106 and the supporting plate 146 are secured by the second locking mechanism 150.
In the next step, the first locking mechanism 200 securing the supporting plate 146 and the processing container 104 is released, as shown in FIG. 6(a) and 6(b). Then, the drive shaft 216 is rotated and moved upwards again to move the second assembly 204 away from its mounting position together with the third assembly 206. As a result, the processing chamber 102 becomes opened. Then, the worker removes the shield ring 144 provided inside the processing chamber 102 to completely open up the processing chamber 102. Next, the processing chamber 102 is serviced to, for instance, clean any matter adhering to the inner wall of the processing container 104. During this process, the disengaged shield ring 144, too, can be serviced.
When the specific maintenance work is completed, the first˜ third assemblies 202, 204 and 206 are remounted through a procedure which is a reversal of the procedure described above. Namely, first, the shield ring 144 is fitted at a sidewall of the processing chamber 102 as shown in FIGS. 6(a) and 6(b). Next, the second and third assemblies 204 and 206 having been moved out of the way are placed upon the processing container 104, as shown in FIGS. 5(a) and 5(b). In the next step, the supporting plate 146 is secured to the processing container 104 with the first locking mechanism 200. Then, the second locking mechanism 150 is released to allow the third assembly 206 alone to move away from its mounting position. Next, as shown in FIGS. 4(a) and 4(b), the first assembly 202 is mounted at the second assembly 204. In the following step, as shown in FIGS. 3(a) and 3(b), the third assembly 206 having been moved out of the way is mounted at the second assembly 204 to restore the state illustrated in FIG. 2. Then, the shield box 106 and the supporting plate 146 are secured by employing the second locking mechanism 150. Thus, the first˜ third assemblies 202, 204 and 206 are remounted at the etching device 100.
In the example described above, the upper electrode 124 and the processing chamber 102 are both serviced. However, the upper electrode 124 alone may be serviced as described below. Namely, the first assembly 202 is disengaged through steps equivalent to those in FIGS. 3(a) and 3(b) and FIGS. 4(a) and 4(b) explained earlier. Then, maintenance work is performed on the upper electrode 124 of the disengaged first assembly 202. After the first assembly 202 has been serviced it is remounted at the second assembly 204. During this process, by mounting a spare first assembly 202 which has already been serviced at the second assembly 204 instead of remounting the disengaged first assembly 202, the length of time required for the maintenance work is reduced. Then, the third assembly 206 is mounted as illustrated in FIG. 2 to complete the maintenance process.
The embodiment assumes the structure described above. In this structure, the upper electrode unit 103 is constituted of three separate assemblies, i.e., the first˜ third assemblies 202, 204 and 206, that are each provided as an integrated unit. In addition, the second and third assemblies 204 and 206, which are heavy and large, are moved by utilizing the removing mechanism 208. Thus, the onus placed on the maintenance worker is reduced. Furthermore, the first assembly 202 is pulled upward off the second assembly 202*[1] by the maintenance worker. This allows the operator to maintain a better work posture. Moreover, it is not necessary to mount or dismount the fastening members when mounting/dismounting the first˜ third assemblies 202, 204 and 206. Consequently, a great reduction is achieved in the length of time required for the maintenance work.
Second Embodiment
Next, an embodiment in which the base frame of the plasma processing apparatus and the installation method thereof according to the present invention are adopted in an etching device and an installation method thereof is explained in reference to FIGS. 7˜11.
(1) Structure of Base Frame
As shown in FIG. 7, an etching device 100 is installed on a base on which various devices are installed, e.g., on a floor 352 of a clean room, together with a load lock device 362, by utilizing a process ship (casters) 302 and a base frame 306. The load lock device 362, which connects the etching device 100 to a delivery device 364, includes a delivery path through which a wafer W is delivered. The process ship 302 also functions as a supporting frame which supports the etching device 100 and the load lock device 362. The process ship 302, which is provided with detachable casters 370, is allowed to move freely.
The base frame 306 supports the process ship 302 and the delivery device 364. It is to be noted that the delivery device 364 may be installed on the floor 352 instead of on the base frame 306. In addition, the base frame 306 should be constituted of a material such as a steel material that has sufficient strength to withstand the heavy load which includes the weight of the etching device 100, the load lock device 362 and the delivery device 364 and should be formed in a rough frame shape, as illustrated in FIG. 8. It is to be noted that while the base frame 306 is formed as an integrated unit in the sample shown in FIG. 8, it may be constituted of two or three separate parts instead. In addition, a staged portion 306 a is formed at the base frame 306. The staged portion 306 a has a thickness smaller than the thickness at the remaining portion of the base frame 306. This structure allows the process ship 302 to pass over the base frame 306.
In addition, as shown in FIGS. 7 and 8, the base frame 306 is internally provided with or mounted with first˜ fifth link pipings 308, 310, 312, 314 and 316. By adopting this structure, the various pipes to be detailed later which are provided to supply specific types of gases and liquids to the etching device 100 can be connected instantly. The first˜ fifth link pipings 308, 310, 312, 314 and 316 are pre-designed and pre-installed in conformance to the connecting positions at which the pipings are connected to the etching device 100.
As illustrated in FIG. 7, a first feed pipe 116 a and a second feed pipe 116 b through which the coolant is supplied from a coolant tank (not shown) to the coolant circulating passage 110 are connected to the first link piping 308. A first drain pipe 118 a and a second drain pipe 118 b through which the coolant is drained into the coolant tank from the coolant circulating passage 110 are connected to the second link piping 310. A first gas supply pipe 322 a and a second gas supply pipe 322 b for supplying dry air from a gas supply source (not shown) to the etching device 100 are connected to the third link piping 312. A third gas supply pipe 324 a and a fourth gas supply pipe 324 b through which an inert gas is supplied from a gas supply source (not shown) into the processing chamber 102 are connected to the fourth link piping 314. A first evacuating pipe 330 a and a second evacuating pipe 330 b through which discharged gas is evacuated from the turbo-molecular pump 132 mentioned earlier to a dry pump (not shown) are connected to the fifth link piping 316.
Switching valves 320, 326 and 328 each constituting a means for switching are provided respectively at the first link piping 308, the third link piping 312 and the fourth link piping 314. The switching valves 320, 326 and 328 are all internally mounted at the base frame 306. In this structure, by leaving the switching valves 320, 326 and 328 in a closed state, the gases and the like can be supplied to the first, third and fourth by the link pipings 308, 312 and 314 before the etching device 100 is installed. As a result, the gas and the like can be promptly supplied after the etching device 100 is installed.
In addition, as shown in FIGS. 7 and 8, the gas box 186 explained earlier is secured at the base frame 306. A sixth link piping 318 is provided at the gas box 186. A fifth gas supply pipe 332 a and a sixth gas supply pipe 332 b through which the processing gas is supplied from the gas supply source 184 into the processing chamber 102 are connected to the sixth link piping 318. A switching valve 330 internally mounted at the gas box 186 is provided at the sixth link piping 318. It is to be noted that while only a single gas supply system is shown inside the gas box 186 in FIG. 7, a plurality of gas supply systems, the number of which corresponds to the number of gases constituting the processing gas to be supplied into the processing chamber 102 are provided in the gas box 186 in reality.
The base frame 306 is also internally provided with a link wiring 354 as shown in FIGS. 7 and 8. A first wiring 356 a and a second wiring 356 b through which power output from a source (not shown) is supplied to the etching device 100 are connected to the link wiring 354 as illustrated in FIG. 7. In this structure, the wirings through which the power is supplied to the etching device 100, too, can be connected instantly. Furthermore, the link wiring 354 is pre-designed and pre-installed in conformance to the position at which the wiring is connected at the etching device 100. A switch 360 constituting an on/off means is provided at the link wiring 354, as illustrated in FIG. 7. The switch 360 is internally mounted at the base frame 306. This structure allows power to be supplied to the link wiring 354 before the etching device 100 is installed as long as the switch 360 is turned off. As a result, it is possible to supply power promptly after the etching device 100 is installed.
It is to be noted that although not shown, link pipings and a link wiring structured roughly identically to those described above are provided in conjunction with the load lock device 362 as well at the base frame 306. In addition, pipes for supplying and draining the fluids in a manner similar to that described above and a power supply source are connected to these link pipings and link wirings. A switching valve or a switch is provided at each of the link pipings and the link wiring as necessary as well.
(2) Method of Processing Device Installation
Next, the method of installing the etching device 100 is explained. First, as shown in FIGS. 7 and 9, the base frame 306 is secured to the floor 352 of the clean room by using earthquake-proof metal fixtures such as bolts. In addition, before installing the etching device 100 and the like, the first˜ sixth pipes 116 a, 118 b, 322 a, 324 a, 332 a and 330 b connected to the gas/liquid supply sources and the fluid source constituted of a vacuum pump and the first wiring 356 a connected to the power supply source are buried under the floor of the clean room, for instance, as shown in FIGS. 7 and 8. Then, the first˜ sixth pipes 116 a, 118 b, 322 a, 324 a, 332 a and 330 b and the first wiring 35 a are respectively connected to the first˜ sixth link pipings 308, 310, 312, 314, 316 and 318 and the link wiring 354.
Next, as shown in FIG. 9, the delivery device 364 is set on the base frame 306. It is to be noted that if the delivery device 364 is not to be installed on the base frame 306, the delivery device 364 should be installed on the floor 352. In addition, a delivery mechanism (not shown) which delivers the wafer W is provided inside the delivery device 364. Subsequently, a cassette chamber 366 is connected to the delivery device 364. The cassette (not shown) to house the wafer W is provided inside the cassette chamber 366.
Next, the process ship 302 is moved close to the base frame 306. At this point, the etching device 100 and the load lock device 362 are set on the process ship 302 in a connected state. Then, as illustrated FIG. 10, the process ship 302 is set parallel to, for instance, the base frame 306. In the next step, the process ship 302 is aligned so as to allow the delivery device 364 to be connected with the load lock device 362 as shown in FIG. 11. Afterward, an elevator mechanism 372 connected to the casters 370 is lowered to set the process ship 302 on the base frame 306. Next, the casters 370 are taken off and the process ship 302 is secured to the base frame 306 with the earthquake proof metal fixture and the like. It is to be noted that the process ship 302 may be secured to the floor 352 instead. In addition the load lock device 362 is connected to the delivery device 364.
Then, as illustrated in FIG. 7, the pipes 116 b, 118 a, 322 b, 324 b, 332 b and 330 a and the second wiring 356 b already connected to the etching device 100 are respectively connected to the first˜ sixth link pipings 308, 310, 312, 314, 316 and 318 and the link wiring 354. During this process, the individual connections are made via a through opening 302 a provided at the process ship 302.
The embodiment adopting the structure described above allows the base frame 306, which is provided to support the etching device 100 and the like, to be secured to the base before the etching device 100 and the like are brought to the installation site, e.g., while the etching device 100 and the like are being manufactured. In addition, the pipes 116 a, 118 b, 322 a, 324 a, 332 a and 330 b on the fluid source side which require difficult piping work can be laid out and connected to the first˜ sixth link pipings 308, 310, 312, 314, 316 and 318 in advance during the production. As a result, the length of time to elapse between the installation of the etching device 100 and the like and the start of the actual operation is greatly reduced. Furthermore, as long as the switching valves 320, 326, 328 and 330 remain closed, the gases and the like do not leak before the etching device 100 is connected.
While the invention has been particularly shown and described with respect to preferred embodiments thereof by referring to the attached drawings, the present invention is not limited to these examples and it will be understood by those skilled in the art that various changes in form and detail may be made therein without departing from the spirit, scope and teaching of the invention.
For instance, while an explanation is given above in reference to the first embodiment on an assumption that the upper electrode unit is constituted of the first˜third assemblies and that specific members such as the cooling plate and the like are included in the individual assemblies, the present invention is not restricted by these details. The present invention may be adopted when the number of assemblies is two or four or more or when members included in the individual assemblies are different from those in the embodiment, as well.
In addition, while an explanation is given above in reference to the first embodiment on an example in which the position of the second assembly is set by utilizing the first locking mechanism, the present invention is not limited to this example. For instance, the second assembly can be set at a specific position by fitting an indentation and/or a projection formed at the lower surface of the supporting plate with a projection and/or an indentation formed at the top surface of the processing container, without having to utilize the first locking mechanism. It is to be noted that in such a case, too, a high degree of air-tightness is maintained at the processing container due to the weight of the assemblies and the difference between the pressure inside the processing chamber and the pressure outside the processing chamber.
While an explanation is given above in reference to the first embodiment on a structural example that adopts the first and second locking mechanisms each constituted of a buckling mechanism, the present invention is not restricted by these structural details. The present invention may be adopted in conjunction with locking mechanisms constituted of other mechanisms as long as the second assembly and the processing container or the second assembly and the third assembly can the positioned relative to each other and secured.
Also, while an explanation is given above in reference to the first embodiment on a structural example in which the insulator is supported by the supporting plate, the present invention is not restricted by these details either. The present invention may be adopted by, for instance, securing the insulator to the supporting plate via a retaining member as well.
While an explanation is given above in reference to the first embodiment on a structural example in which the removing mechanism is secured to the matching box only for maintenance, the present invention is not limited to this example. The present invention may be implemented by leaving the removing mechanism and the matching box in a locked state at all times instead.
While an explanation is given above in reference to the first embodiment on an example in which high-frequency power is applied to the upper electrode, the present invention is not limited to this example. The present invention may be adopted in conjunction with an upper electrode that constitutes a ground electrode, instead.
While an explanation is given above in reference to the first embodiment on a structural example in which the electrobody, the power supply rod and the like constitute a power supply path, the present invention is not restricted to these structural details. The present invention may be instead implemented by constituting a grounding path with the electro-body, the power supply rod and the like.
In addition, while an explanation is given above in reference to the second embodiment, on a structural example in which link pipings for supplying specific gases or fluids are provided at the base frame, the present invention is not restricted to such structural details. The present invention may be implemented by providing a link piping through which any type of fluid required in a plasma processing device is supplied at the base frame. Furthermore, the number of link pipings and the number of link wirings provided at the base frame can be adjusted as necessary in conformance to the design of a given processing device.
While an explanation is given above in reference to the second embodiment on an example in which the link pipings and the link wiring are internally provided or externally mounted at specific positions of the base frame, the present invention is not restricted to these details. The present invention may be implemented by internally providing or externally mounting the link pipings and the link wiring as appropriate at the base frame in conformance to the design of a given processing device.
While an explanation is given above in reference to the second embodiment on a structural example in which a unit achieved by connecting the load lock device to the etching device is connected to the delivery device, the present invention is not restricted to these details, and it may be adopted when installing a single processing device or a plurality of various processing devices each requiring link pipings and link wirings to be connected thereto in a connected state.
Furthermore, while an explanation is given in reference to the first and second embodiments on an example in which the present invention is adopted in a plane parallel plate etching device, the present invention is not limited to this example. The present invention may be adopted in any of various types of plasma processing devices including magnetron plasma processing devices and inductively coupled plasma processing devices as well. In addition, the present invention may be adopted in an apparatus that performs various types of plasma processing including ashing and film formation processing. Moreover, it may be adopted in an apparatus that performs processing on a glass substrate to constitute an LCD.
The present invention makes it possible for the maintenance worker to assume a better work posture while performing maintenance work on the electrode or inside the processing chamber. In addition, the heavy and large members do not need to be mounted or dismounted by the maintenance worker. As a result, the onus placed on the worker is reduced. Furthermore, the work process to be carried out by the maintenance worker is greatly simplified and thus, the length of time required for the maintenance work is reduced. In addition, in another aspect of the present invention, the length of time to elapse between the plasma processing device installation and the start of the actual operation of the device is reduced. Thus, the production of can be started promptly.
INDUSTRIAL APPLICABILITY
The present invention can be adopted in a plasma processing device and, more specifically, in a plasma etching device, a plasma ashing device and a plasma CVD (chemical vapor deposition) device.
Amendments
The electro-body 172 is internally provided with a gas supply path 172 b. As a result, when it is mounted, the processing gas supplied from a gas supply source 184 such as a fluorocarbon gas is supplied to the baffle plate 164 via a flow-regulating valve 188 and a switching valve 190 housed inside a gas box 186 and a switching valve 192, a gas inlet 194 and the gas supply path 172 b provided inside the shield box 106. The electro-body 172 is also internally provided with a coolant circulating passage 172 c. Through the coolant circulating passage 172 c, the coolant circulates. The coolant absorbs the heat generated at the upper electrode 124 during the process. As a result, the temperature of the upper electrode 124 is sustained at a predetermined level. An O-ring 195 for sustaining the air-tightness and an electrically conductive O-ring 182 for assuring electrical conductivity are provided between the electro-body 172 and the cooling plate 154.
EXPLANATION OF REFERENCE NUMERALS
100 etching device
102 processing chamber
103 upper electrode unit
106 shield box
108 lower electrode
124 upper electrode
134 high frequency source
136 matching box
138 matcher
146 supporting plate
150 second locking mechanism
154 cooling plate
158 insulator
164 baffle plate
172 electro-body
178 power supply rod
200 first locking mechanism
202 first assembly
204 second assembly
206 third assembly
208 removing mechanism
306 base frame
308, 310, 312, 314, 316, 318 first˜sixth link pipings
320, 326, 328, 330 switching valve
354 link wiring
360 switch
W wafer

Claims (8)

What is claimed is:
1. A plasma processing device comprising:
a processing chamber for implementing a plasma process on a workpiece, said processing chamber comprising an upper wall including an upper electrode unit; and
a removing mechanism configured to disengage said upper electrode unit from said processing chamber,
wherein said upper electrode unit comprises:
a first assembly having one of an electrode for supplying high-frequency power into said processing chamber and a grounded electrode,
a second assembly holding said first assembly, and
a third assembly having one of a supply path through which said high-frequency power is supplied and a grounding path,
wherein said removing mechanism is configured to disengage said first assembly, said second assembly, and said third assembly independently of one another or as an integrated unit.
2. The plasma processing device of claim 1, further comprising:
a first locking mechanism for connecting said second assembly to a processing container; and
a second locking mechanism connecting said second assembly to said third assembly,
wherein said removing mechanism is configured to disengage said third assembly alone with said second locking mechanism released while keeping said processing container and said second assembly in a connected state with said first locking mechanism.
3. The plasma processing device of claim 1, wherein said first assembly is configured to disengage without employing said removing mechanism after said third assembly is disengaged.
4. The plasma processing device of claim 1, further comprising:
a first locking mechanism for connecting said second assembly to a processing container; and
a second locking mechanism connecting said second assembly to said third assembly,
wherein said removing mechanism is configured to disengage at least said second assembly and said third assembly as an integrated unit with said first locking mechanism released while keeping said second assembly and said third assembly in a connected state with said second locking mechanism.
5. A method of performing maintenance on a plasma processing device comprising a processing chamber for performing a plasma process on a workpiece, said processing chamber comprising an upper wall including an upper electrode unit, and a removing mechanism for disengaging said upper electrode unit from said processing chamber, wherein said upper electrode unit comprises at least a first assembly, a second assembly, and a third assembly, the method comprising:
securing said third assembly to said removing mechanism and disengaging said third assembly;
disengaging said first assembly without using said removing mechanism;
coupling said third assembly with said second assembly to achieve an integrated unit;
securing said integrated unit to said removing mechanism and disengaging said integrated unit; and
performing maintenance on at least one of said first assembly, said second assembly, said third assembly, and said processing chamber.
6. The method of performing maintenance on a plasma processing device of claim 5,
wherein said first assembly comprises one of an electrode for supplying high-frequency power into said processing chamber and a grounded electrode, said second assembly holds said first assembly, and said third assembly comprises one of a supply path through which said high-frequency power is supplied and a grounding path.
7. A method of performing maintenance on a plasma processing device comprising a processing chamber for performing a plasma process on a workpiece, said processing chamber comprising an upper wall including an upper electrode unit, and a removing mechanism for disengaging said upper electrode unit from said processing chamber, wherein said upper electrode unit comprises at least a first assembly having an original position and a second assembly having an original position, the method comprising:
securing said first assembly to said removing mechanism and disengaging said first assembly;
disengaging said second assembly without using said removing mechanism;
servicing said second assembly after disengaging said second assembly;
reinstalling said second assembly to the original position after servicing said second assembly without using said removing mechanism; and
reinstalling said first assembly to the original position.
8. The method of performing maintenance on a plasma processing device of claim 7, wherein said first assembly comprises one of an electrode for supplying high-frequency power into said processing chamber and a grounded electrode, and said second assembly holds said first assembly.
US09/937,669 1999-03-30 2000-03-29 Plasma processing device, its maintenance method, and its installation method Expired - Lifetime US6700089B1 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP11/88153 1999-03-30
JP8815399 1999-03-30
PCT/JP2000/001939 WO2000060653A1 (en) 1999-03-30 2000-03-29 Plasma treatment device, its maintenance method and its installation method

Publications (1)

Publication Number Publication Date
US6700089B1 true US6700089B1 (en) 2004-03-02

Family

ID=13934995

Family Applications (1)

Application Number Title Priority Date Filing Date
US09/937,669 Expired - Lifetime US6700089B1 (en) 1999-03-30 2000-03-29 Plasma processing device, its maintenance method, and its installation method

Country Status (5)

Country Link
US (1) US6700089B1 (en)
JP (1) JP4554824B2 (en)
KR (1) KR100596822B1 (en)
TW (1) TW464919B (en)
WO (1) WO2000060653A1 (en)

Cited By (236)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050145336A1 (en) * 2002-03-29 2005-07-07 Tokyo Electron Limited Plasma processor electrode and plasma processor
US20050155373A1 (en) * 2002-09-10 2005-07-21 Tokyo Electron Limited Processing apparatus and processing apparatus maintenance method
US20050269031A1 (en) * 2002-04-19 2005-12-08 Nordson Corporation Plasma treatment system
US20050279456A1 (en) * 2004-04-16 2005-12-22 Harald Wanka Plasma reactor with high productivity
US20060011299A1 (en) * 2004-07-13 2006-01-19 Condrashoff Robert S Ultra high speed uniform plasma processing system
US20060213617A1 (en) * 2005-03-25 2006-09-28 Fink Steven T Load bearing insulator in vacuum etch chambers
US20060213438A1 (en) * 2005-03-28 2006-09-28 Tokyo Electron Limited Plasma enhanced atomic layer deposition system
US20070028842A1 (en) * 2005-08-02 2007-02-08 Makoto Inagawa Vacuum chamber bottom
US20070160447A1 (en) * 2004-02-26 2007-07-12 Tokyo Electron Limited Semiconductor treating device
US20070221632A1 (en) * 2006-03-13 2007-09-27 Hiroki Amemiya Plasma processing method, plasma processing apparatus and storage medium
US20090104351A1 (en) * 2006-06-20 2009-04-23 Tokyo Electron Limited Film forming apparatus and method, gas supply device and storage medium
US20090186184A1 (en) * 2008-01-22 2009-07-23 Tokyo Electron Limited Component of substrate processing apparatus and method for forming a film thereon
US20100003824A1 (en) * 2008-07-07 2010-01-07 Lam Research Corporation Clamped showerhead electrode assembly
WO2010031307A1 (en) * 2008-09-16 2010-03-25 北京北方微电子基地设备工艺研究中心有限责任公司 A plasma treatment device
US20100196626A1 (en) * 2009-02-04 2010-08-05 Applied Materials, Inc. Ground return for plasma processes
EP2256792A1 (en) * 2008-03-14 2010-12-01 Sumitomo Precision Products Co., Ltd. Plasma processing apparatus
US20100326094A1 (en) * 2009-06-24 2010-12-30 Takumi Tandou Plasma processing apparatus and maintenance method therefor
US20120071000A1 (en) * 2010-09-22 2012-03-22 Hideki Arai Manufacturing apparatus and method for semiconductor device
US20120279946A1 (en) * 2006-08-22 2012-11-08 Nordson Corporation Apparatus and methods for handling workpieces in a processing system
US20150129420A1 (en) * 2012-06-14 2015-05-14 Snu Precision Co., Ltd. Substrate Processing System with a Damage Preventing Function
US9385017B2 (en) 2012-08-06 2016-07-05 Nordson Corporation Apparatus and methods for handling workpieces of different sizes
CN106548916A (en) * 2015-09-16 2017-03-29 北京北方微电子基地设备工艺研究中心有限责任公司 Processing chamber, semiconductor processing equipment and degassing and prewashed method
CN107785284A (en) * 2016-08-25 2018-03-09 北京北方华创微电子装备有限公司 Cap-opening mechanism and semiconductor processing equipment
US10508340B2 (en) * 2013-03-15 2019-12-17 Applied Materials, Inc. Atmospheric lid with rigid plate for carousel processing chambers
US20200373507A1 (en) * 2018-01-17 2020-11-26 Hangzhou Microquanta Semiconductor Co., Ltd. Apparatus For Immersion-Based Preparation of Perovskite Thin Film, Use Method and Application Thereof
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11062883B2 (en) * 2017-07-11 2021-07-13 The Japan Steel Works, Ltd. Atomic layer deposition apparatus
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11205585B2 (en) * 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
CN113905844A (en) * 2019-08-22 2022-01-07 林德有限责任公司 Welding torch for arc welding or cutting and method of using said welding torch
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
CN114121581A (en) * 2020-08-27 2022-03-01 中微半导体设备(上海)股份有限公司 Plasma processing apparatus
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
US11972944B2 (en) 2022-10-21 2024-04-30 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006080347A (en) * 2004-09-10 2006-03-23 Hitachi High-Technologies Corp Plasma processor
US7883579B2 (en) 2005-12-14 2011-02-08 Tokyo Electron Limited Substrate processing apparatus and lid supporting apparatus for the substrate processing apparatus
KR100969450B1 (en) * 2008-07-16 2010-07-14 현대자동차주식회사 trunk switchgear of vehicles
JP5260375B2 (en) * 2009-03-26 2013-08-14 大陽日酸株式会社 Vapor growth equipment
US8372211B2 (en) * 2009-09-15 2013-02-12 Quantum Global Technologies, Llc Method and apparatus for showerhead cleaning
JP5564271B2 (en) * 2010-01-20 2014-07-30 株式会社日立ハイテクノロジーズ Vacuum processing equipment
KR101446455B1 (en) 2011-04-04 2014-10-01 캐논 아네르바 가부시키가이샤 Processing apparatus
KR102384804B1 (en) 2017-03-30 2022-04-07 스미도모쥬기가이고교 가부시키가이샤 molding system
CN109712860B (en) * 2017-10-25 2021-04-09 北京北方华创微电子装备有限公司 Angle positioning assembly and process chamber
JP6960830B2 (en) * 2017-11-17 2021-11-05 株式会社日立ハイテク Vacuum processing equipment and operation method of vacuum processing equipment
JP6475877B2 (en) * 2018-02-14 2019-02-27 株式会社日立ハイテクノロジーズ Vacuum processing equipment
TWI785907B (en) * 2021-11-29 2022-12-01 財團法人金屬工業研究發展中心 Pressure chamber level maintaining device

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4585920A (en) 1982-05-21 1986-04-29 Tegal Corporation Plasma reactor removable insert
JPH05332006A (en) 1992-06-04 1993-12-14 Nec Corp Clean room access floor panel
JPH07193115A (en) 1993-10-22 1995-07-28 Tokyo Electron Ltd Vacuum processing apparatus
JPH07192896A (en) 1993-12-27 1995-07-28 Ulvac Japan Ltd Plasma monitor device for device utilizing plasma
EP0708478A1 (en) 1994-10-18 1996-04-24 Applied Materials, Inc. Plasma guard for use in a vacuum process chamber
JPH0938481A (en) 1995-07-27 1997-02-10 Mitsubishi Electric Corp Inspection window for vacuum chamber
US5919332A (en) * 1995-06-07 1999-07-06 Tokyo Electron Limited Plasma processing apparatus
US5980687A (en) * 1997-05-20 1999-11-09 Tokyo Electron Limited Plasma processing apparatus comprising a compensating-process-gas supply means in synchronism with a rotating magnetic field
US6072147A (en) * 1996-12-05 2000-06-06 Tokyo Electron Limited Plasma processing system
US6171438B1 (en) * 1995-03-16 2001-01-09 Hitachi, Ltd. Plasma processing apparatus and plasma processing method
US6245190B1 (en) * 1997-03-26 2001-06-12 Hitachi, Ltd. Plasma processing system and plasma processing method

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3379437D1 (en) * 1982-05-21 1989-04-20 Tegal Corp Plasma reactor removeable insert
US5690795A (en) * 1995-06-05 1997-11-25 Applied Materials, Inc. Screwless shield assembly for vacuum processing chambers
JP3122617B2 (en) * 1996-07-19 2001-01-09 東京エレクトロン株式会社 Plasma processing equipment

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4585920A (en) 1982-05-21 1986-04-29 Tegal Corporation Plasma reactor removable insert
JPH05332006A (en) 1992-06-04 1993-12-14 Nec Corp Clean room access floor panel
JPH07193115A (en) 1993-10-22 1995-07-28 Tokyo Electron Ltd Vacuum processing apparatus
JPH07192896A (en) 1993-12-27 1995-07-28 Ulvac Japan Ltd Plasma monitor device for device utilizing plasma
EP0708478A1 (en) 1994-10-18 1996-04-24 Applied Materials, Inc. Plasma guard for use in a vacuum process chamber
US6171438B1 (en) * 1995-03-16 2001-01-09 Hitachi, Ltd. Plasma processing apparatus and plasma processing method
US5919332A (en) * 1995-06-07 1999-07-06 Tokyo Electron Limited Plasma processing apparatus
JPH0938481A (en) 1995-07-27 1997-02-10 Mitsubishi Electric Corp Inspection window for vacuum chamber
US6072147A (en) * 1996-12-05 2000-06-06 Tokyo Electron Limited Plasma processing system
US6245190B1 (en) * 1997-03-26 2001-06-12 Hitachi, Ltd. Plasma processing system and plasma processing method
US5980687A (en) * 1997-05-20 1999-11-09 Tokyo Electron Limited Plasma processing apparatus comprising a compensating-process-gas supply means in synchronism with a rotating magnetic field

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
International Search Report.

Cited By (296)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050145336A1 (en) * 2002-03-29 2005-07-07 Tokyo Electron Limited Plasma processor electrode and plasma processor
US7827931B2 (en) * 2002-03-29 2010-11-09 Tokyo Electron Limited Plasma processor electrode and plasma processor
US8613827B2 (en) 2002-04-19 2013-12-24 Nordson Corporation Plasma treatment system
US20050269031A1 (en) * 2002-04-19 2005-12-08 Nordson Corporation Plasma treatment system
US20100140223A1 (en) * 2002-04-19 2010-06-10 Nordson Corporation Plasma Treatment System
US8623471B2 (en) * 2002-04-19 2014-01-07 Nordson Corporation Plasma treatment system
US8480850B2 (en) * 2002-04-19 2013-07-09 Nordson Corporation Plasma treatment system
US20120118857A1 (en) * 2002-04-19 2012-05-17 Nordson Corporation Plasma Treatment System
US20050155373A1 (en) * 2002-09-10 2005-07-21 Tokyo Electron Limited Processing apparatus and processing apparatus maintenance method
US6993919B2 (en) * 2002-09-10 2006-02-07 Tokyo Electron Limited Processing apparatus and processing apparatus maintenance method
US20070160447A1 (en) * 2004-02-26 2007-07-12 Tokyo Electron Limited Semiconductor treating device
US20050279456A1 (en) * 2004-04-16 2005-12-22 Harald Wanka Plasma reactor with high productivity
EP1587131A3 (en) * 2004-04-16 2007-03-28 centrotherm photovoltaics AG Plasma reactor with high productivity
US7850819B2 (en) 2004-04-16 2010-12-14 Centrotherm Photovoltaics Ag Plasma reactor with high productivity
US20060011299A1 (en) * 2004-07-13 2006-01-19 Condrashoff Robert S Ultra high speed uniform plasma processing system
US7845309B2 (en) * 2004-07-13 2010-12-07 Nordson Corporation Ultra high speed uniform plasma processing system
US20060213617A1 (en) * 2005-03-25 2006-09-28 Fink Steven T Load bearing insulator in vacuum etch chambers
US7651568B2 (en) * 2005-03-28 2010-01-26 Tokyo Electron Limited Plasma enhanced atomic layer deposition system
US20060213438A1 (en) * 2005-03-28 2006-09-28 Tokyo Electron Limited Plasma enhanced atomic layer deposition system
US20070028842A1 (en) * 2005-08-02 2007-02-08 Makoto Inagawa Vacuum chamber bottom
US20070221632A1 (en) * 2006-03-13 2007-09-27 Hiroki Amemiya Plasma processing method, plasma processing apparatus and storage medium
US8058585B2 (en) * 2006-03-13 2011-11-15 Tokyo Electron Limited Plasma processing method, plasma processing apparatus and storage medium
US20090104351A1 (en) * 2006-06-20 2009-04-23 Tokyo Electron Limited Film forming apparatus and method, gas supply device and storage medium
US8133323B2 (en) * 2006-06-20 2012-03-13 Tokyo Electron Limited Film forming apparatus and method, gas supply device and storage medium
US20120279946A1 (en) * 2006-08-22 2012-11-08 Nordson Corporation Apparatus and methods for handling workpieces in a processing system
US8986564B2 (en) * 2006-08-22 2015-03-24 Nordson Corporation Apparatus and methods for handling workpieces in a processing system
US9828690B2 (en) 2008-01-22 2017-11-28 Tokyo Electron Limited Component of substrate processing apparatus and method for forming a film thereon
US8999475B2 (en) * 2008-01-22 2015-04-07 Tokyo Electron Limited Component of substrate processing apparatus and method for forming a film thereon
US20090186184A1 (en) * 2008-01-22 2009-07-23 Tokyo Electron Limited Component of substrate processing apparatus and method for forming a film thereon
EP2256792A4 (en) * 2008-03-14 2011-12-14 Sumitomo Precision Prod Co Plasma processing apparatus
US8771461B2 (en) * 2008-03-14 2014-07-08 Spp Technologies Co., Ltd. Plasma processing apparatus
US20110005684A1 (en) * 2008-03-14 2011-01-13 Toshihiro Hayami Plasma processing apparatus
EP2256792A1 (en) * 2008-03-14 2010-12-01 Sumitomo Precision Products Co., Ltd. Plasma processing apparatus
US8161906B2 (en) * 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US20120171872A1 (en) * 2008-07-07 2012-07-05 Lam Research Corporation Clamped showerhead electrode assembly
US20100003824A1 (en) * 2008-07-07 2010-01-07 Lam Research Corporation Clamped showerhead electrode assembly
US8313805B2 (en) * 2008-07-07 2012-11-20 Lam Research Corporation Clamped showerhead electrode assembly
KR101203619B1 (en) 2008-09-16 2012-11-21 베이징 엔엠씨 씨오., 엘티디. Plasma Processing Apparatus
US9728379B2 (en) 2008-09-16 2017-08-08 Beijing Nmc Co., Ltd. Plasma processing apparatus
CN101351076B (en) * 2008-09-16 2011-08-17 北京北方微电子基地设备工艺研究中心有限责任公司 Apparatus for processing plasma
WO2010031307A1 (en) * 2008-09-16 2010-03-25 北京北方微电子基地设备工艺研究中心有限责任公司 A plasma treatment device
CN102308675B (en) * 2009-02-04 2016-01-13 应用材料公司 For the ground connection return flow path of plasma process
US20100196626A1 (en) * 2009-02-04 2010-08-05 Applied Materials, Inc. Ground return for plasma processes
US9382621B2 (en) 2009-02-04 2016-07-05 Applied Materials, Inc. Ground return for plasma processes
US8833089B2 (en) * 2009-06-24 2014-09-16 Hitachi High-Technologies Corporation Plasma processing apparatus and maintenance method therefor
US20100326094A1 (en) * 2009-06-24 2010-12-30 Takumi Tandou Plasma processing apparatus and maintenance method therefor
US20120071000A1 (en) * 2010-09-22 2012-03-22 Hideki Arai Manufacturing apparatus and method for semiconductor device
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US20150129420A1 (en) * 2012-06-14 2015-05-14 Snu Precision Co., Ltd. Substrate Processing System with a Damage Preventing Function
US9385017B2 (en) 2012-08-06 2016-07-05 Nordson Corporation Apparatus and methods for handling workpieces of different sizes
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
US10508340B2 (en) * 2013-03-15 2019-12-17 Applied Materials, Inc. Atmospheric lid with rigid plate for carousel processing chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
CN106548916B (en) * 2015-09-16 2018-11-06 北京北方华创微电子装备有限公司 Processing chamber, semiconductor processing equipment and degassing and prewashed method
CN106548916A (en) * 2015-09-16 2017-03-29 北京北方微电子基地设备工艺研究中心有限责任公司 Processing chamber, semiconductor processing equipment and degassing and prewashed method
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11956977B2 (en) 2015-12-29 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11205585B2 (en) * 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
CN107785284A (en) * 2016-08-25 2018-03-09 北京北方华创微电子装备有限公司 Cap-opening mechanism and semiconductor processing equipment
CN107785284B (en) * 2016-08-25 2020-06-19 北京北方华创微电子装备有限公司 Cover opening mechanism and semiconductor processing equipment
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11062883B2 (en) * 2017-07-11 2021-07-13 The Japan Steel Works, Ltd. Atomic layer deposition apparatus
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US20200373507A1 (en) * 2018-01-17 2020-11-26 Hangzhou Microquanta Semiconductor Co., Ltd. Apparatus For Immersion-Based Preparation of Perovskite Thin Film, Use Method and Application Thereof
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11837483B2 (en) 2018-06-04 2023-12-05 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11952658B2 (en) 2018-06-27 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11959171B2 (en) 2019-01-17 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11453946B2 (en) 2019-06-06 2022-09-27 Asm Ip Holding B.V. Gas-phase reactor system including a gas detector
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
CN113905844A (en) * 2019-08-22 2022-01-07 林德有限责任公司 Welding torch for arc welding or cutting and method of using said welding torch
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
CN114121581A (en) * 2020-08-27 2022-03-01 中微半导体设备(上海)股份有限公司 Plasma processing apparatus
CN114121581B (en) * 2020-08-27 2024-04-05 中微半导体设备(上海)股份有限公司 Plasma processing apparatus
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11972944B2 (en) 2022-10-21 2024-04-30 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11970766B2 (en) 2023-01-17 2024-04-30 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus

Also Published As

Publication number Publication date
TW464919B (en) 2001-11-21
WO2000060653A1 (en) 2000-10-12
KR100596822B1 (en) 2006-07-03
JP4554824B2 (en) 2010-09-29
KR20020013844A (en) 2002-02-21

Similar Documents

Publication Publication Date Title
US6700089B1 (en) Plasma processing device, its maintenance method, and its installation method
JP6960737B2 (en) Vacuum processing equipment
JP6293499B2 (en) Vacuum processing equipment
KR100267617B1 (en) Vacuum process apparatus and vacuum processing method
US7138016B2 (en) Semiconductor processing apparatus
TWI653685B (en) Plasma processing device
JP7083463B2 (en) Vacuum processing equipment
JP2009094530A (en) Ultra high throughput wafer vacuum processing system
JP4603633B2 (en) Processing chamber exhaust system
US20220389575A1 (en) Vacuum processing apparatus
JP2001237297A (en) Integrated modular processing platform
JPH10154738A (en) Wafer cassette rotating stage and prewafer staging having on-the-fly wafer center finding
US11600472B2 (en) Vacuum processing apparatus and operating method of vacuum processing apparatus
JP3965343B2 (en) Processing equipment
JP5215332B2 (en) Vacuum processing equipment
JP2006080347A (en) Plasma processor
KR101116875B1 (en) Vacuum processing apparatus
US5718029A (en) Pre-installation of pumping line for efficient fab expansion
JP6750928B2 (en) Vacuum processing device
JP6666630B2 (en) Vacuum processing equipment
JP6475877B2 (en) Vacuum processing equipment
KR100501618B1 (en) Plasma processing apparatus and shield ring
JP2008251654A (en) Floor component member and substrate treatment apparatus
JP5282008B2 (en) Vacuum processing equipment

Legal Events

Date Code Title Description
AS Assignment

Owner name: TOKYO ELECTRON LIMITED, JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:HIROOKA, TAKAAKI;REEL/FRAME:012300/0989

Effective date: 20010806

FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

STCF Information on status: patent grant

Free format text: PATENTED CASE

FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

FPAY Fee payment

Year of fee payment: 12