US6605838B1 - Process flow for thick isolation collar with reduced length - Google Patents

Process flow for thick isolation collar with reduced length Download PDF

Info

Publication number
US6605838B1
US6605838B1 US10/261,219 US26121902A US6605838B1 US 6605838 B1 US6605838 B1 US 6605838B1 US 26121902 A US26121902 A US 26121902A US 6605838 B1 US6605838 B1 US 6605838B1
Authority
US
United States
Prior art keywords
trench
vertical
trench capacitor
memory cell
cell structure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
US10/261,219
Inventor
Jack A. Mandelman
Rama Divakaruni
Gerd Fehlauer
Stephan Kudelka
Uwe Schroeder
Helmut H. Tews
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Infineon Technologies AG
GlobalFoundries US Inc
Original Assignee
International Business Machines Corp
Infineon Technologies North America Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp, Infineon Technologies North America Corp filed Critical International Business Machines Corp
Priority to US10/261,219 priority Critical patent/US6605838B1/en
Assigned to INTERNATIONAL BUSINESS MACHINES CORPORATION reassignment INTERNATIONAL BUSINESS MACHINES CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: DIVAKARUNI, RAMA, MANDELMAN, JACK A.
Assigned to INFINEON TECHNOLOGIES NORTH AMERICA CORPORATION reassignment INFINEON TECHNOLOGIES NORTH AMERICA CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: FEHLAUER, GERD, TEWS, HELMUT H., KUDELKA, STEPHAN, SCHROEDER, UWE
Application granted granted Critical
Publication of US6605838B1 publication Critical patent/US6605838B1/en
Priority to DE10344862A priority patent/DE10344862B4/en
Assigned to QIMONDA AG reassignment QIMONDA AG ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: INFINEON TECHNOLOGIES NORTH AMERICA CORPORATION
Assigned to INFINEON TECHNOLOGIES AG reassignment INFINEON TECHNOLOGIES AG ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: QIMONDA AG
Assigned to GLOBALFOUNDRIES U.S. 2 LLC reassignment GLOBALFOUNDRIES U.S. 2 LLC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: INTERNATIONAL BUSINESS MACHINES CORPORATION
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GLOBALFOUNDRIES U.S. 2 LLC, GLOBALFOUNDRIES U.S. INC.
Assigned to WILMINGTON TRUST, NATIONAL ASSOCIATION reassignment WILMINGTON TRUST, NATIONAL ASSOCIATION SECURITY AGREEMENT Assignors: GLOBALFOUNDRIES INC.
Assigned to GLOBALFOUNDRIES U.S. INC. reassignment GLOBALFOUNDRIES U.S. INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GLOBALFOUNDRIES INC.
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: WILMINGTON TRUST, NATIONAL ASSOCIATION
Assigned to GLOBALFOUNDRIES U.S. INC. reassignment GLOBALFOUNDRIES U.S. INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: WILMINGTON TRUST, NATIONAL ASSOCIATION
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66083Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by variation of the electric current supplied or the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched, e.g. two-terminal devices
    • H01L29/66181Conductor-insulator-semiconductor capacitors, e.g. trench capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/86Types of semiconductor device ; Multistep manufacturing processes therefor controllable only by variation of the electric current supplied, or only the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched
    • H01L29/92Capacitors with potential-jump barrier or surface barrier
    • H01L29/94Metal-insulator-semiconductors, e.g. MOS
    • H01L29/945Trench capacitors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • H10B12/038Making the capacitor or connections thereto the capacitor being in a trench in the substrate
    • H10B12/0385Making a connection between the transistor and the capacitor, e.g. buried strap
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/39DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells the capacitor and the transistor being in a same trench
    • H10B12/395DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells the capacitor and the transistor being in a same trench the transistor being vertical
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • H10B12/038Making the capacitor or connections thereto the capacitor being in a trench in the substrate
    • H10B12/0383Making the capacitor or connections thereto the capacitor being in a trench in the substrate wherein the transistor is vertical

Definitions

  • the present invention relates to a semiconductor memory device, and more particularly to a trench storage memory cell structure having an isolation collar region that suppresses vertical parasitic current leakage, without significantly decreasing the cross-sectional area of the trench.
  • the present invention is also directed to a method of fabricating such a trench storage memory cell structure.
  • a metal oxide semiconductor field effect transistor is used in forming dynamic random access memory (DRAM) cells.
  • DRAM dynamic random access memory
  • a DRAM circuit typically includes an array of memory cells interconnected by rows and columns, which are known as wordlines and bitlines, respectively. Reading data from, or writing data to, memory cells is achieved by activating selective wordlines and bitlines.
  • a DRAM cell comprises a MOSFET connected to a capacitor.
  • the capacitor includes two electrodes that are separated by a node dielectric, while the MOSFET includes a gate and diffusion regions that are referred to as either the source or drain region, depending on the operation of the transistor.
  • a planar MOSFET is a transistor where a surface of the channel region of the transistor is generally parallel to the primary surface of the substrate.
  • a vertical MOSFET is a transistor where a surface of the channel region of the transistor is perpendicular to the primary surface of the substrate.
  • a trench MOSFET is a transistor where a surface of the channel region of the transistor is not parallel to the primary surface of the substrate and the channel region lies within the substrate. For a trench MOSFET, the surface of the channel region is usually perpendicular to the primary surface, although this is not required.
  • a trench capacitor is a three-dimensional structure formed into a Si-containing substrate. This is normally formed by etching trenches of various dimensions into the Si-containing substrate. Trenches commonly have N+doped polysilicon as one electrode of the capacitor (i.e., the storage node) and the other electrode of the capacitor is a buried plate that is formed via out-diffusion of dopants into a portion of the substrate surrounding the lower portion of the trench.
  • LOCOS field oxidation regions are formed by first depositing a layer of nitride on the surface of the substrate and then selectively etching a portion of the nitride layer to form a mask exposing the substrate where the field oxide region will be formed.
  • STI shallow trench isolation
  • a sharply defined trench is formed in a substrate by, for example, anisotropic etching.
  • the trench is then filled with an isolation oxide back to the surface of the substrate to provide a device isolation region.
  • Trench isolation regions formed by STI have the advantage of providing device isolation across their entire lateral extent and of providing a more planar structure.
  • a typical trench storage memory cell is shown, for example, in FIG. 1 .
  • the trench capacitor memory cell of FIG. 1 comprises substrate 10 having N+ bitline diffusion regions 12 formed therein.
  • the substrate also includes a plurality of trench capacitor memory cells 14 .
  • Each trench capacitor memory cell includes trench capacitor 16 formed in a lower portion of the trench and vertical MOSFET 18 formed in an upper portion of the trench.
  • the trench capacitor includes N+ buried plate diffusion 20 formed about the exterior walls of the trench, node dielectric 22 lining the interior walls of the trench, and storage capacitor node conductor 24 formed within the trench on the exposed walls of the node dielectric.
  • the vertical MOSFET includes gate dielectric 26 formed on vertical sidewalls of the trench, and gate conductor 28 formed on the gate dielectric.
  • trench capacitors and the vertical MOSFET are isolated from each other by trench top oxide layer 30 and collar isolation oxide 32 , yet the structures are in electrical communication through N+ buried strap diffusion region 34 . It is noted that the N+ buried strap diffusion regions and the N+ bitline diffusion regions form the source/drain regions of the vertical MOSFET.
  • vertical parasitic transistor 36 exists on the sidewalls of the trench between the N+ buried strap diffusion regions and the N+ buried plate diffusion regions.
  • the gate of the parasitic transistor is the storage capacitor node conductor of the capacitor, and one source/drain region is the N+ buried strap diffusion region and the other source/drain region is the N+ buried plate diffusion region.
  • the collar oxide is not able to raise the threshold voltage of the parasitic transistor so that it does not conduct unless collar isolation oxide 32 is sufficiently thick; therefore excess current leakage may exist in typical prior art trench capacitor memory cells.
  • any new collar isolation region provided should have a thick oxide length that does not detract from the cross-sectional area where the storage capacitor is made, and the collar isolation region of the trench should have increased isolation cross-sectional area.
  • One object of the present invention is to provide a trench storage memory cell structure that includes a collar isolation region that is capable of substantially suppressing the vertical parasitic current leakage between the buried-strap outdiffusion region and the buried plate of the capacitor.
  • Another object of the present invention is to provide a trench storage memory cell structure having a collar isolation region that includes a thick isolation region whose length does not significantly detract from the area wherein the storage capacitor is formed.
  • a further object of the present invention is to provide a trench storage memory cell structure that has a thick collar isolation region that does not substantially decrease the cross-sectional area of the trench.
  • An even further object of the present invention is to provide a trench storage memory cell structure having a collar isolation region which includes at least a thick thermal oxide region and a thinner abutting isolation region that comprises a deposited oxide or a low-k dielectric material.
  • a short collar isolation region having a thick first portion that is present partially outside the trench and a thin second portion that is present inside the trench.
  • the reduced length enhances the trench capacity, while the partial placement outside the trench increases the open trench diameter for trench fill and improves the trench series resistance.
  • One aspect of the present invention thus relates to a trench capacitor memory cell structure that comprises:
  • each of said plurality of trenches including a vertical transistor isolated from an underlying trench capacitor
  • a vertical collar isolation region having a vertical length of about 0.50 ⁇ m or less present on sidewalls of each trench between said vertical transistor and said trench capacitor, said collar isolation region having a first portion that is present partially outside the trench and a second portion that is present inside the trench, said first portion is thicker than said second portion thereby reducing current leakage of an adjacent vertical parasitic transistor.
  • the second portion of the collar isolation region comprises a low permittivity (i.e., low dielectric constant (k)) dielectric which serves to increase the threshold voltage of the vertical parasitic transistor.
  • a low permittivity i.e., low dielectric constant (k)
  • low permittivity or “low-k” are used herein to denote a dielectric material having a dielectric constant that is less than Si 3 N 4 . It is noted that all dielectric constants are relative to a vacuum, unless otherwise noted.
  • Another aspect of the present invention is directed to a method of fabricating the above-mentioned trench capacitor memory cell structure. Specifically, the inventive method includes the steps of:
  • each of said plurality of trenches having an upper region and a lower region, said lower region containing a trench capacitor formed therein;
  • each of said trenches said vertical collar isolation region having a vertical length of about 0.50 ⁇ m or less and a first portion that is present partially outside the trench and a second portion that is present inside the trench, said first portion is thicker than said second portion;
  • FIG. 1 is a pictorial representation (through a cross-sectional view) illustrating a typical prior art trench capacitor memory cell structure.
  • FIG. 2 is a pictorial representation (through a cross-sectional view) illustrating the trench capacitor memory cell of the present invention.
  • FIGS. 3-7 are pictorial representations (through cross-sectional views) illustrating the various processing steps employed in the inventive method.
  • the present invention which provides a novel trench capacitor memory cell structure having a short collar isolation region (of about 0.50 ⁇ m or less) which includes a first portion that is present partially outside the trench and a second portion that is present inside the trench, said first portion is thicker than said second portion, will now be described in greater detail by referring to the drawings that accompany the present application.
  • FIG. 2 is a cross-sectional view of the inventive trench capacitor memory cell structure.
  • the inventive memory cell comprises a plurality of trenches 56 (two of which are shown in FIG. 2) present in a surface of semiconductor substrate 50 .
  • Each of the trenches includes vertical transistor (or MOSFET) 80 which is isolated from underlying trench capacitor 70 , and vertical collar isolation region 78 having a vertical length of about 0.50 ⁇ m or less present on sidewalls of each trench between the vertical capacitor and the trench capacitor.
  • collar isolation region 78 includes first portion 74 that is present partially outside the trench and second portion 76 that is present inside the trench. As is shown, the first portion of the collar isolation region is thicker than the second portion of the collar isolation region thereby reducing current leakage into adjacent vertical parasitic transistor 100 .
  • Each trench capacitor of the inventive trench capacitor memory cell illustrated in FIG. 2 includes N+ buried plate diffusion region 64 present about a broad lower portion of the trench, node dielectric 66 lining the broad lower portion of the trench, and storage capacitor node conductor 68 present on the node dielectric inside the trench.
  • the vertical transistor portion of the inventive trench capacitor memory cell includes vertical gate dielectric 82 lining narrow upper portions of the trench, and gate conductor 84 present inside the trench.
  • the source/drain diffusion regions of the vertical transistor include N+ bitline diffusion region 52 and N+ buried strap region 86 .
  • the N+ buried strap region provides electrical communication between the vertical transistor and the underlying trench capacitor which are further isolated from each other by trench top oxide 88 .
  • the collar isolation region depicted in FIG. 2 has a sufficient thickness so as to substantially suppress parasitic current leakage.
  • the collar isolation region of the present invention represents an improvement of prior art collar isolation regions such as depict in FIG. 1 .
  • Another feature of the inventive collar isolation region is that the vertical length of the collar isolation regions is short enough so that the collar isolation region does not detract from the trench capacitance.
  • a further aspect of the inventive collar isolation region is that the collar isolation region is fabricated such that it does not choke off the cross-sectional area of the trench.
  • FIGS. 3-7 illustrate the basic processing scheme that is employed in fabricating the trench capacitor memory cell of FIG. 2 .
  • the inventive process begins with forming the structure shown in FIG. 3 .
  • the structure shown in FIG. 3 includes semiconductor substrate 50 which has N+ bitline diffusion regions 52 present in an upper surface of the substrate.
  • Trench regions 56 are formed into the substrate using pad layer 54 as a trench mask.
  • the trench regions include a broad lower region and a narrow upper region which are both defined by walls.
  • N+ buried plate diffusion region 64 and the narrow upper region of the trench includes thin sacrificial isolation collar 62 .
  • semiconductor substrate 50 is composed of any Si-containing semiconducting material including but not limited to: Si, SiGe, Si/SiGe, Si/SiO 2 /Si, silicon-on-insulators (SOIs) and other like Si-containing semiconductor materials.
  • the substrate may be of the n or p-type depending on the desired devices to be fabricated.
  • the substrate may contain various isolation and/or device regions either formed in the substrate or on a surface thereof. For clarity, these regions are not shown in the drawings, but are nevertheless meant to be included within region 50 .
  • N+ bitline diffusion regions 52 are formed into the surface of substrate 50 using ion implantation and activation annealing.
  • Patterned pad layer 54 which serves as the trench mask is then formed on a surface of substrate 50 utilizing conventional processes well known to those skilled in the art including deposition and/or thermal growing followed by lithography and etching.
  • the pad layer may comprise a single material layer, or alternatively, pad layer 54 comprises a multilayered structure.
  • the pad layer may comprise an oxide, a nitride or a doped silicate glass material, or a stack including two or more of the aforementioned materials may be employed.
  • a preferred pad layer employed in the present invention is a multilayered structure which comprises a stack of thermal grown oxide/deposited nitride and deposited boron doped silicate glass (BSG).
  • pad layer 54 may be formed by a thermal oxidation or nitridation process, or a combination of thermal growing and deposition may be employed in forming the same.
  • the pad layer is then patterned using conventional lithography and etching.
  • the lithography step employed in the present application includes the steps of applying a photoresist to the pad layer, exposing the photoresist to a desired pattern of radiation and developing the photoresist using a conventional resist developer.
  • the pattern is then transferred into the underlying pad layer using a conventional etching process such as reactive-ion etching, ion beam etching, plasma etching or laser ablation that is highly selective in removing pad material as compared to photoresist.
  • This etching step which also contemplates a combination of the aforementioned etching processes, stops on an upper surface of substrate 50 .
  • Partial etching of trenches 56 into the substrate is then performed using a conventional timed etching process that is highly selective in removing substrate as compared to the pad layer or photoresist.
  • SiN 58 is then deposited on the exposed walls of the partially etched trench using a conventional deposition process well known to those skilled in the art.
  • SiN layer 58 has a thickness of from about 2 to about 20 nm, with a thickness of from about 4 to about 10 nm being more highly preferred.
  • SiO 2 layer 60 is then formed atop the SiN layer using either a conventional deposition process or a conventional thermal growing process.
  • SiO 2 layer 60 has a thickness of from about 2 to about 20 nm, with a thickness of from about 4 to about 10 nm being more highly preferred. It should be noted that SiN layer 58 and SiO 2 layer 60 form thin sacrificial isolation collar 62 shown in FIG. 3 .
  • each trench is then removed using a conventional etching process such as reactive-ion etching, and thereafter the exposed substrate is subjected to further timed etching so as to complete the formation of each trench.
  • a conventional etching process such as reactive-ion etching
  • the exposed substrate is subjected to further timed etching so as to complete the formation of each trench.
  • the final depth of each trench after the second timed etching process has been performed, as measured from the top surface of the substrate is from about 4 to about 10 ⁇ m.
  • the photoresist can be removed after any of the etching steps mentioned above.
  • a conventional bottle etching process that is highly selective in removing substrate as compared to the sacrificial isolation collar may be performed so as to provide trenches that have a narrow upper portion and a broad lower portion.
  • Suitable bottle etching processes that can be utilized in the present invention include the processes disclosed in U.S. Pat. No. 4,649,625 to Lu, U.S. Pat. No. 5,658,816 to Rajeevakumar; and U.S. Pat. No. 5,692,281 to Rajeevakumar, the entire contents of each being incorporated herein by reference. As shown in FIG. 3, the sidewalls of the narrow upper portion of each trench include the sacrificial isolation collar thereon.
  • N+ buried plate diffusion region 64 is formed about the exterior walls of the broad lower trench regions using a conventional process that is capable of diffusing N+ dopant through the trench walls.
  • One technique that can be used in forming the buried plate diffusion region is described, for example, in U.S. Pat. No. 5,395,786, the content of which is incorporated herein by reference.
  • Node dielectric 66 is then formed in each trench, including on sacrificial collar isolation region 62 , and on the exposed trench walls in the broad lower region of each trench using either a conventional deposition process or a thermal growing process well known to those skilled in the art.
  • Node dielectric 66 employed at this stage of the present invention comprises any dielectric material including, but not limited to: Si 3 N 4 , SiO 2 , Al 2 O 3 , ZrO 2 , and RuO 2 .
  • the thickness of node dielectric 66 may vary and is not critical to the present invention. Typically, however, node dielectric layer 66 has a thickness of from about 2.5 to about 7.0 nm, with a thickness of from about 3.0 to about 5.0 nm being more highly preferred.
  • Storage capacitor node conductor 68 which comprises N+ polysilicon or a metallic conductor including silicides and metallic nitrides, are then formed in each trench using a conventional deposition process and, if needed, the storage capacitor node conductor is planarized to the upper surface of pad layer 54 using a conventional planarization process such as chemical-mechanical polishing (CMP) or grinding.
  • CMP chemical-mechanical polishing
  • the N+ polysilicon may be formed using a conventional in-situ deposition process, or the N+ poly layer may be formed by layered deposition followed by gas phase or plasma immersion doping.
  • storage capacitor node conductor 68 is recessed to a predetermined depth below the surface of the substrate using an etching process that is highly selective in removing the storage capacitor node conductor from at least an upper portion of each trench. It is noted that N+ buried plate 64 , node dielectric 66 , and storage capacitor node conductor 68 are the elements that make-up trench capacitor 70 .
  • each trench includes a material stack that consists of node dielectric 66 , and sacrificial isolation collar 62 .
  • sacrificial oxide layer 72 is formed in each trench including exposed surfaces of the node dielectric and the exposed horizontal surface of recessed storage capacitor node conductor 68 using a conventional deposition process.
  • the sacrificial oxide is the removed from the exposed horizontal surface of the recessed storage capacitor node conductor using a reactive-ion etching process that selectively removes oxide as compared to either the node conductor or node dielectric.
  • the exposed recessed storage capacitor node conductor is then recessed a second time providing the structure shown in FIG. 5 . Note that in this figure, the second recessing exposes a portion of the node dielectric in the narrow upper section of each trench.
  • Any node dielectric that is not protected with sacrificial oxide layer 72 is then removed using an etching process that is selective in removing node dielectric from each trench and thereafter, any exposed oxide surface, including sacrificial oxide layer 72 and SiO 2 layer 60 of sacrificial collar isolation region 62 , is removed using a conventional oxide stripping process so as to provide the structure shown, for example, in FIG. 6 . Note that in this structure, a portion of SiN layer 58 and node dielectric layer 66 are now exposed.
  • Exposed SiN layer 58 and optionally, node dielectric layer 66 may now be removed using an etching process that is highly selective in removing nitride from the trench as compared to oxide. This step provides an opening to the trench sidewalls in which the inventive collar isolation region will be subsequently formed.
  • the thick portion of the collar isolation region (labeled as 74 in FIG. 7) is formed using a collar oxidation process that is performed in an oxidizing ambient such as O 2 or ozone at a temperature of about 800° C. or higher.
  • Thick collar isolation region 74 is a thermal oxide that has a thickness of from about 50 to about 300 ⁇ , with a thickness of from about 150 to about 250 ⁇ being more highly preferred.
  • the vertical length of the thick collar portion is less than about 0.50 ⁇ m, with a vertical length of from about 0.2 to about 0.4 ⁇ m being more highly preferred. Note that the thick thermal portion of the collar isolation region is formed partially outside the trench, and partially inside the trench.
  • any remaining node dielectric may now be optionally removed from the upper portion of each trench using an etching process that is highly selective in removing node dielectric as compared to oxide.
  • Deposited oxide or a low-k dielectric (having a dielectric constant less than Si 3 N 4 ) 76 is then formed using a conventional deposition process. Portions of the deposited oxide or low-k dielectric that are formed atop the thick thermal oxide formed above represent the thin portion of the inventive collar isolation region of the present invention.
  • the inventive collar isolation region is labeled as 78 . Note that the vertical length of the inventive collar oxide is relatively short (0.5 ⁇ m) and that the inventive collar isolation region does not choke off the cross-sectional area of the trench. Note that the deposited oxide of low-k dielectric are formed inside the trench.
  • Oxide that is formed atop the horizontal surface of the twice recessed storage capacitor node conductor during the thermal growing process of the thick oxide and the deposition of the thin oxide or low-k dielectric is then removed using a reactive-ion etching process. Each trench is then refilled with storage capacitor node conductor material 68 and planarized to the upper surface of pad layer 54 .
  • vertical MOSFETs 80 are formed in each trench above underlying trench capacitor 70 using standard processing steps well known to those skilled in the art. This includes recessing storage capacitor node conductor 68 for a third time to a depth in which buried strap diffusion regions 86 will be formed. Remaining deposited or low-k dielectric material 76 is then removed from the upper portion so as to expose the upper trench sidewalls. Next, a doped conductor region (not separately labeled) such as N+ poly is formed in the lower portion of the recess in the trench and dopant is diffused through an opening at the exposed sidewalls forming N+ buried strap regions 86 . Trench top oxide 88 is formed on the doped conductor using a conventional deposition process.
  • a vertical gate dielectric such as SiO 2 (labeled as 82 in the figures) is then formed on the exposed trench walls using a thermal growing process or a conventional deposition process and thereafter, a gate conductor material 84 such as poly Si, a metal or a metallic silicide is formed atop the trench top oxide providing the structure shown in FIG. 2 .
  • a gate conductor material 84 such as poly Si, a metal or a metallic silicide is formed atop the trench top oxide providing the structure shown in FIG. 2 .
  • the pad layer is removed from the surface of substrate 50 using a conventional stripping process that is capable of removing the pad layer therefrom. Further processing may continue so as to form wordlines, bitline contacts, etc.
  • the advantage of the inventive process flow over prior art collar processes is that a combination of thermal oxide and deposited oxide is used in forming the inventive collar isolation region. Since the thick part of the collar is buried below the surface of the Si-containing substrate, the thermal oxidation step mentioned above can be employed without consuming Si at the top of the trenches. This step creates only limited reduction of trench diameter since a portion thereof is formed outside the trench walls. The addition of the thin deposited oxide or low-k dielectric layer ensures the necessary collar thickness such that the vertical parasitic transistor is not switched on.
  • the minimum length of the thick portion of the collar is constrained by the short channel effect of the vertical parasitic. At the onset of vertical conduction, the Si under the thin portions of the collar (on each side of the thick portion) is inverted. These inversion regions behave as pseudo source/drains. The minimum length of the thick portion of the collar is limited by the field penetration from the pseudo drain to the pseudo source through the thicker portion of the collar is approximately 0.20 ⁇ m.
  • the locally thicker portion of the collar is most effective in raising the threshold voltage of the vertical parasitic if placed at the point of the maximum P-well concentration between the strap outdiffusion and the N-band (buried plate).

Abstract

A trench capacitor memory cell structure is provided with includes a vertical collar region that suppresses current leakage of an adjacent vertical parasitic transistor that exists between the vertical MOSFET and the underlying trench capacitor. The vertical collar isolation, which has a vertical length of about 0.50 μm or less, includes a first portion that is present partially outside the trench and a second portion that is present inside the trench. The first portion of the collar oxide is thicker than said second portion oxide thereby reducing parasitic current leakage.

Description

FIELD OF THE INVENTION
The present invention relates to a semiconductor memory device, and more particularly to a trench storage memory cell structure having an isolation collar region that suppresses vertical parasitic current leakage, without significantly decreasing the cross-sectional area of the trench. The present invention is also directed to a method of fabricating such a trench storage memory cell structure.
BACKGROUND OF THE INVENTION
A metal oxide semiconductor field effect transistor (MOSFET) is used in forming dynamic random access memory (DRAM) cells. A DRAM circuit typically includes an array of memory cells interconnected by rows and columns, which are known as wordlines and bitlines, respectively. Reading data from, or writing data to, memory cells is achieved by activating selective wordlines and bitlines. Typically, a DRAM cell comprises a MOSFET connected to a capacitor. The capacitor includes two electrodes that are separated by a node dielectric, while the MOSFET includes a gate and diffusion regions that are referred to as either the source or drain region, depending on the operation of the transistor.
There are different types of MOSFETs known to those skilled in the art. A planar MOSFET is a transistor where a surface of the channel region of the transistor is generally parallel to the primary surface of the substrate. A vertical MOSFET is a transistor where a surface of the channel region of the transistor is perpendicular to the primary surface of the substrate. A trench MOSFET is a transistor where a surface of the channel region of the transistor is not parallel to the primary surface of the substrate and the channel region lies within the substrate. For a trench MOSFET, the surface of the channel region is usually perpendicular to the primary surface, although this is not required.
Trench capacitors are frequently employed with DRAM cells. A trench capacitor is a three-dimensional structure formed into a Si-containing substrate. This is normally formed by etching trenches of various dimensions into the Si-containing substrate. Trenches commonly have N+doped polysilicon as one electrode of the capacitor (i.e., the storage node) and the other electrode of the capacitor is a buried plate that is formed via out-diffusion of dopants into a portion of the substrate surrounding the lower portion of the trench.
To prevent carriers from traveling through the substrate between adjacent devices, (e.g., transistors and capacitors) device isolation regions are formed between adjacent semiconductor devices. Generally, device isolation regions take the form of thick field oxide regions extending below the surface of the semiconductor substrate. The most common early technique for forming a field oxide region is via a local oxidation of silicon (LOCOS) process. LOCOS field oxidation regions are formed by first depositing a layer of nitride on the surface of the substrate and then selectively etching a portion of the nitride layer to form a mask exposing the substrate where the field oxide region will be formed. The masked substrate is then placed in an oxidizing environment and a thick oxide layer is grown at the regions exposed by the mask, forming an oxide layer extending above and below the surface of the substrate. An alternative to LOCOS field oxide regions is the use of shallow trench isolation (STI) regions. In STI, a sharply defined trench is formed in a substrate by, for example, anisotropic etching. The trench is then filled with an isolation oxide back to the surface of the substrate to provide a device isolation region. Trench isolation regions formed by STI have the advantage of providing device isolation across their entire lateral extent and of providing a more planar structure.
With continued scaling of minimum feature size in the DRAM array, reduction of the lateral dimensions (the openings) of the deep trench (DT) storage capacitor results. Further, a shift is ongoing from currently practiced cell area of 8F2 (F is the minimum linewidth of the feature size that can be patterned with lithography) for planar MOSFET cells to a cell area of 7F2 or 6F2 for planar and vertical MOSFET cells. This is driving the design opening of the DT capacitor from a 1:2 to a 1:1 width to length ratio. A reduction in the size opening of the storage trench makes filling the DT with conductive material more difficult. Further, the difficulty in filling the DT is also compounded since the collar isolation oxide thickness requirement does not scale significantly from generation to generation.
A typical trench storage memory cell is shown, for example, in FIG. 1. Specifically, the trench capacitor memory cell of FIG. 1 comprises substrate 10 having N+ bitline diffusion regions 12 formed therein. The substrate also includes a plurality of trench capacitor memory cells 14. Each trench capacitor memory cell includes trench capacitor 16 formed in a lower portion of the trench and vertical MOSFET 18 formed in an upper portion of the trench. The trench capacitor includes N+ buried plate diffusion 20 formed about the exterior walls of the trench, node dielectric 22 lining the interior walls of the trench, and storage capacitor node conductor 24 formed within the trench on the exposed walls of the node dielectric. The vertical MOSFET includes gate dielectric 26 formed on vertical sidewalls of the trench, and gate conductor 28 formed on the gate dielectric. The trench capacitors and the vertical MOSFET are isolated from each other by trench top oxide layer 30 and collar isolation oxide 32, yet the structures are in electrical communication through N+ buried strap diffusion region 34. It is noted that the N+ buried strap diffusion regions and the N+ bitline diffusion regions form the source/drain regions of the vertical MOSFET.
In the prior art structure, vertical parasitic transistor 36 exists on the sidewalls of the trench between the N+ buried strap diffusion regions and the N+ buried plate diffusion regions. The gate of the parasitic transistor is the storage capacitor node conductor of the capacitor, and one source/drain region is the N+ buried strap diffusion region and the other source/drain region is the N+ buried plate diffusion region. In the prior art structure, the collar oxide is not able to raise the threshold voltage of the parasitic transistor so that it does not conduct unless collar isolation oxide 32 is sufficiently thick; therefore excess current leakage may exist in typical prior art trench capacitor memory cells.
Suppression of the vertical parasitic transistor leakage required for long data retention time, between the storage node diffusion (i.e., buried-strap outdiffusion) and the N+ buried plate of the capacitor, along the DT sidewall is thickness of the collar isolation oxide and/or the minimum doping concentration in the deep portion of the array P-well. If the difficulty in filling the DT is alleviated by thinning the collar isolation oxide, then the doping concentration in the array P-well is generally increased to compensate for the thinner collar isolation oxide. However, by increasing the P-well concentration, data retention time is degraded. Also, having a very small DT opening within the collar isolation region adds to series resistance of the trench capacitor. An increased resistance limits the amount of charge that can be stored, which thereby degrades the yield of the memory chip.
Another problem with the prior art trench capacitor memory cell structure is that the vertical length of the collar isolation oxide detracts from the area where the storage capacitor can be formed and, if the collar oxide is formed by a deposition process, oxide extends into the trench, which decreases the cross-sectional area and thus increases the resistance. That is, prior art collar isolation oxides ‘choke off’ the cross-sectional area of the trench so that the resistance of the trench is increased. This makes it more difficult to exchange charge between the capacitor and the rest of the circuitry in the DRAM, namely the bitline.
In view of the above, there remains a need for providing a new and improved collar isolation region, which suppresses the vertical parasitic MOSFET leakage without the need for increasing the doping concentration of the array P-well. Any new collar isolation region provided should have a thick oxide length that does not detract from the cross-sectional area where the storage capacitor is made, and the collar isolation region of the trench should have increased isolation cross-sectional area.
SUMMARY OF THE INVENTION
One object of the present invention is to provide a trench storage memory cell structure that includes a collar isolation region that is capable of substantially suppressing the vertical parasitic current leakage between the buried-strap outdiffusion region and the buried plate of the capacitor.
Another object of the present invention is to provide a trench storage memory cell structure having a collar isolation region that includes a thick isolation region whose length does not significantly detract from the area wherein the storage capacitor is formed.
A further object of the present invention is to provide a trench storage memory cell structure that has a thick collar isolation region that does not substantially decrease the cross-sectional area of the trench.
An even further object of the present invention is to provide a trench storage memory cell structure having a collar isolation region which includes at least a thick thermal oxide region and a thinner abutting isolation region that comprises a deposited oxide or a low-k dielectric material.
These and other objects and advantages are achieved in the present invention by providing a short collar isolation region having a thick first portion that is present partially outside the trench and a thin second portion that is present inside the trench. The reduced length enhances the trench capacity, while the partial placement outside the trench increases the open trench diameter for trench fill and improves the trench series resistance.
One aspect of the present invention thus relates to a trench capacitor memory cell structure that comprises:
a plurality of trenches present in a semiconductor substrate, each of said plurality of trenches including a vertical transistor isolated from an underlying trench capacitor, and
a vertical collar isolation region having a vertical length of about 0.50 μm or less present on sidewalls of each trench between said vertical transistor and said trench capacitor, said collar isolation region having a first portion that is present partially outside the trench and a second portion that is present inside the trench, said first portion is thicker than said second portion thereby reducing current leakage of an adjacent vertical parasitic transistor.
In one preferred embodiment of the present invention, the second portion of the collar isolation region comprises a low permittivity (i.e., low dielectric constant (k)) dielectric which serves to increase the threshold voltage of the vertical parasitic transistor. The terms “low permittivity” or “low-k” are used herein to denote a dielectric material having a dielectric constant that is less than Si3N4. It is noted that all dielectric constants are relative to a vacuum, unless otherwise noted.
Another aspect of the present invention is directed to a method of fabricating the above-mentioned trench capacitor memory cell structure. Specifically, the inventive method includes the steps of:
providing a plurality of trenches in a surface of a semiconductor substrate, each of said plurality of trenches having an upper region and a lower region, said lower region containing a trench capacitor formed therein;
forming a vertical collar isolation region in each of said trenches, said vertical collar isolation region having a vertical length of about 0.50 μm or less and a first portion that is present partially outside the trench and a second portion that is present inside the trench, said first portion is thicker than said second portion; and
forming a vertical transistor above said vertical collar isolation region.
BRIEF DESCRIPTION OF THE DRAWINGS
FIG. 1 is a pictorial representation (through a cross-sectional view) illustrating a typical prior art trench capacitor memory cell structure.
FIG. 2 is a pictorial representation (through a cross-sectional view) illustrating the trench capacitor memory cell of the present invention.
FIGS. 3-7 are pictorial representations (through cross-sectional views) illustrating the various processing steps employed in the inventive method.
DETAILED DESCRIPTION OF THE INVENTION
The present invention, which provides a novel trench capacitor memory cell structure having a short collar isolation region (of about 0.50 μm or less) which includes a first portion that is present partially outside the trench and a second portion that is present inside the trench, said first portion is thicker than said second portion, will now be described in greater detail by referring to the drawings that accompany the present application.
Reference is first made to FIG. 2 which is a cross-sectional view of the inventive trench capacitor memory cell structure. Specifically, the inventive memory cell comprises a plurality of trenches 56 (two of which are shown in FIG. 2) present in a surface of semiconductor substrate 50. Each of the trenches includes vertical transistor (or MOSFET) 80 which is isolated from underlying trench capacitor 70, and vertical collar isolation region 78 having a vertical length of about 0.50 μm or less present on sidewalls of each trench between the vertical capacitor and the trench capacitor. In accordance with the present invention, collar isolation region 78 includes first portion 74 that is present partially outside the trench and second portion 76 that is present inside the trench. As is shown, the first portion of the collar isolation region is thicker than the second portion of the collar isolation region thereby reducing current leakage into adjacent vertical parasitic transistor 100.
Each trench capacitor of the inventive trench capacitor memory cell illustrated in FIG. 2 includes N+ buried plate diffusion region 64 present about a broad lower portion of the trench, node dielectric 66 lining the broad lower portion of the trench, and storage capacitor node conductor 68 present on the node dielectric inside the trench.
The vertical transistor portion of the inventive trench capacitor memory cell includes vertical gate dielectric 82 lining narrow upper portions of the trench, and gate conductor 84 present inside the trench. The source/drain diffusion regions of the vertical transistor include N+ bitline diffusion region 52 and N+ buried strap region 86. The N+ buried strap region provides electrical communication between the vertical transistor and the underlying trench capacitor which are further isolated from each other by trench top oxide 88.
It is noted that the collar isolation region depicted in FIG. 2 has a sufficient thickness so as to substantially suppress parasitic current leakage. Thus, the collar isolation region of the present invention represents an improvement of prior art collar isolation regions such as depict in FIG. 1. Another feature of the inventive collar isolation region is that the vertical length of the collar isolation regions is short enough so that the collar isolation region does not detract from the trench capacitance. A further aspect of the inventive collar isolation region is that the collar isolation region is fabricated such that it does not choke off the cross-sectional area of the trench.
Reference is now made to FIGS. 3-7 which illustrate the basic processing scheme that is employed in fabricating the trench capacitor memory cell of FIG. 2. The inventive process begins with forming the structure shown in FIG. 3. Specifically, the structure shown in FIG. 3 includes semiconductor substrate 50 which has N+ bitline diffusion regions 52 present in an upper surface of the substrate. Trench regions 56 are formed into the substrate using pad layer 54 as a trench mask. The trench regions include a broad lower region and a narrow upper region which are both defined by walls. About the lower region of the trench is formed N+ buried plate diffusion region 64 and the narrow upper region of the trench includes thin sacrificial isolation collar 62.
The initial structure shown in FIG. 3 is composed of conventional materials well known to those skilled in the art and the following processing steps are employed in fabricating the same. Specifically, semiconductor substrate 50 is composed of any Si-containing semiconducting material including but not limited to: Si, SiGe, Si/SiGe, Si/SiO2/Si, silicon-on-insulators (SOIs) and other like Si-containing semiconductor materials. The substrate may be of the n or p-type depending on the desired devices to be fabricated. Moreover, the substrate may contain various isolation and/or device regions either formed in the substrate or on a surface thereof. For clarity, these regions are not shown in the drawings, but are nevertheless meant to be included within region 50.
At this point of the present invention, N+ bitline diffusion regions 52 are formed into the surface of substrate 50 using ion implantation and activation annealing. Patterned pad layer 54 which serves as the trench mask is then formed on a surface of substrate 50 utilizing conventional processes well known to those skilled in the art including deposition and/or thermal growing followed by lithography and etching. The pad layer may comprise a single material layer, or alternatively, pad layer 54 comprises a multilayered structure. For example, the pad layer may comprise an oxide, a nitride or a doped silicate glass material, or a stack including two or more of the aforementioned materials may be employed. A preferred pad layer employed in the present invention is a multilayered structure which comprises a stack of thermal grown oxide/deposited nitride and deposited boron doped silicate glass (BSG).
As stated above, a conventional thermal deposition process such as chemical vapor deposition (CVD), plasma-assisted CVD, evaporation, or chemical solution deposition may be employed in forming the pad layer. Alternatively, pad layer 54 may be formed by a thermal oxidation or nitridation process, or a combination of thermal growing and deposition may be employed in forming the same.
Following application of pad layer 54 to the surface of substrate 50, the pad layer is then patterned using conventional lithography and etching. The lithography step employed in the present application includes the steps of applying a photoresist to the pad layer, exposing the photoresist to a desired pattern of radiation and developing the photoresist using a conventional resist developer. The pattern is then transferred into the underlying pad layer using a conventional etching process such as reactive-ion etching, ion beam etching, plasma etching or laser ablation that is highly selective in removing pad material as compared to photoresist. This etching step, which also contemplates a combination of the aforementioned etching processes, stops on an upper surface of substrate 50.
Partial etching of trenches 56 into the substrate is then performed using a conventional timed etching process that is highly selective in removing substrate as compared to the pad layer or photoresist. SiN 58 is then deposited on the exposed walls of the partially etched trench using a conventional deposition process well known to those skilled in the art. SiN layer 58 has a thickness of from about 2 to about 20 nm, with a thickness of from about 4 to about 10 nm being more highly preferred.
SiO2 layer 60 is then formed atop the SiN layer using either a conventional deposition process or a conventional thermal growing process. SiO2 layer 60 has a thickness of from about 2 to about 20 nm, with a thickness of from about 4 to about 10 nm being more highly preferred. It should be noted that SiN layer 58 and SiO2 layer 60 form thin sacrificial isolation collar 62 shown in FIG. 3.
The horizontal surface of the thin sacrificial isolation collar formed on the bottom surface of each partially etched trench is then removed using a conventional etching process such as reactive-ion etching, and thereafter the exposed substrate is subjected to further timed etching so as to complete the formation of each trench. In the present invention, the final depth of each trench after the second timed etching process has been performed, as measured from the top surface of the substrate, is from about 4 to about 10 μm. The photoresist can be removed after any of the etching steps mentioned above.
Next, a conventional bottle etching process that is highly selective in removing substrate as compared to the sacrificial isolation collar may be performed so as to provide trenches that have a narrow upper portion and a broad lower portion. Suitable bottle etching processes that can be utilized in the present invention include the processes disclosed in U.S. Pat. No. 4,649,625 to Lu, U.S. Pat. No. 5,658,816 to Rajeevakumar; and U.S. Pat. No. 5,692,281 to Rajeevakumar, the entire contents of each being incorporated herein by reference. As shown in FIG. 3, the sidewalls of the narrow upper portion of each trench include the sacrificial isolation collar thereon.
Next, N+ buried plate diffusion region 64 is formed about the exterior walls of the broad lower trench regions using a conventional process that is capable of diffusing N+ dopant through the trench walls. One technique that can be used in forming the buried plate diffusion region is described, for example, in U.S. Pat. No. 5,395,786, the content of which is incorporated herein by reference.
Node dielectric 66 is then formed in each trench, including on sacrificial collar isolation region 62, and on the exposed trench walls in the broad lower region of each trench using either a conventional deposition process or a thermal growing process well known to those skilled in the art. Node dielectric 66 employed at this stage of the present invention comprises any dielectric material including, but not limited to: Si3N4, SiO2, Al2O3, ZrO2, and RuO2. The thickness of node dielectric 66 may vary and is not critical to the present invention. Typically, however, node dielectric layer 66 has a thickness of from about 2.5 to about 7.0 nm, with a thickness of from about 3.0 to about 5.0 nm being more highly preferred.
Storage capacitor node conductor 68, which comprises N+ polysilicon or a metallic conductor including silicides and metallic nitrides, are then formed in each trench using a conventional deposition process and, if needed, the storage capacitor node conductor is planarized to the upper surface of pad layer 54 using a conventional planarization process such as chemical-mechanical polishing (CMP) or grinding. If storage capacitor node conductor 68 is N+ polysilicon, the N+ polysilicon may be formed using a conventional in-situ deposition process, or the N+ poly layer may be formed by layered deposition followed by gas phase or plasma immersion doping. Following deposition and optional planarization, storage capacitor node conductor 68 is recessed to a predetermined depth below the surface of the substrate using an etching process that is highly selective in removing the storage capacitor node conductor from at least an upper portion of each trench. It is noted that N+ buried plate 64, node dielectric 66, and storage capacitor node conductor 68 are the elements that make-up trench capacitor 70.
The resultant structure that is formed after formation of the node dielectric and recessed storage capacitor node conductor is shown, for example, in FIG. 4. Note that in FIG. 4, the upper sidewalls of each trench includes a material stack that consists of node dielectric 66, and sacrificial isolation collar 62.
Next, and as shown in FIG. 5, sacrificial oxide layer 72 is formed in each trench including exposed surfaces of the node dielectric and the exposed horizontal surface of recessed storage capacitor node conductor 68 using a conventional deposition process. The sacrificial oxide is the removed from the exposed horizontal surface of the recessed storage capacitor node conductor using a reactive-ion etching process that selectively removes oxide as compared to either the node conductor or node dielectric. The exposed recessed storage capacitor node conductor is then recessed a second time providing the structure shown in FIG. 5. Note that in this figure, the second recessing exposes a portion of the node dielectric in the narrow upper section of each trench.
Any node dielectric that is not protected with sacrificial oxide layer 72 is then removed using an etching process that is selective in removing node dielectric from each trench and thereafter, any exposed oxide surface, including sacrificial oxide layer 72 and SiO2 layer 60 of sacrificial collar isolation region 62, is removed using a conventional oxide stripping process so as to provide the structure shown, for example, in FIG. 6. Note that in this structure, a portion of SiN layer 58 and node dielectric layer 66 are now exposed.
Exposed SiN layer 58 and optionally, node dielectric layer 66, may now be removed using an etching process that is highly selective in removing nitride from the trench as compared to oxide. This step provides an opening to the trench sidewalls in which the inventive collar isolation region will be subsequently formed.
The thick portion of the collar isolation region (labeled as 74 in FIG. 7) is formed using a collar oxidation process that is performed in an oxidizing ambient such as O2 or ozone at a temperature of about 800° C. or higher. Thick collar isolation region 74 is a thermal oxide that has a thickness of from about 50 to about 300 Å, with a thickness of from about 150 to about 250 Å being more highly preferred. The vertical length of the thick collar portion is less than about 0.50 μm, with a vertical length of from about 0.2 to about 0.4 μm being more highly preferred. Note that the thick thermal portion of the collar isolation region is formed partially outside the trench, and partially inside the trench.
If not previously carried out, any remaining node dielectric may now be optionally removed from the upper portion of each trench using an etching process that is highly selective in removing node dielectric as compared to oxide. Deposited oxide or a low-k dielectric (having a dielectric constant less than Si3N4) 76 is then formed using a conventional deposition process. Portions of the deposited oxide or low-k dielectric that are formed atop the thick thermal oxide formed above represent the thin portion of the inventive collar isolation region of the present invention. In the drawings, the inventive collar isolation region is labeled as 78. Note that the vertical length of the inventive collar oxide is relatively short (0.5 μm) and that the inventive collar isolation region does not choke off the cross-sectional area of the trench. Note that the deposited oxide of low-k dielectric are formed inside the trench.
Oxide that is formed atop the horizontal surface of the twice recessed storage capacitor node conductor during the thermal growing process of the thick oxide and the deposition of the thin oxide or low-k dielectric is then removed using a reactive-ion etching process. Each trench is then refilled with storage capacitor node conductor material 68 and planarized to the upper surface of pad layer 54.
Next, vertical MOSFETs 80 are formed in each trench above underlying trench capacitor 70 using standard processing steps well known to those skilled in the art. This includes recessing storage capacitor node conductor 68 for a third time to a depth in which buried strap diffusion regions 86 will be formed. Remaining deposited or low-k dielectric material 76 is then removed from the upper portion so as to expose the upper trench sidewalls. Next, a doped conductor region (not separately labeled) such as N+ poly is formed in the lower portion of the recess in the trench and dopant is diffused through an opening at the exposed sidewalls forming N+ buried strap regions 86. Trench top oxide 88 is formed on the doped conductor using a conventional deposition process. A vertical gate dielectric such as SiO2 (labeled as 82 in the figures) is then formed on the exposed trench walls using a thermal growing process or a conventional deposition process and thereafter, a gate conductor material 84 such as poly Si, a metal or a metallic silicide is formed atop the trench top oxide providing the structure shown in FIG. 2. Note that in the structure illustrated in FIG. 2 the pad layer is removed from the surface of substrate 50 using a conventional stripping process that is capable of removing the pad layer therefrom. Further processing may continue so as to form wordlines, bitline contacts, etc.
The advantage of the inventive process flow over prior art collar processes is that a combination of thermal oxide and deposited oxide is used in forming the inventive collar isolation region. Since the thick part of the collar is buried below the surface of the Si-containing substrate, the thermal oxidation step mentioned above can be employed without consuming Si at the top of the trenches. This step creates only limited reduction of trench diameter since a portion thereof is formed outside the trench walls. The addition of the thin deposited oxide or low-k dielectric layer ensures the necessary collar thickness such that the vertical parasitic transistor is not switched on.
The minimum length of the thick portion of the collar is constrained by the short channel effect of the vertical parasitic. At the onset of vertical conduction, the Si under the thin portions of the collar (on each side of the thick portion) is inverted. These inversion regions behave as pseudo source/drains. The minimum length of the thick portion of the collar is limited by the field penetration from the pseudo drain to the pseudo source through the thicker portion of the collar is approximately 0.20 μm.
The locally thicker portion of the collar is most effective in raising the threshold voltage of the vertical parasitic if placed at the point of the maximum P-well concentration between the strap outdiffusion and the N-band (buried plate).
While the present invention has been particularly shown and described with respect to preferred embodiments thereof, it will be understood by those skilled in the art that the foregoing and other changes in forms and details may be made without departing from the spirit and scope of the present invention. It is therefore intended that the present invention is not limited to the exact forms and details described and illustrated, but fall within the scope of the appended claims.

Claims (20)

Having thus described our invention in detail, what we claim as new and desire to secure by the Letters Patent is:
1. A trench capacitor memory cell structure comprising:
a plurality of trenches present in a semiconductor substrate, each of said plurality of trenches including a vertical transistor isolated from an underlying trench capacitor, and
a vertical collar isolation region having a vertical length of about 0.50 μm or less present on sidewalls of each trench between said vertical transistor and said trench capacitor, said collar isolation region having a first portion that is present partially outside the trench and a second portion that is present inside the trench, said first portion is thicker than said second portion thereby reducing current leakage of an adjacent vertical parasitic transistor.
2. The trench capacitor memory cell structure of claim 1 wherein said vertical transistor comprises a vertical gate dielectric, a gate conductor and source/drain regions.
3. The trench capacitor memory cell structure of claim 2 wherein said source/drain regions of said vertical transistor comprise an N+ bitline diffusion region and an N+ buried strap region.
4. The trench capacitor memory cell structure of claim 1 wherein said trench capacitor comprises an N+ buried plate diffusion region, a node dielectric and a storage capacitor node conductor.
5. The trench capacitor memory cell structure of claim 1 further comprises a trench top oxide layer that isolates said vertical transistor from said trench capacitor.
6. The trench capacitor memory cell structure of claim 1 further comprises an N+ buried strap diffusion region which is present outside the trench, said N+ buried strap diffusion region provides electrical communication between the vertical transistor and the trench capacitor.
7. The trench capacitor memory cell structure of claim 1 wherein said vertical length of said vertical collar isolation region is from about 0.2 to about 0.4 μm.
8. The trench capacitor memory cell structure of claim 1 wherein said first portion is comprised of a thermal oxide.
9. The trench capacitor memory cell structure of claim 1 wherein said second portion is comprised of a deposited oxide.
10. The trench capacitor memory cell structure of claim 1 wherein said second portion is a dielectric material that has a dielectric constant lower than Si3N4.
11. The trench capacitor memory cell structure of claim 1 wherein said first portion is a thermal oxide and said second portion is a dielectric material that has a dielectric constant lower than Si3N4.
12. A method of forming a trench capacitor memory cell structure, said method comprising the steps of:
providing a plurality of trenches in a surface of a semiconductor substrate, each of said plurality of trenches having an upper region and a lower region, said lower region containing a trench capacitor formed therein;
forming a vertical collar isolation region in each of said trenches, said vertical collar isolation region having a vertical length of about 0.50 μm or less and a first portion that is present partially outside the trench and a second portion that is present inside the trench, said first portion is thicker than said second portion;
forming a vertical transistor above said vertical collar isolation region.
13. The method of claim 12 wherein said trenches are formed by lithography and etching using a pad layer as a trench mask.
14. The method of claim 12 wherein said first portion of said vertical collar isolation region is formed by a thermal oxidation process.
15. The method of claim 14 wherein said thermal oxidation process is performed in an oxidizing ambient and at a temperature of about 800° C. or above.
16. The method of claim 12 wherein said second portion is formed by a deposition process.
17. The method of claim 12 wherein said trench capacitor is formed by the steps of diffusing N+ dopant from said trenches into a lower portion of each trench, lining trench walls in said lower portion with a node dielectric and filling at least said lower portion of each trench with a storage capacitor node conductor.
18. The method of claim 12 further comprising forming a trench top oxide layer over said trench capacitor so as to isolate said trench capacitor from said vertical transistor.
19. The method of claim 12 wherein said vertical transistor is formed by providing a vertical gate dielectric on at least upper sidewalls of each trench, and filling said trench with a gate conductor.
20. The method of claim 12 further comprising forming N+ bitline diffusion regions in said semiconductor substrate, said N+ bitline diffusion regions abutting each trench.
US10/261,219 2002-09-30 2002-09-30 Process flow for thick isolation collar with reduced length Expired - Lifetime US6605838B1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US10/261,219 US6605838B1 (en) 2002-09-30 2002-09-30 Process flow for thick isolation collar with reduced length
DE10344862A DE10344862B4 (en) 2002-09-30 2003-09-26 A trench capacitor memory cell structure and method of forming a trench capacitor memory cell structure

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/261,219 US6605838B1 (en) 2002-09-30 2002-09-30 Process flow for thick isolation collar with reduced length

Publications (1)

Publication Number Publication Date
US6605838B1 true US6605838B1 (en) 2003-08-12

Family

ID=27662833

Family Applications (1)

Application Number Title Priority Date Filing Date
US10/261,219 Expired - Lifetime US6605838B1 (en) 2002-09-30 2002-09-30 Process flow for thick isolation collar with reduced length

Country Status (2)

Country Link
US (1) US6605838B1 (en)
DE (1) DE10344862B4 (en)

Cited By (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040029342A1 (en) * 2002-08-06 2004-02-12 Intelligent Sources Development Corp. Self-aligned trench-type dram strucutre and its manufacturing methods
US20040066666A1 (en) * 2002-10-04 2004-04-08 International Business Machines Corporation Structure and method of vertical transistor DRAM cell having a low leakage buried strap
US20040084708A1 (en) * 2002-10-30 2004-05-06 Infineon Technologies North America Corp Method for fabricating a trench capacitor
US20040241939A1 (en) * 2003-05-30 2004-12-02 International Business Machines Corporation METHOD OF FORMING A COLLAR USING SELECTIVE SiGe/AMORPHOUS Si ETCH
US20050059207A1 (en) * 2003-09-17 2005-03-17 Chih-Han Chang Method for forming a deep trench capacitor buried plate
US20050127422A1 (en) * 2003-12-10 2005-06-16 Ching-Nan Hsiao Vertical dram and fabrication method thereof
US20050224852A1 (en) * 2004-03-30 2005-10-13 International Business Machines Corporation Offset vertical device
US20060040444A1 (en) * 2004-08-20 2006-02-23 Samsung Electronics Co., Ltd. Method for fabricating a three-dimensional capacitor
US20060255390A1 (en) * 2005-05-16 2006-11-16 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
CN1314106C (en) * 2003-12-19 2007-05-02 茂德科技股份有限公司 Flush type trench capacitor and method for making same
US7268395B2 (en) 2004-06-04 2007-09-11 International Rectifier Corporation Deep trench super switch device
US20080079068A1 (en) * 2006-09-30 2008-04-03 Kyung Do Kim Semiconductor device having asymmetric bulb-type recess gate and method for manufacturing the same
US20080128799A1 (en) * 2006-12-01 2008-06-05 Hynix Semiconductor Inc. Semiconductor device with bulb type recess gate and method for fabricating the same
US20080254306A1 (en) * 2007-04-10 2008-10-16 Yong-Tak Kim Method of manufacturing organic light-emitting device and organic light-emitting device manufactured using the method
US20100207245A1 (en) * 2009-02-13 2010-08-19 International Business Machines Corporation Highly scalable trench capacitor
US7898014B2 (en) 2006-03-30 2011-03-01 International Business Machines Corporation Semiconductor device structures with self-aligned doped regions and methods for forming such semiconductor device structures
US20110165747A1 (en) * 2010-01-07 2011-07-07 Hynix Semiconductor Inc. Semiconductor apparatus and fabrication method thereof
CN109891587A (en) * 2016-11-01 2019-06-14 美光科技公司 Form the method for the array including vertical opposite capacitor pair and the array including vertical opposite capacitor pair
CN109937481A (en) * 2016-11-01 2019-06-25 美光科技公司 Form the method for the array including vertical opposite capacitor pair and the array including vertical opposite capacitor pair

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4914739A (en) * 1984-10-31 1990-04-03 Texas Instruments, Incorporated Structure for contacting devices in three dimensional circuitry
US5519236A (en) * 1993-06-28 1996-05-21 Kabushiki Kaisha Toshiba Semiconductor memory device having surrounding gate transistor
US6441423B1 (en) * 2000-05-31 2002-08-27 International Business Machines Corporation Trench capacitor with an intrinsically balanced field across the dielectric
US20020135008A1 (en) * 2000-02-04 2002-09-26 Infineon Technologies Ag Vertical semiconductor component with source-down design and corresponding fabrication method
US20020171099A1 (en) * 1999-03-19 2002-11-21 Kabushiki Kaisha Toshiba Semiconductor device and manufacturing method thereof
US20020196651A1 (en) * 2001-06-22 2002-12-26 Rolf Weis Memory cell layout with double gate vertical array transistor
US6518616B2 (en) * 2001-04-18 2003-02-11 International Business Machines Corporation Vertical gate top engineering for improved GC and CB process windows

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4649625A (en) * 1985-10-21 1987-03-17 International Business Machines Corporation Dynamic memory device having a single-crystal transistor on a trench capacitor structure and a fabrication method therefor
US5395786A (en) * 1994-06-30 1995-03-07 International Business Machines Corporation Method of making a DRAM cell with trench capacitor
US5658816A (en) * 1995-02-27 1997-08-19 International Business Machines Corporation Method of making DRAM cell with trench under device for 256 Mb DRAM and beyond
US5692281A (en) * 1995-10-19 1997-12-02 International Business Machines Corporation Method for making a dual trench capacitor structure
US6319787B1 (en) * 1998-06-30 2001-11-20 Siemens Aktiengesellschaft Method for forming a high surface area trench capacitor
DE19842665C2 (en) * 1998-09-17 2001-10-11 Infineon Technologies Ag Manufacturing process for a trench capacitor with an insulation collar
US6204140B1 (en) * 1999-03-24 2001-03-20 Infineon Technologies North America Corp. Dynamic random access memory
US6426253B1 (en) * 2000-05-23 2002-07-30 Infineon Technologies A G Method of forming a vertically oriented device in an integrated circuit

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4914739A (en) * 1984-10-31 1990-04-03 Texas Instruments, Incorporated Structure for contacting devices in three dimensional circuitry
US5519236A (en) * 1993-06-28 1996-05-21 Kabushiki Kaisha Toshiba Semiconductor memory device having surrounding gate transistor
US20020171099A1 (en) * 1999-03-19 2002-11-21 Kabushiki Kaisha Toshiba Semiconductor device and manufacturing method thereof
US20020135008A1 (en) * 2000-02-04 2002-09-26 Infineon Technologies Ag Vertical semiconductor component with source-down design and corresponding fabrication method
US6441423B1 (en) * 2000-05-31 2002-08-27 International Business Machines Corporation Trench capacitor with an intrinsically balanced field across the dielectric
US6518616B2 (en) * 2001-04-18 2003-02-11 International Business Machines Corporation Vertical gate top engineering for improved GC and CB process windows
US20020196651A1 (en) * 2001-06-22 2002-12-26 Rolf Weis Memory cell layout with double gate vertical array transistor

Cited By (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6750499B2 (en) * 2002-08-06 2004-06-15 Intelligent Sources Development Corp. Self-aligned trench-type dram structure and its contactless dram arrays
US20040029342A1 (en) * 2002-08-06 2004-02-12 Intelligent Sources Development Corp. Self-aligned trench-type dram strucutre and its manufacturing methods
US6979851B2 (en) * 2002-10-04 2005-12-27 International Business Machines Corporation Structure and method of vertical transistor DRAM cell having a low leakage buried strap
US20040066666A1 (en) * 2002-10-04 2004-04-08 International Business Machines Corporation Structure and method of vertical transistor DRAM cell having a low leakage buried strap
US20040084708A1 (en) * 2002-10-30 2004-05-06 Infineon Technologies North America Corp Method for fabricating a trench capacitor
US6759292B2 (en) * 2002-10-30 2004-07-06 Infineon Technologies Ag Method for fabricating a trench capacitor
US20040241939A1 (en) * 2003-05-30 2004-12-02 International Business Machines Corporation METHOD OF FORMING A COLLAR USING SELECTIVE SiGe/AMORPHOUS Si ETCH
US6987042B2 (en) * 2003-05-30 2006-01-17 International Business Machines Corporation Method of forming a collar using selective SiGe/Amorphous Si Etch
US20050059207A1 (en) * 2003-09-17 2005-03-17 Chih-Han Chang Method for forming a deep trench capacitor buried plate
US7232718B2 (en) * 2003-09-17 2007-06-19 Nanya Technology Corp. Method for forming a deep trench capacitor buried plate
US20050127422A1 (en) * 2003-12-10 2005-06-16 Ching-Nan Hsiao Vertical dram and fabrication method thereof
US7135731B2 (en) * 2003-12-10 2006-11-14 Nanya Technology Corp. Vertical DRAM and fabrication method thereof
CN1314106C (en) * 2003-12-19 2007-05-02 茂德科技股份有限公司 Flush type trench capacitor and method for making same
US20070224757A1 (en) * 2004-03-30 2007-09-27 International Business Machines Corporation Offset vertical device
US7445987B2 (en) 2004-03-30 2008-11-04 International Business Machines Corporation Offset vertical device
US7247905B2 (en) * 2004-03-30 2007-07-24 International Business Machines Corporation Offset vertical device
US20050224852A1 (en) * 2004-03-30 2005-10-13 International Business Machines Corporation Offset vertical device
US7268395B2 (en) 2004-06-04 2007-09-11 International Rectifier Corporation Deep trench super switch device
US20060040444A1 (en) * 2004-08-20 2006-02-23 Samsung Electronics Co., Ltd. Method for fabricating a three-dimensional capacitor
US20100221411A1 (en) * 2004-08-20 2010-09-02 Lee Jung-Hyun Method for fabricating a three-dimensional capacitor
US7732851B2 (en) 2004-08-20 2010-06-08 Samsung Electronics Co., Ltd. Method for fabricating a three-dimensional capacitor
US20060255390A1 (en) * 2005-05-16 2006-11-16 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US7485909B2 (en) * 2005-05-16 2009-02-03 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US7898014B2 (en) 2006-03-30 2011-03-01 International Business Machines Corporation Semiconductor device structures with self-aligned doped regions and methods for forming such semiconductor device structures
US8143127B2 (en) 2006-09-30 2012-03-27 Hynix Semiconductor Inc. Semiconductor device having asymmetric bulb-type recess gate and method for manufacturing the same
US7825463B2 (en) * 2006-09-30 2010-11-02 Hynix Semiconductor Inc. Semiconductor device having asymmetric bulb-type recess gate and method for manufacturing the same
US20110008942A1 (en) * 2006-09-30 2011-01-13 Hynix Semiconductor Inc. Semiconductor device having asymmetric bulb-type recess gate and method for manufacturing the same
US20080079068A1 (en) * 2006-09-30 2008-04-03 Kyung Do Kim Semiconductor device having asymmetric bulb-type recess gate and method for manufacturing the same
US20080128799A1 (en) * 2006-12-01 2008-06-05 Hynix Semiconductor Inc. Semiconductor device with bulb type recess gate and method for fabricating the same
US7741223B2 (en) * 2006-12-01 2010-06-22 Hynix Semiconductor Inc. Semiconductor device with bulb type recess gate and method for fabricating the same
US20080254306A1 (en) * 2007-04-10 2008-10-16 Yong-Tak Kim Method of manufacturing organic light-emitting device and organic light-emitting device manufactured using the method
US20100207245A1 (en) * 2009-02-13 2010-08-19 International Business Machines Corporation Highly scalable trench capacitor
US8492817B2 (en) 2009-02-13 2013-07-23 International Business Machines Corporation Highly scalable trench capacitor
US8932932B2 (en) 2009-02-13 2015-01-13 International Business Machines Corporation Highly scalable trench capacitor
US20110165747A1 (en) * 2010-01-07 2011-07-07 Hynix Semiconductor Inc. Semiconductor apparatus and fabrication method thereof
CN109891587A (en) * 2016-11-01 2019-06-14 美光科技公司 Form the method for the array including vertical opposite capacitor pair and the array including vertical opposite capacitor pair
CN109937481A (en) * 2016-11-01 2019-06-25 美光科技公司 Form the method for the array including vertical opposite capacitor pair and the array including vertical opposite capacitor pair
CN109891587B (en) * 2016-11-01 2023-11-24 美光科技公司 Method of forming an array comprising vertically opposed pairs of capacitors and an array comprising vertically opposed pairs of capacitors
CN109937481B (en) * 2016-11-01 2023-12-29 美光科技公司 Method of forming an array comprising vertically opposed pairs of capacitors and an array comprising vertically opposed pairs of capacitors

Also Published As

Publication number Publication date
DE10344862B4 (en) 2007-12-20
DE10344862A1 (en) 2004-04-15

Similar Documents

Publication Publication Date Title
US5981332A (en) Reduced parasitic leakage in semiconductor devices
US6605838B1 (en) Process flow for thick isolation collar with reduced length
KR100587782B1 (en) Bottle-shaped trench capacitor with epi buried layer
US6184549B1 (en) Trench storage dynamic random access memory cell with vertical transfer device
US6440793B1 (en) Vertical MOSFET
US7157329B2 (en) Trench capacitor with buried strap
EP0967653A2 (en) Semiconductor DRAM trench capacitor
US20020094619A1 (en) 6F2 Trench edram cell with double-gated vertical MOSFET and self-aligned STI
US20070235833A1 (en) Semiconductor device structures with self-aligned doped regions and methods for forming such semiconductor device structures
US20060228864A1 (en) Semiconductor devices having a bottle-shaped deep trench capacitor and methods for making the same using Epi-Si growth process
US6258659B1 (en) Embedded vertical DRAM cells and dual workfunction logic gates
US6150211A (en) Methods of forming storage capacitors in integrated circuitry memory cells and integrated circuitry
US7449382B2 (en) Memory device and fabrication method thereof
KR20060127747A (en) Method for forming a semiconductor device
KR19990078429A (en) Improved controllability of a buried device layer
US6399977B1 (en) Reducing oxidation stress in the fabrication of devices
US20020089007A1 (en) Vertical mosfet
US6734056B2 (en) Self-aligned punch through stop for 6F2 rotated hybrid DRAM cell
US6271080B1 (en) Structure and method for planar MOSFET DRAM cell free of wordline gate conductor to storage trench overlay sensitivity
KR19990006511A (en) Vertical transistor
US6541810B2 (en) Modified vertical MOSFET and methods of formation thereof
US6930345B2 (en) Increase in deep trench capacitance by a central ground electrode
US6902982B2 (en) Trench capacitor and process for preventing parasitic leakage
US7078756B2 (en) Collarless trench DRAM device
JP2000174225A (en) Semiconductor integrated circuit device and manufacture thereof

Legal Events

Date Code Title Description
AS Assignment

Owner name: INFINEON TECHNOLOGIES NORTH AMERICA CORPORATION, C

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:FEHLAUER, GERD;KUDELKA, STEPHAN;SCHROEDER, UWE;AND OTHERS;REEL/FRAME:013357/0428;SIGNING DATES FROM 20020816 TO 20020909

Owner name: INTERNATIONAL BUSINESS MACHINES CORPORATION, NEW Y

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:MANDELMAN, JACK A.;DIVAKARUNI, RAMA;REEL/FRAME:013357/0407;SIGNING DATES FROM 20020820 TO 20020823

FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

STCF Information on status: patent grant

Free format text: PATENTED CASE

FPAY Fee payment

Year of fee payment: 4

AS Assignment

Owner name: QIMONDA AG, GERMANY

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:INFINEON TECHNOLOGIES NORTH AMERICA CORPORATION;REEL/FRAME:023791/0001

Effective date: 20060425

FPAY Fee payment

Year of fee payment: 8

REMI Maintenance fee reminder mailed
AS Assignment

Owner name: INFINEON TECHNOLOGIES AG, GERMANY

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:QIMONDA AG;REEL/FRAME:035623/0001

Effective date: 20141009

FPAY Fee payment

Year of fee payment: 12

SULP Surcharge for late payment

Year of fee payment: 11

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. 2 LLC, NEW YORK

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:INTERNATIONAL BUSINESS MACHINES CORPORATION;REEL/FRAME:036550/0001

Effective date: 20150629

AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:GLOBALFOUNDRIES U.S. 2 LLC;GLOBALFOUNDRIES U.S. INC.;REEL/FRAME:036779/0001

Effective date: 20150910

AS Assignment

Owner name: WILMINGTON TRUST, NATIONAL ASSOCIATION, DELAWARE

Free format text: SECURITY AGREEMENT;ASSIGNOR:GLOBALFOUNDRIES INC.;REEL/FRAME:049490/0001

Effective date: 20181127

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:GLOBALFOUNDRIES INC.;REEL/FRAME:054633/0001

Effective date: 20201022

AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WILMINGTON TRUST, NATIONAL ASSOCIATION;REEL/FRAME:054636/0001

Effective date: 20201117

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. INC., NEW YORK

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WILMINGTON TRUST, NATIONAL ASSOCIATION;REEL/FRAME:056987/0001

Effective date: 20201117