US6514850B2 - Interface with dielectric layer and method of making - Google Patents

Interface with dielectric layer and method of making Download PDF

Info

Publication number
US6514850B2
US6514850B2 US09/775,010 US77501001A US6514850B2 US 6514850 B2 US6514850 B2 US 6514850B2 US 77501001 A US77501001 A US 77501001A US 6514850 B2 US6514850 B2 US 6514850B2
Authority
US
United States
Prior art keywords
layer
surface layer
low
transforming
etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related, expires
Application number
US09/775,010
Other versions
US20020102856A1 (en
Inventor
Li-Qun Xia
Huong Thanh Nguyen
Ellie Yieh
Dan Maydan
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US09/775,010 priority Critical patent/US6514850B2/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: MAYDAN, DAN, NGUYEN, HUONG THANH, XIA, LI-QUN, YIEH, ELLIE
Publication of US20020102856A1 publication Critical patent/US20020102856A1/en
Application granted granted Critical
Publication of US6514850B2 publication Critical patent/US6514850B2/en
Adjusted expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3144Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers on silicon

Definitions

  • the field of the present invention pertains to treatment of dielectric materials to improve the etching characteristics thereof, improved etching techniques resulting therefrom, and improved semiconductor devices.
  • Dual-damascene is a technique of inlaying metal for interconnects and wiring through the back end, which was developed due to difficulties presented in copper etch processes.
  • the damascene process includes etching a trench with a high aspect ratio (e.g., approaching 6:1), filling the trench with copper, such as by electrochemical plating, for example, and them removing any overfill by chemical mechanical polishing (CMP), for example.
  • CMP chemical mechanical polishing
  • An embodiment of the present invention provides a method of forming an interface to serve as an endpoint for an etching procedure.
  • the method includes providing a substrate formed of a low k material, and transforming a surface layer of the substrate to chemically alter its structure, so that during etching, spectrographic monitoring will indicate when the etching process passes through an upper layer of low k material and into the transformed surface layer.
  • the transforming step may include subjecting the substrate to a plasma bombardment, where the plasma may be a nitrogen plasma, oxygen plasma, helium plasma, argon plasma or plasma formed from another inert gas.
  • the plasma may be a nitrogen plasma, oxygen plasma, helium plasma, argon plasma or plasma formed from another inert gas.
  • the substrate may also be treated by an oxygen plasma, wherein the surface layer is transformed into an oxide.
  • the surface layer in this case exhibits a slightly higher k value than the substrate, but is still significantly lower than the k value of etch stop layers currently in use.
  • Such an oxide surface layer may be less than or equal to about 500 ⁇ .
  • the substrate may also be treated by an helium plasma, or other plasma formed from an inert gas, e.g., argon wherein carbon atoms are knocked out of the surface layer to leave vacancies. While such a transformation generally lowers the k value of the surface layer, the practical effect, upon depositing another layer of low k material over the surface layer, is that the surface layer compresses to form a material with a slightly higher k value than the remainder of the layer. However, the k value is still significantly lower than the k value of etch stop layers currently in use.
  • an inert gas e.g., argon
  • a method of dual damascene processing includes depositing a low k material layer on an etch stop layer; transforming a surface layer of the low k material layer to alter the chemical composition thereof; and depositing an additional amount of the low k material over the transformed surface layer.
  • the method may include etching a via through the additional low k material, the transformed surface layer and the low k material layer, and ending at an interface with the etch stop layer.
  • trench-first and via-first process are included in the present invention, as well as other dual damascene techniques.
  • Products made by the above methods are also included, such as a product including a first layer of low k material having a transformed surface layer having a chemical formula different from a remainder of the first layer; and a second layer of low k material layer deposited over the transformed surface layer.
  • Such products are further described to include an etch stop layer, wherein the first layer of low k material has been deposited on the etch stop layer.
  • a stacked layer structure which includes an etch stop layer; a low k material layer deposited on the etch stop layer and including a transformed surface layer having a chemical formula different from a remainder of the low k material layer; an additional low k material layer deposited over the transformed surface layer; a via passing through the additional low k material layer, the transformed surface layer and the low k material layer and terminating at an interface with the etch stop layer; and a trench passing through the additional low k material layer and terminating at an interface with the transformed surface layer.
  • FIG. 1 is a schematic, sectional partial representation of a stack during dual damascene processing according to a prior art technique.
  • FIG. 2 is a schematic, sectional partial representation of a stack formed by dual damascene processing according to the present invention.
  • FIG. 3 is a schematic, sectional partial representation of an intermediate step in forming the stack shown in FIG. 2 .
  • FIG. 4 is a schematic, sectional partial representation of an intermediate step in forming the stack shown in FIG. 2 .
  • FIG. 5 is a schematic, sectional partial representation of an intermediate step in forming the stack shown in FIG. 2 .
  • FIG. 6 is a schematic, sectional partial representation of an intermediate step in forming the stack shown in FIG. 2 .
  • FIG. 7 is a schematic, sectional partial representation of an intermediate step in forming the stack shown in FIG. 2 .
  • FIG. 8 is a schematic, sectional partial representation of an intermediate step in forming the stack shown in FIG. 2 .
  • dielectric refers to a material in which an electric field can be maintained with zero or near-zero power dissipation, i.e., the electrical conductivity is zero or near zero.
  • capacitor refers to a passive electronic component that stores energy in the form of an electrostatic field.
  • a capacitor consists of two conducting plates, or electrodes, separated by a dielectric layer.
  • etch stop refers to a layer which is deposited on or intermediately in a layer, and is of a different material than a layer overlying the etch stop, and preferably has characteristics which render its etch rate much slower than that of the material overlying it. The result is that the etch stop provides a clear indicator of when to end a particular etching process.
  • integrated circuit refers to an electronic circuit having multiple individual circuit elements, such as transistors, diodes, resistors, capacitors, inductors, and other active and passive semiconductor devices, formed on a single chip of semiconducting material and mounted on a single piece of substrate material.
  • low k and “low k material” refers to dielectric materials having a dielectric constant (i.e., “k”) less than about 3.0 for a dielectric material (e.g., Black DiamondTM has a k value of about 2.8) and k less than about 5 for a barrier material (e.g. BlokTM has a k value of about 4.2-4.5).
  • PVD is used for physical vapor deposition.
  • CVD is used for chemical vapor deposition.
  • BLOkTM is a proprietary barrier material formed from TMS and helium and is used for a barrier to Cu. BLOkTM has a low k value compared to nitride.
  • TEOS is an acronym designating tetraethoxysilane.
  • a typical stack includes an oxide layer 104 , such as TEOS, for example, on a substrate 102 , which is generally silicon.
  • a first etch stop layer 106 is formed on the oxide layer 104 .
  • Etch stop layer 106 is typically a nitride layer such as Si 3 N 4 , or may be SiC, for example.
  • a low k material layer 108 into which the vias and trenches will be formed, is deposited on top of the etch stop layer 106 .
  • a second etch stop layer 110 which may be made the same as the first etch stop layer 106 , is deposited on top of the low k material and photoresist layers are deposited on top of the second etch stop layer 110 , as various etching procedures progress.
  • a photo resist mask 114 is deposited for formation of the vias 90 (shown in phantom lines).
  • the via 90 is etched and then the photoresist 114 is stripped using plasma etching or wet techniques.
  • another photoresist mask 114 ′ is deposited to identify where the trenches 80 (trench 80 is also outlined in phantom) will be etched into the low k layer 108 . Because the trenches 80 are not as deep as the vias 90 , the etch stop layer 106 is not useful in determining a stopping point or “bottom” of the trench 80 during the etching process.
  • a third etch stop layer 112 which may be made the same as the first etch stop layer 106 , is deposited intermediately of the low k material 108 , at a depth where the bottoms of the trenches 80 are intended to terminate. Accordingly, an end point for the trench etching procedure is obtained in the same manner as the end pointing for the via etching procedure.
  • a typical oxide layer 104 has a k value of about 4; a low k material such as Black DiamondTM, (a composition comprising TMS/0 2 , TMS/0 3 , TMS/N 2 0, MS/N 2 0, (supplied by Airproduct, Allentown, Pa.), has a k value of about 2.8, or BLOkTM ( a composition comprising TMS/He or TMS/NH 3 /He, also supplied by Air product) has a k value of about 4.2-4.8; while an etch stop material such as a nitride has a k value of about 7.
  • Black DiamondTM a composition comprising TMS/0 2 , TMS/0 3 , TMS/N 2 0, MS/N 2 0, (supplied by Airproduct, Allentown, Pa.
  • BLOkTM a composition comprising TMS/He or TMS/NH 3 /He, also supplied by Air product
  • an etch stop material such as a nitride has a k value of about 7.
  • FIG. 2 is a schematic, sectional partial representation of a stack 1 after formation of both the trench 18 and via 19 according to the present invention.
  • Critical to damascene processing are substantially vertical walls (e.g., about 89-90°) with smooth sidewalls.
  • a typical stack 1 includes an oxide layer 4 , such as TEOS, for example, on a substrate 2 , which may be silicon, for example.
  • a first etch stop layer 6 is deposited on the oxide layer 4 , and serves to identify the end point for stopping etching of the via 19 to end at the bottom surface 19 b .
  • etch stop layer 6 may be formed of a nitride layer such as Si 3 N 4 , or may be SiC, it is preferred to use BLOkTM, which has a lower k value of about 4.8.
  • a low k material layer 8 such as Black DiamondTM, which has a k value of about 2.8, or other C-doped material, for example, is deposited on top of the etch stop layer 6 .
  • the vias 19 and trenches 18 are etched into the low k layer 8 .
  • a second etch stop layer 10 which may be made the same or of a different material than the first etch stop layer 6 , is deposited on top of the low k material 8 and photoresist layers may then be deposited on top of the second etch stop layer 10 , as various etching procedures progress. In FIG. 2, all photoresist materials have already been removed, the trench 18 and via 19 already having been fully formed.
  • the configuration of FIG. 2 does not use a third etch stop layer, contrary to that of the arrangement in FIG. 1 .
  • the low k material is treated at the level where the bottom 18 b of the trench 18 is to be formed so as to achieve a different chemical composition of the surface layer 12 of the low k material at that level, which will indicate an endpoint to an endpoint detector as the etching process comes into contact with it, while at the same time not significantly effecting or increasing the k value of the low k layer 8 compared to the effects of prior art etch stop layers, such as that described with regard to FIG. 1, for example.
  • FIG. 3 shows the stacking arrangement in preparation for the formation of the surface layer 12 .
  • the low k material is deposited to have a thickness “t” that will define a height difference between the bottom of the trench 19 b and the first etch stop layer 6 .
  • the top surface of this deposition layer of low k material 8 is next processed to form the surface layer 12 , as shown in FIG. 4 .
  • the stack 1 may be subjected to nitrogen plasma treatment.
  • the stack 1 may be placed on the chuck of a plasma treatment chamber and subjected to plasma treatment produced by flowing He at about 2000 sccm and N 2 at 500 sccm into the chamber where the pressure is controlled to about 1.5 torr and RF 1 energy (13.56 Khz) or RF 2 energy (356 KHz) is applied at about 700 watts to form the plasma.
  • the temperature of the chuck is maintained at about 400° C., and plasma processing is carried out for about 120 seconds.
  • all of the foregoing parameters are only an example and can be varied, to produce varying layer thicknesses, for example.
  • Carbon atoms in the surface layer of the material 8 are sputtered off and replaced by nitrogen atoms, thereby transforming the surface layer from a Si—C—O type material to a material having a Si—N—O,
  • the plasma bombardment is a compressive treatment producing a treated layer under compression, which reduces the overall film stack stress.
  • the chemical structure of the newly transformed layer 12 will then signal an end pointing detector, upon reaction with the etchant, that the end point has been reached. Only the surface layer 8 t of the low k material 8 is transformed, so that only a very thin layer, e.g., less than or equal to about 200 ⁇ thick, will reside between portions of the low k material. As a result, the effect of the surface layer 12 on the k value of the low k material is negligible.
  • the remainder of the low k material is deposited as shown in FIG. 5 .
  • a photoresist mask 14 is deposited onto the low k layer 8 by known techniques, in preparation for the etching step used to form the via 19 (shown in phantom).
  • Etching is then commenced, according to techniques currently known in the art, for example, and an end point monitor, for example, an Eye-DTM end point detector (supplied by Applied Materials, Santa Clara, Calif.) is used to monitor the etch process.
  • an end point monitor for example, an Eye-DTM end point detector (supplied by Applied Materials, Santa Clara, Calif.) is used to monitor the etch process.
  • an end point monitor for example, an Eye-DTM end point detector (supplied by Applied Materials, Santa Clara, Calif.) is used to monitor the etch process.
  • an exemplary end point monitor used can be found in the Applied Materials manual titled “Centura Optical Endpoint System Manual”, Part No. 0230-32587, Jun.
  • Standard oxide etchants such as CF4, C2F6 and/or CHF3 may be used in the etching procedure as are known in the art.
  • oxygen is also added to these etchant materials in order to provide an effective etchant for the low k material. The effect of adding oxygen is to relatively increase the etch rate of the low k materials, while also relatively decreasing the etch rate of oxide materials and nitride materials. Densified layers will also have a relatively lower etch rate, as would be expected.
  • a monitoring wavelength is selected, which the end point detector monitors during the etching procedure. For example, in this instance, a wavelength of around CN 386.2 nm is monitored, although the particular wavelength may vary, of course, depending upon the calibration of the device being used and the particular compositions of the materials being etched. As the etching proceeds through the upper layer of the low k material, the CN 386.2 value drops and continues on a decreasing slope until the etch stop or marker layer 6 is reached. The downslope in the value of the wavelength monitored is a natural occurrence resulting from the etching. The optical intensity is highest with the greatest thickness, i.e., the starting thickness of the low k layer. Also, as the layer is being etched away, by products are formed which also diminish the value of the monitored peak.
  • the etch rate reduces significantly due to the change in composition of the material, as noted above.
  • the monitored wavelength value at this time levels off, or plateaus as a result and this forms a visual indicator (i.e., the plateau in the graph) that the etch stop layer 6 has been reached.
  • Etching is immediately stopped and the via has now been formed to the desired depth, as shown in FIG. 7 .
  • the photoresist layer 14 is removed by plasma etching or other known techniques, and a photoresist mask 14 ′ is then applied to define where the trenches 18 are to be etched out of the low k material 8 .
  • Etching is then commenced, according to techniques currently known in the art, for example, and the end point monitor is used to monitor the etch process until the plateau in the graph monitoring the output in optical intensity of the selected wavelength (e.g., CN 386.2) occurs, as described above. This plateau indicates that the etch stop layer has been reached, and that the etching process has started to react with the transformed surface layer 12 . Etching is immediately stopped and the trench 18 has now been formed to the desired depth. Next, the photoresist layer 14 ′ is removed by plasma etching or other known techniques, and the stacked formation 1 appears as in FIG. 2 .
  • the end point monitor is used to monitor the etch process until the plateau in the graph monitoring the output in optical intensity of the selected wavelength (e.g., CN 386.2) occurs, as described above. This plateau indicates that the etch stop layer has been reached, and that the etching process has started to react with the transformed surface layer 12 . Etching is immediately stopped and the trench 18 has now been formed to the desired depth. Next, the photore
  • a transformed surface layer 12 may also be formed by processing the top surface using an oxygen plasma treatment.
  • the stack 1 may be placed on the chuck of a plasma treatment chamber and subjected to plasma treatment produced by flowing O 2 at about 600 sccm into the chamber where the pressure is controlled to about 1.5 to 3.0 Torr.
  • RF2 energy (356 KHz) is applied at from about 100 Watts to about 700 watts to form the plasma.
  • the temperature of the chuck is maintained at about 400° C., and plasma processing is carried out for about 20 to 30 seconds.
  • a variation of the energy applied to form the plasma has a direct relationship on the thickness of the transformation layer that is formed per unit of time. For example, when RF 2 energy was supplied at 100 W for 5 seconds, an oxide surface having a thickness of about 20 ⁇ resulted. Comparatively, when RF 2 energy was supplied at 600 W for 5 seconds, an oxide surface having a thickness of about 100 ⁇ resulted.
  • all of the foregoing parameters are only examples and can be varied, to produce varying layer thicknesses, for example. Longer treatment times for any given power input result in a thicker oxide surface than shorter treatment times, given that all other parameters are also the same.
  • Carbon atoms in the surface layer of the material 8 are sputtered off and replaced by oxygen atoms, thereby transforming the surface layer from a Si—C—O type material to an oxide material having an Si—O, SiO 2 , Si—OH, or some combination of two or more of the preceding structures.
  • the plasma bombardment is a compressive treatment producing a treated layer under compression, which reduces the overall film stack stress.
  • the oxide structure unlike the surface resulting from the nitrogen bombardment, does raise the overall k value of the structure, although not nearly as much as the hard etch stop layers known in the prior art.
  • an oxide surface formed on Black DiamondTM according to this process may have a resultant k value which is about 2.9 to 3.1, as compared with a k value of 2.8 for the Black DiamondTM material itself. This compares very favorably with known hard etch stop layer k values, which are much higher.
  • a nitride etch stop layer has a k value of about 7, for example.
  • the chemical structure of the newly transformed layer 12 will then signal an end pointing detector, upon reaction with the etchant, that the end point has been reached.
  • a thicker layer is generally required than that resulting from nitrogen bombardment, e.g., less about 500 ⁇ , compared to the 200 ⁇ thick layer formed by nitrogen bombardment. This is because the distinct CN plateau which is observed when monitoring reaction with the nitrogen transformed layer, is not monitored. Rather, a CO wavelength is selected for monitoring, e.g., CO 483.5 nm, and the end point detector monitors this wavelength during the etching procedure.
  • the particular wavelength may vary, of course, depending upon the calibration of the device being used and the particular compositions of the materials being etched. As the etching proceeds through the upper layer of the low k material, the CO 483.5 value drops and continues on a decreasing slope until the etch stop or marker layer 6 is reached. The downslope in the value of the wavelength monitored is a natural occurrence resulting from the etching. The optical intensity is highest with the greatest thickness, i.e., the starting thickness of the low k layer. Also, as the layer is being etched away, by products are formed which also diminish the value of the monitored peak.
  • the etch rate reduces significantly due to the change in composition of the material, as noted above.
  • the monitored wavelength value at this time levels off, or plateaus as a result and this forms a visual indicator (i.e., the plateau in the graph) that the etch stop layer 6 has been reached.
  • a thicker oxide layer allows somewhat more time to identify that the oxide layer has been reached in the etching process.
  • Etching is immediately stopped and the via has now been formed to the desired depth, as shown in FIG. 7 .
  • the endpointing for the etching of the channel varies from that described with regard to the nitrogen bombardment example, however, in that an end point monitor is used to monitor the etch process until a plateau in CO 483.5 is observed which indicates that the etching process has started to react with the transformed surface layer 12 .
  • Etching is immediately stopped and the trench 18 has now been formed to the desired depth.
  • the photoresist layer 14 ′ is removed by plasma etching or other known techniques, and the stacked formation 1 appears as in FIG. 2 .
  • a transformation of the top surface of the deposition layer of low k material 8 may be effected by subjecting it to a helium plasma treatment to form the surface layer 12 .
  • the stack 1 may be placed on the chuck of a plasma treatment chamber and subjected to plasma treatment produced by flowing He at about 1300 sccm into the chamber where the pressure is controlled to about 6 to 10 Torr.
  • RF2 energy (356 KHz) is applied at from about 600 Watts to about 750 watts to form the plasma.
  • the temperature of the chuck is maintained at about 400° C., and plasma processing is carried out for about 20 seconds.
  • Carbon atoms in the surface layer of the material 8 are sputtered off by the bombardment.
  • Helium makes the plasma less reactive and therfor there is less chemical attachment, compared to previously described procedures, and more physical attachment of the bombarding particles on the surface.
  • the net result is a densification of the surface, to a depth of around 200-300 ⁇ for example, to form a marker layer 6 .
  • This composition when reacting with an etchant and monitored by FTIR spectra, also shows a plateau in a monitored CN wavelength, for example CN 386.2 nm, since the densified layer 6 has a relatively lower etch rate than the low k material that had been etched prior to reaching this layer.
  • the transformed layer results in a densified material that has a slightly higher k value than the low k material sandwiching it.
  • k value For example, for surface layer transformed from Black DiamondTM by this process was found to have a k value of about 2.9.
  • the helium bombardment treatment does not raise the overall k value of the structure nearly as much as the hard etch stop layers known in the prior art, which can have a k value of about 7.
  • the chemical structure of the newly transformed layer 12 will signal an end pointing detector, upon reaction with the etchant, that the end point has been reached. Since the surface layer 8 t formed by helium bombardment of the low k material 8 lacks any CN peak indicators under spectroscopy, a thicker layer is generally required than that resulting from nitrogen bombardment, e.g., less about 500 ⁇ , compared to the 200 ⁇ thick layer formed by nitrogen bombardment.
  • the remainder of the low k material is deposited as shown in FIG. 5 and discussed above, and the same procedures for apply photoresist masks and for etching are carried out.
  • an end point monitor for example, an Eye-DTM end point detector (supplied by Applied Materials, Santa Clara, Calif.) is used to monitor the etch process for the CN 386.2 nm wavelength. When a plateau in the graphing of the intensity values read for this wavelength is observed, it indicates that the etching process has started to react with the etch stop layer 6 . Etching is immediately stopped and the via has now been formed to the desired depth, as shown in FIG. 7 .
  • the endpointing for the etching of the channel again used the end point monitor to record the optical intensity of a selected wavelength such as CN 386.2.
  • a plot of this intensity over time shows a down sloping curve, indicating the progressive reduction in thickness of the material as the etching proceeds.
  • the down slop levels off into a plateau as the etching process starts to react with the transformed surface layer 12 .
  • Etching is immediately stopped and the trench 18 has now been formed to the desired depth.
  • the photoresist layer 14 ′ is removed by plasma etching or other known techniques, and the stacked formation 1 appears as in FIG. 2 .

Abstract

Methods of forming an interface in a dielectric material to act as an indicator for terminating an etching process, and products produced thereby.

Description

FIELD OF THE INVENTION
The field of the present invention pertains to treatment of dielectric materials to improve the etching characteristics thereof, improved etching techniques resulting therefrom, and improved semiconductor devices.
BACKGROUND OF THE INVENTION
As integrated circuits (IC's) become more compact, dense and powerful, this necessarily also has decreased and continues to decrease the distances between adjacent components and interconnects. Due to this increasing density and the need for faster speeds, copper and copper alloys are again receiving attention as materials of choice for interconnects. Copper offers advantages over aluminum in that it has a lower resistivity than aluminum and exhibits superior electromigration properties.
Dual-damascene is a technique of inlaying metal for interconnects and wiring through the back end, which was developed due to difficulties presented in copper etch processes. The damascene process includes etching a trench with a high aspect ratio (e.g., approaching 6:1), filling the trench with copper, such as by electrochemical plating, for example, and them removing any overfill by chemical mechanical polishing (CMP), for example. The term “dual” refers to the formation of a via within the trench.
Currently, a hard mask formed of Si3N4 or SiC, for example, may be used to form an etch stop which defines the bottom of the trench and/or via as it is formed. Although this type of etch stop may be effective in simplifying the etch process during the formation of the trench and the via, these hard mask materials generally are characterized by a “k” value of around 5 to 7. Since the hard mask material remains after the etching process, the effective k value of the resulting dielectric stack is increased by the presence thereof, particularly when low k dielectrics are used.
Accordingly, there is a continuing need for better dielectric stacks with more density capacity and therefor a need for improved processes which lessen the effect of increasing the k value of the resultant dielectric stack.
SUMMARY OF THE INVENTION
An embodiment of the present invention provides a method of forming an interface to serve as an endpoint for an etching procedure. The method includes providing a substrate formed of a low k material, and transforming a surface layer of the substrate to chemically alter its structure, so that during etching, spectrographic monitoring will indicate when the etching process passes through an upper layer of low k material and into the transformed surface layer.
The transforming step may include subjecting the substrate to a plasma bombardment, where the plasma may be a nitrogen plasma, oxygen plasma, helium plasma, argon plasma or plasma formed from another inert gas.
The substrate may be a C-doped silicon material, where the transforming by a nitrogen plasma changes the surface layer from a Si—C—O material to a material having a Si—N—O,
Figure US06514850-20030204-C00001
Such a transformed surface layer may be less than or equal to about 200Å thick and does not significantly change the k value of the material.
The substrate may also be treated by an oxygen plasma, wherein the surface layer is transformed into an oxide. The surface layer in this case exhibits a slightly higher k value than the substrate, but is still significantly lower than the k value of etch stop layers currently in use. Such an oxide surface layer may be less than or equal to about 500 Å.
The substrate may also be treated by an helium plasma, or other plasma formed from an inert gas, e.g., argon wherein carbon atoms are knocked out of the surface layer to leave vacancies. While such a transformation generally lowers the k value of the surface layer, the practical effect, upon depositing another layer of low k material over the surface layer, is that the surface layer compresses to form a material with a slightly higher k value than the remainder of the layer. However, the k value is still significantly lower than the k value of etch stop layers currently in use.
A method of dual damascene processing is disclosed which includes depositing a low k material layer on an etch stop layer; transforming a surface layer of the low k material layer to alter the chemical composition thereof; and depositing an additional amount of the low k material over the transformed surface layer.
Additionally, the method may include etching a via through the additional low k material, the transformed surface layer and the low k material layer, and ending at an interface with the etch stop layer.
Further, a step of etching a trench through the additional low k material and ending at an interface with the transformed surface layer is provided. Both trench-first and via-first process are included in the present invention, as well as other dual damascene techniques.
Products made by the above methods, are also included, such as a product including a first layer of low k material having a transformed surface layer having a chemical formula different from a remainder of the first layer; and a second layer of low k material layer deposited over the transformed surface layer.
Such products are further described to include an etch stop layer, wherein the first layer of low k material has been deposited on the etch stop layer.
According to the present invention, a stacked layer structure is provided, which includes an etch stop layer; a low k material layer deposited on the etch stop layer and including a transformed surface layer having a chemical formula different from a remainder of the low k material layer; an additional low k material layer deposited over the transformed surface layer; a via passing through the additional low k material layer, the transformed surface layer and the low k material layer and terminating at an interface with the etch stop layer; and a trench passing through the additional low k material layer and terminating at an interface with the transformed surface layer.
BRIEF DESCRIPTION OF THE DRAWINGS
FIG. 1 is a schematic, sectional partial representation of a stack during dual damascene processing according to a prior art technique.
FIG. 2 is a schematic, sectional partial representation of a stack formed by dual damascene processing according to the present invention.
FIG. 3 is a schematic, sectional partial representation of an intermediate step in forming the stack shown in FIG. 2.
FIG. 4 is a schematic, sectional partial representation of an intermediate step in forming the stack shown in FIG. 2.
FIG. 5 is a schematic, sectional partial representation of an intermediate step in forming the stack shown in FIG. 2.
FIG. 6 is a schematic, sectional partial representation of an intermediate step in forming the stack shown in FIG. 2.
FIG. 7 is a schematic, sectional partial representation of an intermediate step in forming the stack shown in FIG. 2.
FIG. 8 is a schematic, sectional partial representation of an intermediate step in forming the stack shown in FIG. 2.
DETAILED DESCRIPTION OF PREFERRED EMBODIMENTS
Before the present methods, treated surfaces, and dielectric stacks are described, it is to be understood that this invention is not limited to particular methodologies, materials and substrates and can, of course, vary. It is also to be understood that the terminology used herein is for the purpose of describing particular embodiments only, and is not intended to be limiting, since the scope of the present invention will be limited only by the appended claims.
Where a range of values is provided, it is understood that each intervening value, to the tenth of the unit of the lower limit unless the context clearly dictates otherwise, between the upper and lower limits of that range is also specifically disclosed. Each smaller range between any stated value or intervening value in a stated range and any other stated or intervening value in that stated range is encompassed within the invention. The upper and lower limits of these smaller ranges may independently be included or excluded in the range, and each range where either, neither or both limits are included in the smaller ranges is also encompassed within the invention, subject to any specifically excluded limit in the stated range. Where the stated range includes one or both of the limits, ranges excluding either or both of those included limits are also included in the invention.
Unless defined otherwise, all technical and scientific terms used herein have the same meaning as commonly understood by one of ordinary skill in the art to which this invention belongs. Although any methods and materials similar or equivalent to those described herein can be used in the practice or testing of the present invention, the preferred methods and materials are now described. All publications mentioned herein are incorporated herein by reference to disclose and describe the methods and/or materials in connection with which the publications are cited.
It must be noted that as used herein and in the appended claims, the singular forms “a”, “and”, and “the” include plural referents unless the context clearly dictates otherwise. Thus, for example, reference to “a process” includes a plurality of such processes and reference to “the electrode” includes reference to one or more electrodes and equivalents thereof known to those skilled in the art, and so forth.
The publications discussed herein are provided solely for their disclosure prior to the filing date of the present application. Nothing herein is to be construed as an admission that the present invention is not entitled to antedate such publication by virtue of prior invention. Further, the dates of publication provided may be different from the actual publication dates which may need to be independently confirmed.
DEFINITIONS
The term “dielectric” as used herein refers to a material in which an electric field can be maintained with zero or near-zero power dissipation, i.e., the electrical conductivity is zero or near zero.
The term “capacitor” as used herein refers to a passive electronic component that stores energy in the form of an electrostatic field. In its simplest form, a capacitor consists of two conducting plates, or electrodes, separated by a dielectric layer.
The term “etch stop” refers to a layer which is deposited on or intermediately in a layer, and is of a different material than a layer overlying the etch stop, and preferably has characteristics which render its etch rate much slower than that of the material overlying it. The result is that the etch stop provides a clear indicator of when to end a particular etching process.
The term “integrated circuit” as used herein refers to an electronic circuit having multiple individual circuit elements, such as transistors, diodes, resistors, capacitors, inductors, and other active and passive semiconductor devices, formed on a single chip of semiconducting material and mounted on a single piece of substrate material.
The term “low k” and “low k material” refers to dielectric materials having a dielectric constant (i.e., “k”) less than about 3.0 for a dielectric material (e.g., Black Diamond™ has a k value of about 2.8) and k less than about 5 for a barrier material (e.g. Blok™ has a k value of about 4.2-4.5).
The following abbreviations are used throughout the specification:
PVD is used for physical vapor deposition.
CVD is used for chemical vapor deposition.
BLOk™ is a proprietary barrier material formed from TMS and helium and is used for a barrier to Cu. BLOk™ has a low k value compared to nitride.
TEOS is an acronym designating tetraethoxysilane.
Turning now to FIG. 1, a schematic partial representation 100 of a stack during dual damascene processing according to a prior art technique is shown. A typical stack includes an oxide layer 104, such as TEOS, for example, on a substrate 102, which is generally silicon. A first etch stop layer 106 is formed on the oxide layer 104. Etch stop layer 106 is typically a nitride layer such as Si3N4, or may be SiC, for example. A low k material layer 108, into which the vias and trenches will be formed, is deposited on top of the etch stop layer 106. A second etch stop layer 110, which may be made the same as the first etch stop layer 106, is deposited on top of the low k material and photoresist layers are deposited on top of the second etch stop layer 110, as various etching procedures progress. For example, a photo resist mask 114 is deposited for formation of the vias 90 (shown in phantom lines).
Typically, afer depositing of the photoresist layer 114, the via 90 is etched and then the photoresist 114 is stripped using plasma etching or wet techniques. Next, another photoresist mask 114′ is deposited to identify where the trenches 80 (trench 80 is also outlined in phantom) will be etched into the low k layer 108. Because the trenches 80 are not as deep as the vias 90, the etch stop layer 106 is not useful in determining a stopping point or “bottom” of the trench 80 during the etching process. Thus, a third etch stop layer 112, which may be made the same as the first etch stop layer 106, is deposited intermediately of the low k material 108, at a depth where the bottoms of the trenches 80 are intended to terminate. Accordingly, an end point for the trench etching procedure is obtained in the same manner as the end pointing for the via etching procedure.
As noted above, however, the use of an etch stop layer 112, raises the effective k value of the low k layer 108 and the entire stack formation, resulting in reduced performance of the resultant product. For example, a typical oxide layer 104 has a k value of about 4; a low k material such as Black Diamond™, (a composition comprising TMS/02, TMS/03, TMS/N20, MS/N20, (supplied by Airproduct, Allentown, Pa.), has a k value of about 2.8, or BLOk™ ( a composition comprising TMS/He or TMS/NH3/He, also supplied by Air product) has a k value of about 4.2-4.8; while an etch stop material such as a nitride has a k value of about 7.
In order to lower the overall k value of the stack formation and thus improve the performance of the dielectric stack and thus, the performance of capacitors and integrated circuits produced therefrom, the present invention eliminates the third etch stop layer in its form used in the prior art described above. FIG. 2 is a schematic, sectional partial representation of a stack 1 after formation of both the trench 18 and via 19 according to the present invention. Critical to damascene processing are substantially vertical walls (e.g., about 89-90°) with smooth sidewalls.
A typical stack 1 includes an oxide layer 4, such as TEOS, for example, on a substrate 2, which may be silicon, for example. A first etch stop layer 6 is deposited on the oxide layer 4, and serves to identify the end point for stopping etching of the via 19 to end at the bottom surface 19 b. Although etch stop layer 6 may be formed of a nitride layer such as Si3N4, or may be SiC, it is preferred to use BLOk™, which has a lower k value of about 4.8. A low k material layer 8, such as Black Diamond™, which has a k value of about 2.8, or other C-doped material, for example, is deposited on top of the etch stop layer 6. The vias 19 and trenches 18 are etched into the low k layer 8. A second etch stop layer 10, which may be made the same or of a different material than the first etch stop layer 6, is deposited on top of the low k material 8 and photoresist layers may then be deposited on top of the second etch stop layer 10, as various etching procedures progress. In FIG. 2, all photoresist materials have already been removed, the trench 18 and via 19 already having been fully formed.
In order to keep the dielectric constant k of the material 8 (as well as the overall structure) low, the configuration of FIG. 2 does not use a third etch stop layer, contrary to that of the arrangement in FIG. 1. Rather, in the present invention, the low k material is treated at the level where the bottom 18 b of the trench 18 is to be formed so as to achieve a different chemical composition of the surface layer 12 of the low k material at that level, which will indicate an endpoint to an endpoint detector as the etching process comes into contact with it, while at the same time not significantly effecting or increasing the k value of the low k layer 8 compared to the effects of prior art etch stop layers, such as that described with regard to FIG. 1, for example. Ideally, one would eliminate the stop layer altogether, but then there would be no reference point to stop the etching of the trench 19.
FIG. 3 shows the stacking arrangement in preparation for the formation of the surface layer 12. The low k material is deposited to have a thickness “t” that will define a height difference between the bottom of the trench 19 b and the first etch stop layer 6. The top surface of this deposition layer of low k material 8 is next processed to form the surface layer 12, as shown in FIG. 4. The stack 1 may be subjected to nitrogen plasma treatment. For example, the stack 1 may be placed on the chuck of a plasma treatment chamber and subjected to plasma treatment produced by flowing He at about 2000 sccm and N2 at 500 sccm into the chamber where the pressure is controlled to about 1.5 torr and RF1 energy (13.56 Khz) or RF2 energy (356 KHz) is applied at about 700 watts to form the plasma. The temperature of the chuck is maintained at about 400° C., and plasma processing is carried out for about 120 seconds. Of course, all of the foregoing parameters are only an example and can be varied, to produce varying layer thicknesses, for example. Carbon atoms in the surface layer of the material 8 are sputtered off and replaced by nitrogen atoms, thereby transforming the surface layer from a Si—C—O type material to a material having a Si—N—O,
Figure US06514850-20030204-C00002
Also, the plasma bombardment is a compressive treatment producing a treated layer under compression, which reduces the overall film stack stress.
The chemical structure of the newly transformed layer 12 will then signal an end pointing detector, upon reaction with the etchant, that the end point has been reached. Only the surface layer 8 t of the low k material 8 is transformed, so that only a very thin layer, e.g., less than or equal to about 200 Å thick, will reside between portions of the low k material. As a result, the effect of the surface layer 12 on the k value of the low k material is negligible.
After formation of the transformed layer 12, the remainder of the low k material is deposited as shown in FIG. 5. Next, a photoresist mask 14 is deposited onto the low k layer 8 by known techniques, in preparation for the etching step used to form the via 19 (shown in phantom). Etching is then commenced, according to techniques currently known in the art, for example, and an end point monitor, for example, an Eye-D™ end point detector (supplied by Applied Materials, Santa Clara, Calif.) is used to monitor the etch process. A further description of an exemplary end point monitor used can be found in the Applied Materials manual titled “Centura Optical Endpoint System Manual”, Part No. 0230-32587, Jun. 9, 1996, which is incorporated herein in its entirety, by reference thereto. Standard oxide etchants, such as CF4, C2F6 and/or CHF3 may be used in the etching procedure as are known in the art. However, oxygen is also added to these etchant materials in order to provide an effective etchant for the low k material. The effect of adding oxygen is to relatively increase the etch rate of the low k materials, while also relatively decreasing the etch rate of oxide materials and nitride materials. Densified layers will also have a relatively lower etch rate, as would be expected.
A monitoring wavelength is selected, which the end point detector monitors during the etching procedure. For example, in this instance, a wavelength of around CN 386.2 nm is monitored, although the particular wavelength may vary, of course, depending upon the calibration of the device being used and the particular compositions of the materials being etched. As the etching proceeds through the upper layer of the low k material, the CN 386.2 value drops and continues on a decreasing slope until the etch stop or marker layer 6 is reached. The downslope in the value of the wavelength monitored is a natural occurrence resulting from the etching. The optical intensity is highest with the greatest thickness, i.e., the starting thickness of the low k layer. Also, as the layer is being etched away, by products are formed which also diminish the value of the monitored peak.
As the etching of the etch stop layer 6 begins, the etch rate reduces significantly due to the change in composition of the material, as noted above. The monitored wavelength value at this time levels off, or plateaus as a result and this forms a visual indicator (i.e., the plateau in the graph) that the etch stop layer 6 has been reached. Etching is immediately stopped and the via has now been formed to the desired depth, as shown in FIG. 7. Next, the photoresist layer 14 is removed by plasma etching or other known techniques, and a photoresist mask 14′ is then applied to define where the trenches 18 are to be etched out of the low k material 8.
Etching is then commenced, according to techniques currently known in the art, for example, and the end point monitor is used to monitor the etch process until the plateau in the graph monitoring the output in optical intensity of the selected wavelength (e.g., CN 386.2) occurs, as described above. This plateau indicates that the etch stop layer has been reached, and that the etching process has started to react with the transformed surface layer 12. Etching is immediately stopped and the trench 18 has now been formed to the desired depth. Next, the photoresist layer 14′ is removed by plasma etching or other known techniques, and the stacked formation 1 appears as in FIG. 2.
Rather than processing the top surface of the deposition layer of low k material 8 by subjecting it to nitrogen plasma treatment to form the surface layer 12 as described above, a transformed surface layer 12 may also be formed by processing the top surface using an oxygen plasma treatment. In this example, the stack 1 may be placed on the chuck of a plasma treatment chamber and subjected to plasma treatment produced by flowing O2 at about 600 sccm into the chamber where the pressure is controlled to about 1.5 to 3.0 Torr. RF2 energy (356 KHz) is applied at from about 100 Watts to about 700 watts to form the plasma. The temperature of the chuck is maintained at about 400° C., and plasma processing is carried out for about 20 to 30 seconds. It has been determined that a variation of the energy applied to form the plasma has a direct relationship on the thickness of the transformation layer that is formed per unit of time. For example, when RF2 energy was supplied at 100 W for 5 seconds, an oxide surface having a thickness of about 20 Å resulted. Comparatively, when RF2 energy was supplied at 600 W for 5 seconds, an oxide surface having a thickness of about 100 Å resulted. Of course, all of the foregoing parameters are only examples and can be varied, to produce varying layer thicknesses, for example. Longer treatment times for any given power input result in a thicker oxide surface than shorter treatment times, given that all other parameters are also the same.
Carbon atoms in the surface layer of the material 8 are sputtered off and replaced by oxygen atoms, thereby transforming the surface layer from a Si—C—O type material to an oxide material having an Si—O, SiO2, Si—OH, or some combination of two or more of the preceding structures. Also, the plasma bombardment is a compressive treatment producing a treated layer under compression, which reduces the overall film stack stress.
The oxide structure, unlike the surface resulting from the nitrogen bombardment, does raise the overall k value of the structure, although not nearly as much as the hard etch stop layers known in the prior art. For example, an oxide surface formed on Black Diamond™ according to this process may have a resultant k value which is about 2.9 to 3.1, as compared with a k value of 2.8 for the Black Diamond™ material itself. This compares very favorably with known hard etch stop layer k values, which are much higher. As noted above, a nitride etch stop layer has a k value of about 7, for example.
The chemical structure of the newly transformed layer 12 will then signal an end pointing detector, upon reaction with the etchant, that the end point has been reached. However, when the surface layer 8 t is an oxide layer formed by oxygen bombardment of the low k material 8 a thicker layer is generally required than that resulting from nitrogen bombardment, e.g., less about 500 Å, compared to the 200Å thick layer formed by nitrogen bombardment. This is because the distinct CN plateau which is observed when monitoring reaction with the nitrogen transformed layer, is not monitored. Rather, a CO wavelength is selected for monitoring, e.g., CO 483.5 nm, and the end point detector monitors this wavelength during the etching procedure. Although the wavelength of around CO 483.5 nm is monitored in this example, the particular wavelength may vary, of course, depending upon the calibration of the device being used and the particular compositions of the materials being etched. As the etching proceeds through the upper layer of the low k material, the CO 483.5 value drops and continues on a decreasing slope until the etch stop or marker layer 6 is reached. The downslope in the value of the wavelength monitored is a natural occurrence resulting from the etching. The optical intensity is highest with the greatest thickness, i.e., the starting thickness of the low k layer. Also, as the layer is being etched away, by products are formed which also diminish the value of the monitored peak.
As the etching of the etch stop layer 6 begins, the etch rate reduces significantly due to the change in composition of the material, as noted above. The monitored wavelength value at this time levels off, or plateaus as a result and this forms a visual indicator (i.e., the plateau in the graph) that the etch stop layer 6 has been reached. A thicker oxide layer allows somewhat more time to identify that the oxide layer has been reached in the etching process. Etching is immediately stopped and the via has now been formed to the desired depth, as shown in FIG. 7.
The endpointing for the etching of the channel varies from that described with regard to the nitrogen bombardment example, however, in that an end point monitor is used to monitor the etch process until a plateau in CO 483.5 is observed which indicates that the etching process has started to react with the transformed surface layer 12. Etching is immediately stopped and the trench 18 has now been formed to the desired depth. Next, the photoresist layer 14′ is removed by plasma etching or other known techniques, and the stacked formation 1 appears as in FIG. 2.
As another alternative, a transformation of the top surface of the deposition layer of low k material 8 may be effected by subjecting it to a helium plasma treatment to form the surface layer 12. In this example, the stack 1 may be placed on the chuck of a plasma treatment chamber and subjected to plasma treatment produced by flowing He at about 1300 sccm into the chamber where the pressure is controlled to about 6 to 10 Torr. RF2 energy (356 KHz) is applied at from about 600 Watts to about 750 watts to form the plasma. The temperature of the chuck is maintained at about 400° C., and plasma processing is carried out for about 20 seconds.
Carbon atoms in the surface layer of the material 8 are sputtered off by the bombardment. Helium makes the plasma less reactive and therfor there is less chemical attachment, compared to previously described procedures, and more physical attachment of the bombarding particles on the surface. The net result is a densification of the surface, to a depth of around 200-300 Å for example, to form a marker layer 6. This composition when reacting with an etchant and monitored by FTIR spectra, also shows a plateau in a monitored CN wavelength, for example CN 386.2 nm, since the densified layer 6 has a relatively lower etch rate than the low k material that had been etched prior to reaching this layer.
The transformed layer results in a densified material that has a slightly higher k value than the low k material sandwiching it. For example, for surface layer transformed from Black Diamond™ by this process was found to have a k value of about 2.9. As with the oxygen bombardment treatment, the helium bombardment treatment does not raise the overall k value of the structure nearly as much as the hard etch stop layers known in the prior art, which can have a k value of about 7.
The chemical structure of the newly transformed layer 12 will signal an end pointing detector, upon reaction with the etchant, that the end point has been reached. Since the surface layer 8 t formed by helium bombardment of the low k material 8 lacks any CN peak indicators under spectroscopy, a thicker layer is generally required than that resulting from nitrogen bombardment, e.g., less about 500 Å, compared to the 200 Å thick layer formed by nitrogen bombardment.
After formation of the transformed layer 12, the remainder of the low k material is deposited as shown in FIG. 5 and discussed above, and the same procedures for apply photoresist masks and for etching are carried out. As the etching is carried out, an end point monitor, for example, an Eye-D™ end point detector (supplied by Applied Materials, Santa Clara, Calif.) is used to monitor the etch process for the CN 386.2 nm wavelength. When a plateau in the graphing of the intensity values read for this wavelength is observed, it indicates that the etching process has started to react with the etch stop layer 6. Etching is immediately stopped and the via has now been formed to the desired depth, as shown in FIG. 7.
The endpointing for the etching of the channel again used the end point monitor to record the optical intensity of a selected wavelength such as CN 386.2. A plot of this intensity over time, from the beginning of the etching of the low k material, shows a down sloping curve, indicating the progressive reduction in thickness of the material as the etching proceeds. The down slop levels off into a plateau as the etching process starts to react with the transformed surface layer 12. Etching is immediately stopped and the trench 18 has now been formed to the desired depth. Next, the photoresist layer 14′ is removed by plasma etching or other known techniques, and the stacked formation 1 appears as in FIG. 2.
While the present invention has been described with reference to the specific embodiments thereof, it should be understood by those skilled in the art that various changes may be made and equivalents may be substituted without departing from the true spirit and scope of the invention. For example, although the processing is described above with regard to via first etching, it is noted that the present invention applies equally to trench-first processes as well as other damascene techniques. In addition, many modifications may be made to adapt a particular situation, material, composition of matter, process, process step or steps, to the objective, spirit and scope of the present invention. All such modifications are intended to be within the scope of the claims appended hereto.

Claims (29)

That which is claimed is:
1. A method of forming an interface to serve as an endpoint for an etching procedure, said method comprising the steps of:
providing a substrate formed of a carbon containing material; and
transforming a surface layer of the substrate to remove carbon atoms so that the surface layer indicates a signature under FTIR that is different from a signature indicated by a remainder of the substrate.
2. The method of claim 1, wherein said transforming comprises creating a plasma from at least one gas selected from the group consisting of nitrogen, oxygen, helium and argon; and bombarding the surface layer with ions created in the plasma.
3. The method of claim 2, wherein said transforming comprises subjecting the substrate to a nitrogen plasma treatment in a chamber during which about 700 Watts of RF1 (13.56 Khz) or RF2 (356 KHz) energy is applied and helium and nitrogen are inputted.
4. The method of claim 3, wherein helium is inputted at about 2000 sccm and nitrogen is inputted at about 500 sccm.
5. The method of claim 3, wherein said transforming is conducted at about 1.5 Torr pressure for about 120 seconds, and a chuck temperature in the chamber is maintained at about 400° C.
6. The method of claim 2, wherein said transforming comprises subjecting the substrate to an oxygen plasma treatment in a chamber during which about 100 to 700 Watts of RF1 (13.56 Khz) or RF2 (356 KHz) energy is applied and helium and oxygen are inputted.
7. The method of claim 6, wherein helium is inputted at about 2000 sccm and oxygen is inputted at about 600 sccm.
8. The method of claim 6, wherein said transforming is conducted at about 1.5 Torr pressure for up to 120 seconds, and a chuck temperature in the chamber is maintained at about 400° C.
9. The method of claim 2, wherein said transforming comprises subjecting the substrate to a helium plasma treatment in a chamber during which about 600 to 750 Watts of RF1 (13.56 Khz) or RF2 (356 KHz) energy is applied and helium is inputted.
10. The method of claim 9, wherein helium is inputted at about 2600 sccm.
11. The method of claim 9, wherein said transforming is conducted at about 8.7 Torr pressure for up to 120 seconds, and a chuck temperature in the chamber is maintained at about 400° C.
12. The method of claim 1, wherein said transforming comprises replacing carbon atoms in the surface layer of the substrate with nitrogen atoms or bonding nitrogen with carbon to form an amine, amide or nitride.
13. The method of claim 1, wherein the substrate is a C-doped silicon material and said transforming changes the surface layer from a Si—C—O material to a material comprising Si—N—O,
Figure US06514850-20030204-C00003
14. The method of claim 1, wherein the surface layer which is transformed is less than or equal to about 200 Å thick.
15. The method of claim 1, wherein the surface layer which is transformed is about 500 Å thick.
16. A method of dual damascene processing, comprising the steps of:
depositing a low k material layer on an etch stop layer;
transforming a surface layer of the low k material layer to alter the chemical composition thereof;
and depositing an additional amount of the low k material over the transformed surface layer.
17. The method of claim 16, wherein the surface layer is less than or equal to about 500 Å thick.
18. The method of claim 17, wherein the surface layer is about 200 Å thick.
19. The method of claim 16, further comprising:
etching a via through the additional low k material, the transformed surface layer and the low k material layer, and ending at an interface with the etch stop layer.
20. The method of claim 19, further comprising:
etching a trench through the additional low k material and ending at an interface with the transformed surface layer.
21. The method of claim 16, further comprising:
etching a trench through the additional low k material and ending at an interface with the transformed surface layer.
22. The method of claim 21, further comprising:
etching a via through the trench, the transformed surface layer and the low k material layer, and ending at an interface with the etch stop layer.
23. The method of claim 16, wherein said transforming comprises subjecting the substrate to a plasma treatment, wherein the plasma is generated from at least one of the gases selected from the group consisting of nitrogen, oxygen, helium and argon.
24. The method of claim 23, wherein said plasma treatment is a nitrogen plasma treatment which comprises, flowing He and N2 into a plasma treatment chamber at predefined flow rates, and inputting RF1, or RF2 energy at a predefined power and for a predefined time period.
25. The method of claim 16, wherein said low-k material comprises a C-doped silicon material.
26. The method of claim 25, wherein said C-doped silicon material comprises Black Diamond™.
27. The method of claim 16, wherein the etch stop layer is deposited on an oxide layer.
28. The method of claim 27, wherein the oxide layer is deposited on a substrate.
29. The method of claim 16, further comprising depositing a second etch stop layer over the additional amount of low k material.
US09/775,010 2001-01-31 2001-01-31 Interface with dielectric layer and method of making Expired - Fee Related US6514850B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US09/775,010 US6514850B2 (en) 2001-01-31 2001-01-31 Interface with dielectric layer and method of making

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/775,010 US6514850B2 (en) 2001-01-31 2001-01-31 Interface with dielectric layer and method of making

Publications (2)

Publication Number Publication Date
US20020102856A1 US20020102856A1 (en) 2002-08-01
US6514850B2 true US6514850B2 (en) 2003-02-04

Family

ID=25103036

Family Applications (1)

Application Number Title Priority Date Filing Date
US09/775,010 Expired - Fee Related US6514850B2 (en) 2001-01-31 2001-01-31 Interface with dielectric layer and method of making

Country Status (1)

Country Link
US (1) US6514850B2 (en)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020016085A1 (en) * 2000-07-14 2002-02-07 Kegang Huang Method and apparatus for treating low k dielectric layers to reduce diffusion
US20030205822A1 (en) * 2002-05-02 2003-11-06 Taiwan Semiconductor Manufacturing Co. Ltd. Low-strength plasma treatment for interconnects
US6867126B1 (en) * 2002-11-07 2005-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method to increase cracking threshold for low-k materials
US20050101154A1 (en) * 1999-06-18 2005-05-12 Judy Huang Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
US20060189162A1 (en) * 2004-03-15 2006-08-24 Applied Materials, Inc. Adhesion improvement for low k dielectrics
US20080286750A1 (en) * 2002-05-04 2008-11-20 Aviva Biosciences Corporation Apparatus including ion transport detecting structures and methods of use

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6908846B2 (en) 2002-10-24 2005-06-21 Lam Research Corporation Method and apparatus for detecting endpoint during plasma etching of thin films
US7132369B2 (en) * 2002-12-31 2006-11-07 Applied Materials, Inc. Method of forming a low-K dual damascene interconnect structure
US7977245B2 (en) * 2006-03-22 2011-07-12 Applied Materials, Inc. Methods for etching a dielectric barrier layer with high selectivity
US20070224827A1 (en) * 2006-03-22 2007-09-27 Ying Xiao Methods for etching a bottom anti-reflective coating layer in dual damascene application
US7618889B2 (en) * 2006-07-18 2009-11-17 Applied Materials, Inc. Dual damascene fabrication with low k materials
US7718543B2 (en) * 2006-12-08 2010-05-18 Applied Materials, Inc. Two step etching of a bottom anti-reflective coating layer in dual damascene application
US20090117745A1 (en) * 2007-11-02 2009-05-07 Li Siyi Methods for selectively etching a barrier layer in dual damascene applications
US8158017B2 (en) * 2008-05-12 2012-04-17 Lam Research Corporation Detection of arcing events in wafer plasma processing through monitoring of trace gas concentrations
US10854505B2 (en) 2016-03-24 2020-12-01 Taiwan Semiconductor Manufacturing Company, Ltd. Removing polymer through treatment

Citations (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4579623A (en) * 1983-08-31 1986-04-01 Hitachi, Ltd. Method and apparatus for surface treatment by plasma
US5097133A (en) * 1986-02-24 1992-03-17 Tenon Ltd. Synthetic diamond radiation detector
US5977638A (en) 1996-11-21 1999-11-02 Cypress Semiconductor Corp. Edge metal for interconnect layers
US5976970A (en) 1996-03-29 1999-11-02 International Business Machines Corporation Method of making and laterally filling key hole structure for ultra fine pitch conductor lines
US6030904A (en) * 1997-08-21 2000-02-29 International Business Machines Corporation Stabilization of low-k carbon-based dielectrics
US6030901A (en) * 1999-06-24 2000-02-29 Advanced Micro Devices, Inc. Photoresist stripping without degrading low dielectric constant materials
US6033979A (en) * 1994-09-12 2000-03-07 Nec Corporation Method of fabricating a semiconductor device with amorphous carbon layer
US6048790A (en) 1998-07-10 2000-04-11 Advanced Micro Devices, Inc. Metalorganic decomposition deposition of thin conductive films on integrated circuits using reducing ambient
US6054206A (en) 1998-06-22 2000-04-25 Novellus Systems, Inc. Chemical vapor deposition of low density silicon dioxide films
US6059940A (en) 1998-12-04 2000-05-09 Advanced Micro Devices, Inc. Method for fabricating dual layer protective barrier copper metallization
US6066574A (en) * 1998-11-06 2000-05-23 Advanced Micro Devices, Inc. Hot plate cure process for BCB low k interlevel dielectric
US6159871A (en) 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
US6168726B1 (en) * 1998-11-25 2001-01-02 Applied Materials, Inc. Etching an oxidized organo-silane film
US6278147B1 (en) * 2000-01-18 2001-08-21 International Business Machines Corporation On-chip decoupling capacitor with bottom hardmask
US6346475B1 (en) * 1999-10-13 2002-02-12 Applied Materials, Inc. Method of manufacturing semiconductor integrated circuit
US6429122B2 (en) * 2000-02-25 2002-08-06 Chartered Semiconductor Manufacturing, Ltd Non metallic barrier formations for copper damascene type interconnects

Patent Citations (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4579623A (en) * 1983-08-31 1986-04-01 Hitachi, Ltd. Method and apparatus for surface treatment by plasma
US5097133A (en) * 1986-02-24 1992-03-17 Tenon Ltd. Synthetic diamond radiation detector
US6033979A (en) * 1994-09-12 2000-03-07 Nec Corporation Method of fabricating a semiconductor device with amorphous carbon layer
US5976970A (en) 1996-03-29 1999-11-02 International Business Machines Corporation Method of making and laterally filling key hole structure for ultra fine pitch conductor lines
US5977638A (en) 1996-11-21 1999-11-02 Cypress Semiconductor Corp. Edge metal for interconnect layers
US6030904A (en) * 1997-08-21 2000-02-29 International Business Machines Corporation Stabilization of low-k carbon-based dielectrics
US6159871A (en) 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
US6054206A (en) 1998-06-22 2000-04-25 Novellus Systems, Inc. Chemical vapor deposition of low density silicon dioxide films
US6048790A (en) 1998-07-10 2000-04-11 Advanced Micro Devices, Inc. Metalorganic decomposition deposition of thin conductive films on integrated circuits using reducing ambient
US6066574A (en) * 1998-11-06 2000-05-23 Advanced Micro Devices, Inc. Hot plate cure process for BCB low k interlevel dielectric
US6168726B1 (en) * 1998-11-25 2001-01-02 Applied Materials, Inc. Etching an oxidized organo-silane film
US6059940A (en) 1998-12-04 2000-05-09 Advanced Micro Devices, Inc. Method for fabricating dual layer protective barrier copper metallization
US6030901A (en) * 1999-06-24 2000-02-29 Advanced Micro Devices, Inc. Photoresist stripping without degrading low dielectric constant materials
US6346475B1 (en) * 1999-10-13 2002-02-12 Applied Materials, Inc. Method of manufacturing semiconductor integrated circuit
US6278147B1 (en) * 2000-01-18 2001-08-21 International Business Machines Corporation On-chip decoupling capacitor with bottom hardmask
US6429122B2 (en) * 2000-02-25 2002-08-06 Chartered Semiconductor Manufacturing, Ltd Non metallic barrier formations for copper damascene type interconnects

Non-Patent Citations (5)

* Cited by examiner, † Cited by third party
Title
"Copper, With and Without Damascene" Copper and Damascene, Semiconductors.net, date downloaded May 2, 2000, pp 1-4 (note: original date unknown).
Applied Materials manual, titled "Centura Optical Endpoint System Manual" Part No. 0230-32587, Jun. 9, 1996.
Novellus Damascus-Step 1-Diffusion Barrier/Etch Stop, date downloaded Jul. 24, 2000 (note: original date unknown).
Ruth DeJule, entitle "Dual-Damascene: Overcoming Process Issues," Semiconductors.net, date downloaded Aug. 2, 2000, pp 1-7 (note: original date unknown).
U.S. patent application Ser. No. 09/553,461, filed Apr. 19, 2000 Entitled "Method of Depositing Low K Films Using an Oxidizing Plasma" 39 pages.

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050101154A1 (en) * 1999-06-18 2005-05-12 Judy Huang Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
US20020016085A1 (en) * 2000-07-14 2002-02-07 Kegang Huang Method and apparatus for treating low k dielectric layers to reduce diffusion
US6794311B2 (en) 2000-07-14 2004-09-21 Applied Materials Inc. Method and apparatus for treating low k dielectric layers to reduce diffusion
US20030205822A1 (en) * 2002-05-02 2003-11-06 Taiwan Semiconductor Manufacturing Co. Ltd. Low-strength plasma treatment for interconnects
US20080286750A1 (en) * 2002-05-04 2008-11-20 Aviva Biosciences Corporation Apparatus including ion transport detecting structures and methods of use
US6867126B1 (en) * 2002-11-07 2005-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method to increase cracking threshold for low-k materials
US20060189162A1 (en) * 2004-03-15 2006-08-24 Applied Materials, Inc. Adhesion improvement for low k dielectrics
US7459404B2 (en) * 2004-03-15 2008-12-02 Applied Materials, Inc. Adhesion improvement for low k dielectrics

Also Published As

Publication number Publication date
US20020102856A1 (en) 2002-08-01

Similar Documents

Publication Publication Date Title
JP3201967B2 (en) Insulator and interconnect structure including low dielectric constant amorphous fluorinated carbon layer
US8759212B2 (en) Semiconductor device and method of manufacturing semiconductor device
US7888741B2 (en) Structures with improved interfacial strength of SiCOH dielectrics and method for preparing the same
US7538353B2 (en) Composite barrier/etch stop layer comprising oxygen doped SiC and SiC for interconnect structures
US6514850B2 (en) Interface with dielectric layer and method of making
US6417092B1 (en) Low dielectric constant etch stop films
US6255217B1 (en) Plasma treatment to enhance inorganic dielectric adhesion to copper
US6479407B2 (en) Semiconductor device and process for producing the same
JP5168142B2 (en) Semiconductor device
US20020142598A1 (en) Plasma dielectric etch process using a long fluorocarbon
US6614096B2 (en) Method for manufacturing a semiconductor device and a semiconductor device
WO2000014793A2 (en) In-situ integrated oxide etch process particularly useful for copper dual damascene
US20040106293A1 (en) Method for etching organic insulating film and dual damasene process
US7138332B2 (en) Method of forming silicon carbide films
JP4477750B2 (en) Etching method
US6037278A (en) Method of manufacturing semiconductor devices having multi-level wiring structure
US10424489B2 (en) Plasma etching method
JP3737366B2 (en) Semiconductor device and manufacturing method thereof
JP2004103752A (en) Interlayer insulating film for multilayer wiring of semiconductor integrated circuit and manufacturing method therefor
WO2005071752A1 (en) Gradient deposition of low-k cvd materials
US7338897B2 (en) Method of fabricating a semiconductor device having metal wiring
JP2000183059A (en) Electronic device and its manufacture
US20060017166A1 (en) Robust fluorine containing Silica Glass (FSG) Film with less free fluorine
US20040192051A1 (en) Method of forming a damascene structure
JP4643975B2 (en) Manufacturing method of semiconductor device

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:XIA, LI-QUN;NGUYEN, HUONG THANH;YIEH, ELLIE;AND OTHERS;REEL/FRAME:011537/0292;SIGNING DATES FROM 20010124 TO 20010126

CC Certificate of correction
CC Certificate of correction
FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

REMI Maintenance fee reminder mailed
LAPS Lapse for failure to pay maintenance fees
STCH Information on status: patent discontinuation

Free format text: PATENT EXPIRED DUE TO NONPAYMENT OF MAINTENANCE FEES UNDER 37 CFR 1.362

FP Lapsed due to failure to pay maintenance fee

Effective date: 20150204