US6221763B1 - Method of forming a metal seed layer for subsequent plating - Google Patents

Method of forming a metal seed layer for subsequent plating Download PDF

Info

Publication number
US6221763B1
US6221763B1 US09/285,668 US28566899A US6221763B1 US 6221763 B1 US6221763 B1 US 6221763B1 US 28566899 A US28566899 A US 28566899A US 6221763 B1 US6221763 B1 US 6221763B1
Authority
US
United States
Prior art keywords
layer
metal
substrate
silicon
plating solution
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
US09/285,668
Inventor
Terry L. Gilton
Dinesh Chopra
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Aptina Imaging Corp
Micron Technology Inc
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Priority to US09/285,668 priority Critical patent/US6221763B1/en
Assigned to MICRON TECHNOLOGY reassignment MICRON TECHNOLOGY ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CHOPRA, DINESH, GILTON, TERRY
Priority to US09/753,548 priority patent/US6489235B2/en
Application granted granted Critical
Publication of US6221763B1 publication Critical patent/US6221763B1/en
Priority to US10/285,552 priority patent/US6756301B2/en
Assigned to APTINA IMAGING CORPORATION reassignment APTINA IMAGING CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: MICRON TECHNOLOGY, INC.
Assigned to MICRON TECHNOLOGY, INC. reassignment MICRON TECHNOLOGY, INC. SUBMISSION TO CONFIRM THAT ASSIGNMENT AT REEL/FRAME 023245/0186 WAS ERRONEOUSLY RECORDED AGAINST THE IDENTIFIED PATENTS/APPLICATION. Assignors: MICRON TECHNOLOGY, INC.
Assigned to APTINA IMAGING CORPORATION reassignment APTINA IMAGING CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: MICRON TECHNOLOGY, INC.
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76874Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroless plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1068Formation and after-treatment of conductors
    • H01L2221/1073Barrier, adhesion or liner layers
    • H01L2221/1084Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L2221/1089Stacks of seed layers

Definitions

  • the present invention relates to the field of electrochemical deposition, and in particular to a method of forming a metal seed layer by electroplating.
  • ILD interlevel dielectric layer
  • a mask may then be applied to pattern the deposition of the interconnect material on the wafer in the desired manner.
  • Another typical process is to plate the interconnect material onto the surface of the wafer to a depth sufficient to fill the vias, followed by planarization to achieve the desired interconnect pattern.
  • the preferred metal for use in the construction of integrated circuit interconnects has been aluminum.
  • Aluminum is widely used because it is inexpensive, relatively easy to etch, and adheres well to ILDs such as silicon dioxide. Disadvantages of aluminum include significant electromigration effects, susceptibility to humidity-induced corrosion, and the tendency to “cold creep”. “Cold creep” is a process that creates cracks or spaces between the interconnect layer and the ILD due to large variances in the coefficient of thermal expansion between the two materials.
  • Copper is the most attractive material for use in integrated circuits because of its desirable chemical and mechanical properties. It is an excellent conductor with a resistivity of 1.73 microOhms per centimeter, is inexpensive, and is easily processed. Copper also has fewer electromigration effects than aluminum and can therefore carry a higher maximum current density, permitting a faster rate of electron transfer. The high melting point and ductility of copper produce far less cold creep during the semiconductor fabrication process than many other metals, including aluminum.
  • Copper has many favorable characteristics, it also has disadvantages that may create fabrication problems for chip designers. Copper is soluble in silicon and most common ILDs, and exhibits a high rate of diffusion at temperatures associated with integrated circuit manufacturing. This diffusion can result in the creation of intermetallic alloys which can cause malfunctioning of the active semiconductor devices. In addition, copper exhibits poor adhesion to silicon dioxide which can result in broken connections and failure of electrical contacts.
  • barrier layer between the ILD and the copper interconnect permits the successful use of copper in a silicon-based integrated circuit.
  • the barrier layer serves to eliminate the diffusion that would otherwise occur at the copper-ILD junction, and thus prevents the copper from altering the electrical characteristics of the silicon-based semiconductor devices.
  • barrier layers are well known in the art and may be formed of a variety of transition metals, transition metal alloys or silicides, metal nitrides, and ternary amorphous alloys.
  • the most common barrier layer materials in use are titanium, tantalum, and tungsten alloys due to their demonstrated ability to effectively reduce copper diffusion.
  • Deposition of a metallization layer generally occurs through one of the following techniques: chemical vapor deposition (CVD); physical vapor deposition (PVD), also known as sputtering; or electrochemical deposition.
  • CVD involves high temperatures which can lead to cold creep effects and an increased chance of impurity contamination over other methods, and sputtering has problems yielding sufficient step coverage and density at small line widths.
  • Electrochemical deposition offers a more controlled environment to reduce the chance of contamination, and a process that takes place with minor temperature fluctuations. Electrochemical deposition provides more thorough coverage, fewer physical flaws, and reduces separation between the layers.
  • a copper seed layer may be formed over the barrier layer by CVD or PVD to produce an adhesive surface, and then electrochemical deposition may be carried out on the seed layer. This method involves multiple steps and increases production costs by requiring several different types of machines to form each interconnect layer.
  • the present invention provides a method of forming a metal seed layer, preferably a copper layer, for subsequent electrochemical deposition.
  • the metal seed layer is formed by the oxidation-reduction reaction of a metal salt or complex such as copper sulfate in acid solution, with a reducing agent such as elemental silicon that is present in a layer on the substrate to be plated.
  • the reducing agent is present in a sacrificial layer on the substrate.
  • the method is particularly suited to forming metal interconnects for semiconductor devices, because the metal seed layer and the plating of the interconnect itself may be combined into a single-bath operation.
  • FIG. 1 is a cross-sectional view of a semiconductor wafer undergoing the process of a preferred embodiment of the present invention.
  • FIG. 2 shows the wafer of FIG. 1 at a processing step subsequent to that shown in FIG. 1 .
  • FIG. 3 shows the wafer of FIG. 1 at a processing step subsequent to that shown in FIG. 2 .
  • FIG. 4 shows the wafer of FIG. 1 at a processing step subsequent to that shown in FIG. 3 .
  • FIG. 5 shows the wafer of FIG. 1 at a processing step subsequent to that shown in FIG. 4 .
  • FIG. 6 shows the wafer of FIG. 1 at a processing step subsequent to that shown in FIG. 5 .
  • FIG. 7 is a cross-sectional view of a semiconductor wafer undergoing the process of a second preferred embodiment of the present invention.
  • FIG. 8 shows the wafer of FIG. 7 at a processing step subsequent to that shown in FIG. 7 .
  • FIG. 9 shows the wafer of FIG. 7 at a processing step subsequent to that shown in FIG. 8 .
  • FIG. 10 shows the wafer of FIG. 7 at a processing step subsequent to that shown in FIG. 9 .
  • FIG. 11 shows the wafer of FIG. 7 at a processing step subsequent to that shown in FIG. 10 .
  • FIG. 12 shows the wafer of FIG. 7 at a processing step subsequent to that shown in FIG. 11 .
  • wafer and substrate are to be understood as including silicon-on-insulator (SOI) or silicon-on-sapphire (SOS) technology, doped and undoped semiconductors, epitaxial layers of silicon supported by a base semiconductor foundation, and other semiconductor structures. Furthermore, when reference is made to a “wafer” or “substrate” in the following description, previous process steps may have been utilized to form regions or junctions in the base semiconductor structure or foundation.
  • aqueous solutions described herein the term “percent” refers to the percent measured by weight, e.g., a 10% hydrofluoric acid solution is 10% by weight hydrofluoric acid.
  • FIGS. 1 through 6 an embodiment of the present invention for manufacturing an integrated circuit having a metal interconnect is illustrated by FIGS. 1 through 6.
  • the process creates a metal seed layer for subsequent electrochemical deposition by a oxidation-reduction (“redox”) reaction between a reducing agent present in a sacrificial layer of material, and a metal salt or complex.
  • redox oxidation-reduction
  • the invention is described as a method of plating copper by a reaction in which the reducing agent is silicon, but the use of other metals and reaction mechanisms is to be understood as within the scope of the invention.
  • the process begins subsequent to the formation of a semiconductor device 20 containing devices 24 , which may be transistors, capacitors, word lines, bit lines or the like, and active areas 26 on a silicon substrate 22 , as shown in FIG. 1.
  • a protective layer 28 of a material such as borophosphosilicate glass (BPSG), phosphosilicate glass (PSG), borosilicate glass (BSG), or silicon dioxide has been formed over the device 20 by chemical vapor deposition (CVD) or other suitable means.
  • the process of the present invention begins by applying a photoresist and mask (not shown), and by using photolithographic techniques to define areas to be etched out.
  • a directional etching process such as reactive ion etching (RIE) is used to etch through the protective layer 28 to form vias 30 .
  • RIE reactive ion etching
  • T he etchant used may be any suitable etchant that selectively etches t he material of the protective layer 28 and not the active areas 26 , the devices 24 , or the material of sidewall or cap insulators on the devices 24 .
  • FIG. 3 depicts the next step of the process, in which a barrier layer 32 is formed so that it overlies the protective layer 28 and lines the inside of the vias 30 .
  • Barrier layers are typically used with metal interconnect material to optimize performance of the interconnect s, and to prevent diffusion of the metal interconnect material into the substrate.
  • the barrier layer 32 may be formed of any suitable material such as titanium, titanium nitride, tantalum, tantalum nitride, tungsten nitride, tungsten-tantalum, tantalum silicon nitride, or other ternary compounds, and should be of a thickness within the range of 50 to 500 Angstroms, and preferably approximately 300 Angstroms thick. Chemical vapor deposition, physical vapor deposition (PVD), or other suitable means may be used to form the barrier layer 32 .
  • PVD physical vapor deposition
  • a sacrificial oxide layer 34 is formed over the barrier layer 32 and lining the inside of the vias 30 , as shown in FIG. 4 .
  • the sacrificial oxide layer 34 is a layer of silicon-containing material such as silicon dioxide or silicon monoxide that is formed by means such as CVD, PVD, oxidation of the wafer in an ozone-containing rinse bath, or the like.
  • the oxide is a chemical oxide.
  • the sacrificial oxide layer 34 has a thickness within the range of 10 to 200 Angstroms, preferably 10 to 50 Angstroms, and should have a silicon-tooxygen ratio of greater than 0 . 5 .
  • a sacrificial oxide layer 34 may not be required, and a reactive barrier layer 32 may be used if there is a sufficient amount of the reducing agent present in the barrier layer 32 .
  • FIG. 5 depicts the next step of the process, in which a metal seed layer 36 is now formed on the surface of the barrier layer 32 in the vias 30 by a redox plating process.
  • the plating process is carried out by exposing the wafer 20 to a first plating solution by means such as immersion of the wafer 20 into a plating bath, or by spraying the plating solution onto the wafer 20 .
  • the first plating solution is an aqueous solution of an acid such as hydrofluoric acid or sulfuric acid, and a metal salt or complex that is soluble in the acid used.
  • a redox reaction occurs between the metal ions in the solution, e.g., cupric ions (Cu 2+ ) and the reducing agent of the sacrificial oxide layer 34 , e.g., silicon, leading to reduction of the metal ions and subsequent plating onto the barrier layer 32 .
  • the metal ions in the solution e.g., cupric ions (Cu 2+ )
  • the reducing agent of the sacrificial oxide layer 34 e.g., silicon
  • a dilute solution of hydrofluoric acid (HF) and a salt such as copper sulfate (CuSO 4 ) is used to carry out the reaction with a sacrificial oxide layer 34 containing silicon as a reducing agent.
  • a solution containing approximately 1 part hydrofluoric acid per 100 parts water, and about 3 grams of copper sulfate per liter is used, and the reaction is allowed to proceed at room temperature for approximately 2 to 2.5 minutes for a sacrificial oxide layer 34 that is approximately 50 Angstroms thick.
  • the time and temperature may be adjusted as necessary for the thickness of the sacrificial oxide layer 34 , and to affect the rate of the reaction.
  • the precise reaction that occurs in the copper plating process is unknown, but is currently believed to be:
  • the plating bath in a preferred embodiment is electroless, but an electrolytic bath may also be used.
  • An electrolytic bath permits formation of a thicker metal seed layer 36 than an electroless bath, because electrons are continuously replaced by the electric current applied and therefore the metal ions, which have an electron affinity, may continuously plate to the barrier layer 32 .
  • the plating process may begin as an electroless process, and a voltage may later be applied to carry out an electrolytic plating process.
  • a conductive layer 38 is now formed in the vias 30 to serve as an interconnect layer, as shown in FIG. 6 .
  • the conductive layer 38 is a layer of metal, which may be the same metal as the metal seed layer 36 , or a different metal.
  • the metal seed layer 36 and the conductive layer 38 are layers of the same metal.
  • the conductive layer 38 is formed by an electrochemical deposition process such as electrolytic or electroless plating.
  • the conductive layer is formed by exposing the wafer 20 to a second plating solution by means such as immersion of the wafer 20 into a plating bath, or by spraying the second plating solution onto the wafer 20 .
  • the second plating solution is typically an aqueous solution of an acid such as sulfuric acid, a metal salt or complex that is soluble in the acid used, and several additives. Either electroless or electrolytic plating, or a combination of the two may be performed as desired for certain applications.
  • any number of semiconductor wafers may be simultaneously processed by using a large bath, thereby reducing the cost of manufacture.
  • the plating process may be carried out in the same plating bath that was used for formation of the metal seed layer 36 , and may use the same plating solution. If the metal seed layer 36 and the conductive layer 38 are formed from different metals, then the same tank may be used for both plating processes if the first and second plating solutions are cycled through the tank. Subsequent to the plating process, conventional processing methods, such as planarization of the wafer 20 to isolate the conductive layer 38 into individual contact plugs, may then be used to create a functional circuit from the semiconductor wafer 20 .
  • FIGS. 7 through 12 A second embodiment of the present invention is illustrated by FIGS. 7 through 12.
  • a semiconductor device 120 contains devices 24 , active areas 26 , and field oxide regions 40 on a silicon substrate 22 .
  • a protective layer 28 has been formed over the device 120 , and conductive plugs 42 extend through the protective layer 28 to contact the active areas 26 .
  • a protective layer 44 of a material such as BPSG, PSG, BSG, or silicon dioxide has been formed over the device 120 by CVD or other suitable means.
  • Photolithographic techniques and subsequent etching are then used to define and form a damascene opening or trench 30 , as shown in FIG. 8 .
  • a barrier layer 32 is now formed so that it overlies the protective layer 44 and lines the inside of the trench 30 , as explained with reference to FIG. 3 above.
  • a sacrificial oxide layer 34 is formed over the barrier layer 32 and lining the inside of the trench 30 , as shown in FIG. 10, and as further described with reference to FIG. 4 above.
  • FIG. 11 depicts the next step of the process, in which a metal seed layer 36 is now formed on the surface of the barrier layer 32 in the trench 30 by a redox plating process, as is described further above in reference to FIG. 5 .
  • a conductive layer 38 is formed in the trench 30 to serve as an interconnect layer, as shown in FIG. 12 .
  • the conductive layer 38 is a layer of metal formed by an electrochemical process, as is described more fully with reference to FIG. 6 above.
  • conventional processing methods such as planarization of the wafer 120 , may then be used to create a functional circuit from the semiconductor wafer 120 .
  • the present invention encompasses methods of forming a metal seed layer via a redox reaction with a reducing agent.
  • the reducing agent may be present in a sacrificial layer on the substrate to be plated, or may be in a non-sacrificial layer.

Abstract

A method of forming a metal seed layer, preferably a copper layer, for subsequent electrochemical deposition. The metal seed layer is formed by the oxidation-reduction reaction of a metal salt with a reducing agent present in a layer on the substrate to be plated. Metal interconnects for semiconductor devices may be produced by the method, which has the advantage of forming the metal seed layer by a simple electrochemical plating process that may be combined with the plating of the interconnect itself as a single-bath operation.

Description

FIELD OF THE INVENTION
The present invention relates to the field of electrochemical deposition, and in particular to a method of forming a metal seed layer by electroplating.
BACKGROUND OF THE INVENTION
The performance characteristics and reliability of integrated circuits have become increasingly dependent on the structure and attributes of the vias and interconnects which are used to carry electronic signals between semiconductor devices on integrated circuits or chips. Advances in the fabrication of integrated circuits have resulted in increases in the density and number of semiconductor devices contained on a typical chip. Interconnect structure and formation technology has lagged behind these advances, however, and is now a major limitation on the signal speed of integrated circuits.
Current techniques for forming vias and interconnects begin with preparation of the semiconductor wafer surface by formation of an interlevel dielectric layer (ILD), typically silicon dioxide. A mask may then be applied to pattern the deposition of the interconnect material on the wafer in the desired manner. Another typical process is to plate the interconnect material onto the surface of the wafer to a depth sufficient to fill the vias, followed by planarization to achieve the desired interconnect pattern.
Typically the preferred metal for use in the construction of integrated circuit interconnects has been aluminum. Aluminum is widely used because it is inexpensive, relatively easy to etch, and adheres well to ILDs such as silicon dioxide. Disadvantages of aluminum include significant electromigration effects, susceptibility to humidity-induced corrosion, and the tendency to “cold creep”. “Cold creep” is a process that creates cracks or spaces between the interconnect layer and the ILD due to large variances in the coefficient of thermal expansion between the two materials.
The disadvantages of aluminum interconnects have become more pronounced as the geometry of integrated circuits continues to shrink. Chip designers have attempted to utilize different materials to construct an interconnect system having the chemical and mechanical properties which will complement and enhance smaller and faster circuit systems. The ideal interconnect material is inexpensive, and has low resistivity, minimal electromigration effects, high corrosion resistance, and a similar coefficient of thermal expansion to the ILD and substrate material. Metals possessing these properties include gold, silver, and copper, and research has generally focused on these three metals as new via and interconnect materials.
Copper is the most attractive material for use in integrated circuits because of its desirable chemical and mechanical properties. It is an excellent conductor with a resistivity of 1.73 microOhms per centimeter, is inexpensive, and is easily processed. Copper also has fewer electromigration effects than aluminum and can therefore carry a higher maximum current density, permitting a faster rate of electron transfer. The high melting point and ductility of copper produce far less cold creep during the semiconductor fabrication process than many other metals, including aluminum.
Although copper has many favorable characteristics, it also has disadvantages that may create fabrication problems for chip designers. Copper is soluble in silicon and most common ILDs, and exhibits a high rate of diffusion at temperatures associated with integrated circuit manufacturing. This diffusion can result in the creation of intermetallic alloys which can cause malfunctioning of the active semiconductor devices. In addition, copper exhibits poor adhesion to silicon dioxide which can result in broken connections and failure of electrical contacts.
Use of an intermediate barrier layer between the ILD and the copper interconnect permits the successful use of copper in a silicon-based integrated circuit. The barrier layer serves to eliminate the diffusion that would otherwise occur at the copper-ILD junction, and thus prevents the copper from altering the electrical characteristics of the silicon-based semiconductor devices. Such barrier layers are well known in the art and may be formed of a variety of transition metals, transition metal alloys or silicides, metal nitrides, and ternary amorphous alloys. The most common barrier layer materials in use are titanium, tantalum, and tungsten alloys due to their demonstrated ability to effectively reduce copper diffusion.
Deposition of a metallization layer generally occurs through one of the following techniques: chemical vapor deposition (CVD); physical vapor deposition (PVD), also known as sputtering; or electrochemical deposition. CVD involves high temperatures which can lead to cold creep effects and an increased chance of impurity contamination over other methods, and sputtering has problems yielding sufficient step coverage and density at small line widths. Electrochemical deposition, however, offers a more controlled environment to reduce the chance of contamination, and a process that takes place with minor temperature fluctuations. Electrochemical deposition provides more thorough coverage, fewer physical flaws, and reduces separation between the layers.
There are several known electrochemical deposition processes used to form copper interconnects onto barrier layers, each having various disadvantages. Direct deposition of copper onto the barrier layer typically results in porous films with poor adhesion and inconsistent densities. Annealing of the deposited copper at low temperatures may be performed to improve adhesion, but it increases cold creep effects and fails to provide a consistently dense copper structure. A copper seed layer may be formed over the barrier layer by CVD or PVD to produce an adhesive surface, and then electrochemical deposition may be carried out on the seed layer. This method involves multiple steps and increases production costs by requiring several different types of machines to form each interconnect layer.
What is needed, therefore, is a simple and inexpensive method of forming a metal seed layer that requires only a minimum number of steps for its production.
SUMMARY OF THE INVENTION
The present invention provides a method of forming a metal seed layer, preferably a copper layer, for subsequent electrochemical deposition. The metal seed layer is formed by the oxidation-reduction reaction of a metal salt or complex such as copper sulfate in acid solution, with a reducing agent such as elemental silicon that is present in a layer on the substrate to be plated.
Preferably the reducing agent is present in a sacrificial layer on the substrate. The method is particularly suited to forming metal interconnects for semiconductor devices, because the metal seed layer and the plating of the interconnect itself may be combined into a single-bath operation.
Additional advantages and features of the present invention will be apparent from the following detailed description and drawings which illustrate preferred embodiments of the invention.
BRIEF DESCRIPTION OF THE DRAWINGS
FIG. 1 is a cross-sectional view of a semiconductor wafer undergoing the process of a preferred embodiment of the present invention.
FIG. 2 shows the wafer of FIG. 1 at a processing step subsequent to that shown in FIG. 1.
FIG. 3 shows the wafer of FIG. 1 at a processing step subsequent to that shown in FIG. 2.
FIG. 4 shows the wafer of FIG. 1 at a processing step subsequent to that shown in FIG. 3.
FIG. 5 shows the wafer of FIG. 1 at a processing step subsequent to that shown in FIG. 4.
FIG. 6 shows the wafer of FIG. 1 at a processing step subsequent to that shown in FIG. 5.
FIG. 7 is a cross-sectional view of a semiconductor wafer undergoing the process of a second preferred embodiment of the present invention.
FIG. 8 shows the wafer of FIG. 7 at a processing step subsequent to that shown in FIG. 7.
FIG. 9 shows the wafer of FIG. 7 at a processing step subsequent to that shown in FIG. 8.
FIG. 10 shows the wafer of FIG. 7 at a processing step subsequent to that shown in FIG. 9.
FIG. 11 shows the wafer of FIG. 7 at a processing step subsequent to that shown in FIG. 10.
FIG. 12 shows the wafer of FIG. 7 at a processing step subsequent to that shown in FIG. 11.
DETAILED DESCRIPTION OF PREFERRED EMBODIMENTS
In the following detailed description, reference is made to the accompanying drawings which form a part hereof, and in which is shown by way of illustration specific embodiments in which the invention may be practiced. These embodiments are described in sufficient detail to enable those skilled in the art to practice the invention, and it is to be understood that other embodiments may be utilized, and that structural, logical, electrical and chemical changes may be made without departing from the spirit and scope of the present invention.
The terms “wafer” and “substrate” are to be understood as including silicon-on-insulator (SOI) or silicon-on-sapphire (SOS) technology, doped and undoped semiconductors, epitaxial layers of silicon supported by a base semiconductor foundation, and other semiconductor structures. Furthermore, when reference is made to a “wafer” or “substrate” in the following description, previous process steps may have been utilized to form regions or junctions in the base semiconductor structure or foundation. When referring to aqueous solutions described herein, the term “percent” refers to the percent measured by weight, e.g., a 10% hydrofluoric acid solution is 10% by weight hydrofluoric acid.
The following description is, therefore, not to be taken in a limiting sense, and the scope of the present invention is defined by the appended claims.
Referring now to the drawings, where like elements are designated by like reference numerals, an embodiment of the present invention for manufacturing an integrated circuit having a metal interconnect is illustrated by FIGS. 1 through 6. The process creates a metal seed layer for subsequent electrochemical deposition by a oxidation-reduction (“redox”) reaction between a reducing agent present in a sacrificial layer of material, and a metal salt or complex. For illustrative purposes the invention is described as a method of plating copper by a reaction in which the reducing agent is silicon, but the use of other metals and reaction mechanisms is to be understood as within the scope of the invention.
The process begins subsequent to the formation of a semiconductor device 20 containing devices 24, which may be transistors, capacitors, word lines, bit lines or the like, and active areas 26 on a silicon substrate 22, as shown in FIG. 1. A protective layer 28 of a material such as borophosphosilicate glass (BPSG), phosphosilicate glass (PSG), borosilicate glass (BSG), or silicon dioxide has been formed over the device 20 by chemical vapor deposition (CVD) or other suitable means.
The process of the present invention begins by applying a photoresist and mask (not shown), and by using photolithographic techniques to define areas to be etched out. Referring to FIG. 2, a directional etching process such as reactive ion etching (RIE) is used to etch through the protective layer 28 to form vias 30. T he etchant used may be any suitable etchant that selectively etches t he material of the protective layer 28 and not the active areas 26, the devices 24, or the material of sidewall or cap insulators on the devices 24.
FIG. 3 depicts the next step of the process, in which a barrier layer 32 is formed so that it overlies the protective layer 28 and lines the inside of the vias 30. Barrier layers are typically used with metal interconnect material to optimize performance of the interconnect s, and to prevent diffusion of the metal interconnect material into the substrate. The barrier layer 32 may be formed of any suitable material such as titanium, titanium nitride, tantalum, tantalum nitride, tungsten nitride, tungsten-tantalum, tantalum silicon nitride, or other ternary compounds, and should be of a thickness within the range of 50 to 500 Angstroms, and preferably approximately 300 Angstroms thick. Chemical vapor deposition, physical vapor deposition (PVD), or other suitable means may be used to form the barrier layer 32.
Next, a sacrificial oxide layer 34 is formed over the barrier layer 32 and lining the inside of the vias 30, as shown in FIG. 4. The sacrificial oxide layer 34 is a layer of silicon-containing material such as silicon dioxide or silicon monoxide that is formed by means such as CVD, PVD, oxidation of the wafer in an ozone-containing rinse bath, or the like. Preferably the oxide is a chemical oxide. The sacrificial oxide layer 34 has a thickness within the range of 10 to 200 Angstroms, preferably 10 to 50 Angstroms, and should have a silicon-tooxygen ratio of greater than 0.5. Depending on the reaction mechanism, a sacrificial oxide layer 34 may not be required, and a reactive barrier layer 32 may be used if there is a sufficient amount of the reducing agent present in the barrier layer 32.
FIG. 5 depicts the next step of the process, in which a metal seed layer 36 is now formed on the surface of the barrier layer 32 in the vias 30 by a redox plating process. The plating process is carried out by exposing the wafer 20 to a first plating solution by means such as immersion of the wafer 20 into a plating bath, or by spraying the plating solution onto the wafer 20. The first plating solution is an aqueous solution of an acid such as hydrofluoric acid or sulfuric acid, and a metal salt or complex that is soluble in the acid used. A redox reaction occurs between the metal ions in the solution, e.g., cupric ions (Cu2+) and the reducing agent of the sacrificial oxide layer 34, e.g., silicon, leading to reduction of the metal ions and subsequent plating onto the barrier layer 32.
For example, in a copper plating process, a dilute solution of hydrofluoric acid (HF) and a salt such as copper sulfate (CuSO4) is used to carry out the reaction with a sacrificial oxide layer 34 containing silicon as a reducing agent. Preferably a solution containing approximately 1 part hydrofluoric acid per 100 parts water, and about 3 grams of copper sulfate per liter is used, and the reaction is allowed to proceed at room temperature for approximately 2 to 2.5 minutes for a sacrificial oxide layer 34 that is approximately 50 Angstroms thick. The time and temperature may be adjusted as necessary for the thickness of the sacrificial oxide layer 34, and to affect the rate of the reaction. The precise reaction that occurs in the copper plating process is unknown, but is currently believed to be:
Si+2Cu2++6F→SiF6 2−+2Cu
The plating bath in a preferred embodiment is electroless, but an electrolytic bath may also be used. An electrolytic bath permits formation of a thicker metal seed layer 36 than an electroless bath, because electrons are continuously replaced by the electric current applied and therefore the metal ions, which have an electron affinity, may continuously plate to the barrier layer 32. If desired, the plating process may begin as an electroless process, and a voltage may later be applied to carry out an electrolytic plating process.
A conductive layer 38 is now formed in the vias 30 to serve as an interconnect layer, as shown in FIG. 6. The conductive layer 38 is a layer of metal, which may be the same metal as the metal seed layer 36, or a different metal. Preferably the metal seed layer 36 and the conductive layer 38 are layers of the same metal. The conductive layer 38 is formed by an electrochemical deposition process such as electrolytic or electroless plating.
Preferably the conductive layer is formed by exposing the wafer 20 to a second plating solution by means such as immersion of the wafer 20 into a plating bath, or by spraying the second plating solution onto the wafer 20. The second plating solution is typically an aqueous solution of an acid such as sulfuric acid, a metal salt or complex that is soluble in the acid used, and several additives. Either electroless or electrolytic plating, or a combination of the two may be performed as desired for certain applications. In addition, any number of semiconductor wafers may be simultaneously processed by using a large bath, thereby reducing the cost of manufacture.
If the metal seed layer 36 and the conductive layer 38 are formed from the same metal, then the plating process may be carried out in the same plating bath that was used for formation of the metal seed layer 36, and may use the same plating solution. If the metal seed layer 36 and the conductive layer 38 are formed from different metals, then the same tank may be used for both plating processes if the first and second plating solutions are cycled through the tank. Subsequent to the plating process, conventional processing methods, such as planarization of the wafer 20 to isolate the conductive layer 38 into individual contact plugs, may then be used to create a functional circuit from the semiconductor wafer 20.
A second embodiment of the present invention is illustrated by FIGS. 7 through 12. Referring to FIG. 7, a semiconductor device 120 contains devices 24, active areas 26, and field oxide regions 40 on a silicon substrate 22. A protective layer 28 has been formed over the device 120, and conductive plugs 42 extend through the protective layer 28 to contact the active areas 26. A protective layer 44 of a material such as BPSG, PSG, BSG, or silicon dioxide has been formed over the device 120 by CVD or other suitable means.
Photolithographic techniques and subsequent etching are then used to define and form a damascene opening or trench 30, as shown in FIG. 8. Referring now to FIG. 9, a barrier layer 32 is now formed so that it overlies the protective layer 44 and lines the inside of the trench 30, as explained with reference to FIG. 3 above. Next, a sacrificial oxide layer 34 is formed over the barrier layer 32 and lining the inside of the trench 30, as shown in FIG. 10, and as further described with reference to FIG. 4 above.
FIG. 11 depicts the next step of the process, in which a metal seed layer 36 is now formed on the surface of the barrier layer 32 in the trench 30 by a redox plating process, as is described further above in reference to FIG. 5. Lastly, a conductive layer 38 is formed in the trench 30 to serve as an interconnect layer, as shown in FIG. 12. The conductive layer 38 is a layer of metal formed by an electrochemical process, as is described more fully with reference to FIG. 6 above. Subsequent to the plating process, conventional processing methods, such as planarization of the wafer 120, may then be used to create a functional circuit from the semiconductor wafer 120.
As can be seen by the embodiments described herein, the present invention encompasses methods of forming a metal seed layer via a redox reaction with a reducing agent. The reducing agent may be present in a sacrificial layer on the substrate to be plated, or may be in a non-sacrificial layer.
It should again be noted that although the invention has been described with specific reference to semiconductor wafers, the invention has broader applicability, and may be used in any plating application in which a thin self-limiting seed layer is used.
The above description and drawings are only illustrative of preferred embodiments which achieve the objects, features and advantages of the present invention. It is not intended that the present invention be limited to the illustrated embodiments. Any modification of the present invention which comes within the spirit and scope of the following claims should be considered part of the present invention.

Claims (115)

What is claimed as new and desired to be protected by letters patent of the united states is:
1. A method of plating a metal layer on a substrate, comprising the steps of:
providing a substrate;
forming a barrier layer, containing a reducing agent, on a top surface of the substrate;
forming a metal seed layer on the barrier layer by reacting the barrier layer with a first plating solution; and
forming a metal layer on the metal seed layer by exposing the substrate to a second plating solution.
2. The method of claim 1, wherein said barrier layer is a layer of material selected from the group consisting of titanium, titanium nitride, tantalum, tantalum nitride, tungsten nitride, tungsten-tantalum alloys, and tantalum silicon nitride.
3. The method of claim 1, wherein said metal seed layer forming step comprises immersing the substrate in the first plating solution.
4. The method of claim 1, wherein said metal seed layer forming step comprises spraying the first plating solution on the substrate.
5. The method of claim 1, wherein said metal seed layer forming step is an electroless plating step.
6. The method of claim 1, wherein said metal seed layer forming step is an electrolytic plating step.
7. The method of claim 1, wherein said metal layer forming step comprises immersing the substrate in the second plating solution.
8. The method of claim 1, wherein said metal layer forming step comprises praying the second plating solution on the substrate.
9. The method of claim 1, wherein said metal layer forming step is an electroless plating step.
10. The method of claim 1, wherein said metal layer forming step is an electrolytic plating step.
11. The method of claim 1, wherein the first plating solution comprises a first aqueous solution of a first metal and a first acid, and the second plating solution comprises a second aqueous solution of a second metal and a second acid.
12. The method of claim 11, wherein the first and second metals are the same metal.
13. The method of claim 12, wherein the first and second metals are copper.
14. The method of claim 12, wherein the first and second aqueous solutions are the same.
15. The method of claim 11, wherein the first metal is a metal salt.
16. The method of claim 11, wherein the first metal is a metal complex.
17. The method of claim 11, wherein the second metal is a metal salt.
18. The method of claim 11, wherein the second metal is a metal complex.
19. The method of claim 11, wherein the first and second metals are different metals.
20. The method of claim 11, wherein the first and second metals are metals selected from the group consisting of nickel, copper, ruthenium, rhodium, palladium, silver, osmium, iridium, platinum, gold, mercury and polonium.
21. The method of claim 11, wherein at least one of the first and the second metals is copper.
22. The method of claim 1, wherein the act of forming said barrier layer containing a reducing agent further comprises forming a silicon-containing layer on said barrier layer, said silicon-containing layer being said reducing agent.
23. The method of claim 22, wherein said silicon-containing layer forming step comprises oxidizing the substrate in an ozone-containing rinse bath.
24. The method of claim 22, wherein said silicon-containing layer forming step comprises deposition of the silicon-containing layer on the substrate.
25. The method of claim 22, wherein said silicon-containing layer is a layer of silicon dioxide.
26. The method of claim 1, wherein the barrier layer contains a ternary compound.
27. A method of fabricating a conductive layer on a semiconductor substrate, comprising the steps of:
providing a semiconductor substrate;
forming a silicon layer on a top surface of the substrate;
forming a metal seed layer from the silicon layer by reacting the silicon layer with a first plating solution; and
forming a conductive layer on the metal seed layer by exposing the substrate to a second plating solution.
28. The method of claim 27, wherein said silicon layer forming step comprises oxidizing the substrate in an ozone-containing rinse bath.
29. The method of claim 26, wherein said silicon layer forming step comprises deposition of the silicon layer on the substrate.
30. The method of claim 27, wherein said silicon layer forming step further comprises forming a barrier layer on the top surface of the substrate and forming the silicon layer on the barrier layer.
31. The method of claim 27, wherein said metal seed layer forming step comprises immersing the substrate in the first plating solution.
32. The method of claim 27, wherein said metal seed layer forming step comprises spraying the first plating solution on the substrate.
33. The method of claim 27, wherein said metal seed layer forming step is an electroless plating step.
34. The method of claim 27, wherein said metal seed layer forming step is an electrolytic plating step.
35. The method of claim 27, wherein the first plating solution comprises a first aqueous solution of a first metal and a first acid, and the second plating solution comprises a second aqueous solution of a second metal and a second acid.
36. The method of claim 35, wherein the first and the second metals are the same metal.
37. The method of claim 36, wherein the first and second metals are copper.
38. The method of claim 36, wherein the first and the second aqueous solutions are the same.
39. The method of claim 35, wherein the first metal is a metal salt.
40. The method of claim 35, wherein the first metal is a metal complex.
41. The method of claim 35, wherein the second metal is a metal salt.
42. The method of claim 35, wherein the second metal is a metal complex.
43. The method of claim 35, wherein the first and second metals are different metals.
44. The method of claim 35, wherein the first and second metals a re metal s selected from the group consisting of nickel, copper, ruthenium, rhodium, palladium, silver, osmium, iridium, platinum, gold, mercury and polonium.
45. The method of claim 35, wherein at least one of the first and the second metals is copper.
46. The method of claim 27, wherein said conductive layer forming step is an electroless plating step.
47. The method of claim 27, wherein said conductive layer forming step is an electrolytic plating step.
48. A method of forming a metal interconnect for a semiconductor circuit, comprising the steps of:
providing a semiconductor substrate having electronic devices formed thereon;
forming a barrier layer, containing a reducing agent, on a top surface of the substrate and the devices;
forming a metal seed layer on the barrier layer by reacting the barrier layer with a first plating solution; and
forming a metal interconnect layer on the metal seed layer by exposing the substrate to a second plating solution.
49. The method of claim 48, wherein said barrier layer forming step comprises chemical vapor deposition.
50. The method of claim 48, wherein said barrier layer forming step comprises physical vapor deposition.
51. The method of claim 47, wherein the barrier layer is a layer of material selected from the group consisting of titanium, titanium nitride, tantalum, tantalum nitride, tungsten nitride, tungsten-tantalum alloys, and tantalum silicon nitride.
52. The method of claim 48, wherein said metal seed layer forming step comprises immersing the substrate in the first plating solution.
53. The method of claim 48, wherein said metal seed layer forming step comprises spraying the first plating solution on the substrate.
54. The method of claim 48, wherein said metal seed layer forming step is an electroless plating step.
55. The method of claim 48, wherein said metal seed layer forming step is an electrolytic plating step.
56. The method of claim 48, wherein said metal interconnect layer forming step comprises immersing the substrate in the second plating solution.
57. The method of claim 48, wherein said metal interconnect layer forming step comprises spraying the second plating solution on the substrate.
58. The method of claim 48, wherein said metal interconnect layer forming step is an electroless plating step.
59. The method of claim 48, wherein said metal interconnect layer forming step is an electrolytic plating step.
60. The method of claim 47, wherein the first plating solution comprises a first aqueous solution of a first metal and a first acid, and the second plating solution comprises a second aqueous solution of a second metal and a second acid.
61. The method of claim 59, wherein the first and second metals are the same metal.
62. The method of claim 60, wherein the first and second metals are copper.
63. The method of claim 60, wherein the first and second aqueous solutions are the same.
64. The method of claim 60, wherein the first metal is a metal salt.
65. The method of claim 60, wherein the first metal is a metal complex.
66. The method of claim 60, wherein the second metal is a metal salt.
67. The method of claim 60, wherein the second metal is a metal complex.
68. The method of claim 59, wherein the first and second metals are different metals.
69. The method of claim 60, wherein the first and second metals are metals selected from the group consisting of nickel, copper, ruthenium, rhodium, palladium, silver, osmium, iridium, platinum, gold, mercury and polonium.
70. The method of claim 60, wherein at least one of the first and the second metals is copper.
71. The method of claim 48, wherein the act of forming said barrier layer containing a reducing agent further comprises forming a silicon-containing layer on said barrier layer, said silicon-containing layer being said reducing agent.
72. The method of claim 71, wherein said silicon layer forming step comprises oxidizing the substrate in an ozone-containing rinse bath.
73. The method of claim 71, wherein said silicon layer forming step comprises deposition of the silicon layer on the substrate.
74. The method of claim 71, wherein the silicon layer is a layer of silicon dioxide.
75. The method of claim 71, wherein the silicon layer is a layer of silicon monoxide.
76. The method of claim 48, wherein the barrier layer contains a ternary compound.
77. A method of forming a metal interconnect for a semiconductor circuit, comprising the steps of:
providing a semiconductor substrate having electronic devices formed thereon;
forming a silicon oxide layer on a top surface of the substrate and the devices;
forming a metal seed layer from the silicon oxide layer by reacting the silicon oxide layer with a first plating solution containing a first metal; and
forming a metal interconnect layer on the metal seed layer by exposing the substrate to a second plating solution containing a second metal.
78. The method of claim 77, wherein said silicon oxide layer forming step comprises oxidizing the substrate in an ozone-containing rinse bath.
79. The method of claim 77, wherein said silicon oxide layer forming step comprises deposition of the s ilicon oxide layer on the substrate.
80. The method of claim 77, wherein the silicon oxide layer has a thickness within the range of approximately 10 to 200 Angstroms.
81. The method of claim 77, wherein the silicon oxide layer has a thickness within the range of approximately 10 to 50 Angstroms.
82. The method of claim 77, wherein said silicon oxide layer is a layer of silicon dioxide.
83. The method of claim 77, wherein said silicon oxide layer forming step further comprises forming a barrier layer on the top surface of the substrate and forming the silicon oxide layer on the barrier layer.
84. The method of claim 83, wherein the barrier layer is a layer of material selected from the group consisting of titanium, titanium nitride, tantalum, tantalum nitride, tungsten nitride, tungsten-tantalum alloys, and tantalum silicon nitride.
85. The method of claim 83, wherein the barrier layer contains a ternary compound.
86. The method of claim 77, wherein said metal seed layer forming step comprises immersing the substrate in the first plating solution.
87. The method of claim 77, wherein said metal seed layer forming step comprises spraying the first plating solution on the substrate.
88. The method of claim 77, wherein said metal seed layer forming step is an electroless plating step.
89. The method of claim 77, wherein said metal seed layer forming step is an electrolytic plating step.
90. The method of claim 77, wherein the first metal is copper.
91. The method of claim 90, wherein the first plating solution is an aqueous solution of copper sulfate and hydrofluoric acid.
92. The method of claim 77, wherein said metal interconnect layer forming step comprises immersing the substrate in the second plating solution.
93. The method of claim 77, wherein said metal interconnect layer forming tep comprises spraying the second plating solution on the substrate.
94. The method of claim 77, wherein said metal interconnect layer forming step is an electroless plating step.
95. The method of claim 77, wherein said metal interconnect layer forming step is an electrolytic plating step.
96. The method of claim 77, wherein the second metal is copper.
97. The method of claim 96, wherein the second plating solution is an aqueous solution of copper sulfate and hydrofluoric acid.
98. The method of claim 77, wherein the first and second metals are selected from the group consisting of nickel, copper, ruthenium, rhodium, palladium, silver, osmium, iridium, platinum, gold, mercury and polonium.
99. A method of plating copper onto a substrate, comprising the steps of:
providing a substrate;
forming a barrier layer on a top surface of the substrate;
forming a silicon oxide layer on the barrier layer;
forming a copper seed layer from the silicon oxide layer by reacting the silicon oxide layer with a plating solution containing copper and an acid; and
forming a copper layer on the copper seed layer by exposing the substrate to the plating solution for a time sufficient to produce a desired thickness of the copper layer.
100. The method of claim 99, wherein the silicon oxide layer is a layer of silicon dioxide.
101. The method of claim 99, wherein the silicon oxide layer has a thickness within the range of approximately 10 to 200 Angstroms.
102. The method of claim 99, wherein the silicon oxide layer has a thickness within the range of approximately 10 to 50 Angstroms.
103. The method of claim 99, wherein the barrier layer is a layer of material selected from the group consisting of titanium, titanium nitride, tantalum, tantalum nitride, tungsten nitride, tungsten-tantalum alloys, and tantalum silicon nitride.
104. The method of claim 99, wherein the barrier layer has a thickness within the range of 50 to 500 Angstroms.
105. The method of claim 99, wherein the barrier layer has a thickness of approximately 300 Angstroms.
106. The method of claim 99, wherein the plating solution contains a copper salt.
107. The method of claim 106, wherein the acid is sulfuric acid.
108. The method of claim 106, wherein the plating solution comprises an aqueous solution of copper sulfate and hydrofluoric acid.
109. The method of claim 108, wherein the plating solution comprises approximately 3 grams of copper sulfate per liter of plating solution.
110. The method of claim 99, wherein the plating solution contains a copper complex.
111. The method of claim 99, wherein the plating solution comprises approximately 1 part hydrofluoric acid per 100 parts water.
112. The method of claim 99, wherein said copper seed layer forming step is an electroless plating step.
113. The method of claim 99, wherein said copper layer forming step is an electrolytic plating step.
114. The method of claim 99, wherein the barrier layer contains a ternary compound.
115. A method of forming a copper interconnect for a semiconductor circuit, comprising the steps of:
providing a semiconductor substrate having devices formed thereon;
forming a barrier layer on a top surface of the substrate and the devices, wherein the barrier layer has a thickness of approximately 50 to 500 Angstroms;
forming a silicon dioxide layer on the barrier layer, wherein the silicon dioxide layer has a thickness of approximately 10 to 200 Angstroms;
forming a copper seed layer from the silicon dioxide layer by reacting the silicon dioxide layer with a plating solution containing copper sulfate and dilute hydrofluoric acid; and
forming a copper layer on the copper seed layer by exposing the substrate to the plating solution for a time sufficient to produce a desired thickness of the copper layer.
US09/285,668 1999-04-05 1999-04-05 Method of forming a metal seed layer for subsequent plating Expired - Lifetime US6221763B1 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
US09/285,668 US6221763B1 (en) 1999-04-05 1999-04-05 Method of forming a metal seed layer for subsequent plating
US09/753,548 US6489235B2 (en) 1999-04-05 2001-01-04 Method of forming a metal seed layer for subsequent plating
US10/285,552 US6756301B2 (en) 1999-04-05 2002-11-01 Method of forming a metal seed layer for subsequent plating

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/285,668 US6221763B1 (en) 1999-04-05 1999-04-05 Method of forming a metal seed layer for subsequent plating

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US09/753,548 Continuation US6489235B2 (en) 1999-04-05 2001-01-04 Method of forming a metal seed layer for subsequent plating

Publications (1)

Publication Number Publication Date
US6221763B1 true US6221763B1 (en) 2001-04-24

Family

ID=23095224

Family Applications (3)

Application Number Title Priority Date Filing Date
US09/285,668 Expired - Lifetime US6221763B1 (en) 1999-04-05 1999-04-05 Method of forming a metal seed layer for subsequent plating
US09/753,548 Expired - Lifetime US6489235B2 (en) 1999-04-05 2001-01-04 Method of forming a metal seed layer for subsequent plating
US10/285,552 Expired - Lifetime US6756301B2 (en) 1999-04-05 2002-11-01 Method of forming a metal seed layer for subsequent plating

Family Applications After (2)

Application Number Title Priority Date Filing Date
US09/753,548 Expired - Lifetime US6489235B2 (en) 1999-04-05 2001-01-04 Method of forming a metal seed layer for subsequent plating
US10/285,552 Expired - Lifetime US6756301B2 (en) 1999-04-05 2002-11-01 Method of forming a metal seed layer for subsequent plating

Country Status (1)

Country Link
US (3) US6221763B1 (en)

Cited By (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6323120B1 (en) * 1999-03-18 2001-11-27 Kabushiki Kaisha Kobe Seiko Method of forming a wiring film
US20020079224A1 (en) * 2000-12-13 2002-06-27 Shu-Hiu Chen Sample analysis system with chip-based electrophoresis device
US6416812B1 (en) * 2000-06-29 2002-07-09 International Business Machines Corporation Method for depositing copper onto a barrier layer
US20020098677A1 (en) * 2000-05-31 2002-07-25 Micron Technology, Inc. Multilevel copper interconnects with low-k dielectrics and air gaps
US20020109590A1 (en) * 2001-02-14 2002-08-15 Parsons James D. Bonded structure using reacted borosilicate mixture
US20020109233A1 (en) * 2000-01-18 2002-08-15 Micron Technology, Inc. Process for providing seed layers for integrated circuit metallurgy
US20020142583A1 (en) * 1999-08-27 2002-10-03 Dinesh Chopra Barrier and electroplating seed layer
US20020164838A1 (en) * 2001-05-02 2002-11-07 Moon Ow Chee Flexible ball grid array chip scale packages and methods of fabrication
US20020173137A1 (en) * 2001-04-19 2002-11-21 Micron Technology, Inc. Combined barrier layer and seed layer
US6489235B2 (en) * 1999-04-05 2002-12-03 Micron Technology, Inc. Method of forming a metal seed layer for subsequent plating
US20030034251A1 (en) * 2001-08-14 2003-02-20 Chikarmane Vinay B. Apparatus and method of surface treatment for electrolytic and electroless plating of metals in integrated circuit manufacturing
US20030134450A1 (en) * 2002-01-09 2003-07-17 Lee Teck Kheng Elimination of RDL using tape base flip chip on flex for die stacking
US20030164548A1 (en) * 2002-03-04 2003-09-04 Lee Teck Kheng Flip chip packaging using recessed interposer terminals
US20030164543A1 (en) * 2002-03-04 2003-09-04 Teck Kheng Lee Interposer configured to reduce the profiles of semiconductor device assemblies and packages including the same and methods
US20030164540A1 (en) * 2002-03-04 2003-09-04 Lee Teck Kheng Semiconductor die packages with recessed interconnecting structures and methods for assembling the same
US20030166312A1 (en) * 2002-03-04 2003-09-04 Lee Teck Kheng Methods for assembly and packaging of flip chip configured dice with interposer
KR100406592B1 (en) * 2001-12-03 2003-11-20 김재정 Fabricating method of Semiconductor Matal film
US6693029B2 (en) * 1999-10-12 2004-02-17 Fujitsu Limited Method of forming an insulative substrate having conductive filled vias
US20040041264A1 (en) * 2002-08-27 2004-03-04 Kloster Grant M. Method for alloy-electroplating group IB metals with refractory metals for interconnections
US6743716B2 (en) 2000-01-18 2004-06-01 Micron Technology, Inc. Structures and methods to enhance copper metallization
US6743719B1 (en) 2003-01-22 2004-06-01 Texas Instruments Incorporated Method for forming a conductive copper structure
US6756298B2 (en) 2000-01-18 2004-06-29 Micron Technology, Inc. Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals
US6756251B2 (en) 2001-08-21 2004-06-29 Micron Technology, Inc. Method of manufacturing microelectronic devices, including methods of underfilling microelectronic components through an underfill aperture
US20040159957A1 (en) * 2002-03-04 2004-08-19 Lee Teck Kheng Interposer substrate and wafer scale interposer substrate member for use with flip-chip configured semiconductor dice
US20040198033A1 (en) * 2002-08-20 2004-10-07 Lee Teck Kheng Double bumping of flexible substrate for first and second level interconnects
US20040219783A1 (en) * 2001-07-09 2004-11-04 Micron Technology, Inc. Copper dual damascene interconnect technology
US20050023699A1 (en) * 2000-01-18 2005-02-03 Micron Technology, Inc. Selective electroless-plated copper metallization
US20050032352A1 (en) * 2003-08-05 2005-02-10 Micron Technology, Inc. H2 plasma treatment
US20050194255A1 (en) * 2004-03-04 2005-09-08 Tiwari Chandra S. Self-activated electroless metal deposition
US20060035016A1 (en) * 2004-08-11 2006-02-16 Chandra Tiwari Electroless metal deposition methods
US7041606B2 (en) * 2000-08-31 2006-05-09 Micron Technology, Inc. Electroless deposition of doped noble metals and noble metal alloys
US20060240595A1 (en) * 2002-03-04 2006-10-26 Lee Teck K Method and apparatus for flip-chip packaging providing testing capability
US20070141830A1 (en) * 2000-01-18 2007-06-21 Micron Technology, Inc. Methods for making integrated-circuit wiring from copper, silver, gold, and other metals
US20070232044A1 (en) * 2006-03-29 2007-10-04 Shaestagir Chowdhury Filling narrow and high aspect ratio openings with electroless deposition

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100331570B1 (en) * 2000-06-13 2002-04-06 윤종용 Method for manufacturing capacitor of semiconductor memory device using electroplating method
WO2002004704A2 (en) * 2000-07-11 2002-01-17 Applied Materials, Inc. Method and apparatus for patching electrochemically deposited layers using electroless deposited materials
US6511912B1 (en) * 2000-08-22 2003-01-28 Micron Technology, Inc. Method of forming a non-conformal layer over and exposing a trench
US8283485B2 (en) * 2007-06-21 2012-10-09 Air Products And Chemicals, Inc. Process for selectively depositing copper thin films on substrates with copper and ruthenium areas via vapor deposition
US20110061890A1 (en) * 2009-09-15 2011-03-17 John Mezzalingua Associates, Inc. Shielding seam location in a coaxial cable
CN102605359A (en) * 2011-01-25 2012-07-25 台湾上村股份有限公司 Chemical palladium-gold plated film structure and manufacturing method thereof, copper wire or palladium-gold plated film packaging structure jointed by palladium-copper wire and packaging process thereof
JP2015061041A (en) * 2013-09-20 2015-03-30 株式会社東芝 Radiation detector and radiation detection device
US9401304B2 (en) 2014-04-24 2016-07-26 Sandisk Technologies Llc Patterning method for low-k inter-metal dielectrics and associated semiconductor device
US9845235B2 (en) 2015-09-03 2017-12-19 General Electric Company Refractory seed metal for electroplated MEMS structures

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4954214A (en) 1989-01-05 1990-09-04 Northern Telecom Limited Method for making interconnect structures for VLSI devices
US5084299A (en) 1989-08-10 1992-01-28 Microelectronics And Computer Technology Corporation Method for patterning electroless plated metal on a polymer substrate
US5308796A (en) 1991-09-30 1994-05-03 At&T Bell Laboratories Fabrication of electronic devices by electroless plating of copper onto a metal silicide
US5348574A (en) 1993-07-02 1994-09-20 Monsanto Company Metal-coated polyimide
US5354712A (en) 1992-11-12 1994-10-11 Northern Telecom Limited Method for forming interconnect structures for integrated circuits
US5660706A (en) 1996-07-30 1997-08-26 Sematech, Inc. Electric field initiated electroless metal deposition
US5670425A (en) 1995-11-09 1997-09-23 Lsi Logic Corporation Process for making integrated circuit structure comprising local area interconnects formed over semiconductor substrate by selective deposition on seed layer in patterned trench
US5674787A (en) 1996-01-16 1997-10-07 Sematech, Inc. Selective electroless copper deposited interconnect plugs for ULSI applications
US5789320A (en) 1996-04-23 1998-08-04 International Business Machines Corporation Plating of noble metal electrodes for DRAM and FRAM
US5969422A (en) * 1997-05-15 1999-10-19 Advanced Micro Devices, Inc. Plated copper interconnect structure
US5968333A (en) * 1998-04-07 1999-10-19 Advanced Micro Devices, Inc. Method of electroplating a copper or copper alloy interconnect

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI223678B (en) * 1998-03-20 2004-11-11 Semitool Inc Process for applying a metal structure to a workpiece, the treated workpiece and a solution for electroplating copper
US6123825A (en) * 1998-12-02 2000-09-26 International Business Machines Corporation Electromigration-resistant copper microstructure and process of making
US6287968B1 (en) * 1999-01-04 2001-09-11 Advanced Micro Devices, Inc. Method of defining copper seed layer for selective electroless plating processing
US6221763B1 (en) * 1999-04-05 2001-04-24 Micron Technology, Inc. Method of forming a metal seed layer for subsequent plating

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4954214A (en) 1989-01-05 1990-09-04 Northern Telecom Limited Method for making interconnect structures for VLSI devices
US5084299A (en) 1989-08-10 1992-01-28 Microelectronics And Computer Technology Corporation Method for patterning electroless plated metal on a polymer substrate
US5308796A (en) 1991-09-30 1994-05-03 At&T Bell Laboratories Fabrication of electronic devices by electroless plating of copper onto a metal silicide
US5354712A (en) 1992-11-12 1994-10-11 Northern Telecom Limited Method for forming interconnect structures for integrated circuits
US5348574A (en) 1993-07-02 1994-09-20 Monsanto Company Metal-coated polyimide
US5670425A (en) 1995-11-09 1997-09-23 Lsi Logic Corporation Process for making integrated circuit structure comprising local area interconnects formed over semiconductor substrate by selective deposition on seed layer in patterned trench
US5674787A (en) 1996-01-16 1997-10-07 Sematech, Inc. Selective electroless copper deposited interconnect plugs for ULSI applications
US5789320A (en) 1996-04-23 1998-08-04 International Business Machines Corporation Plating of noble metal electrodes for DRAM and FRAM
US5660706A (en) 1996-07-30 1997-08-26 Sematech, Inc. Electric field initiated electroless metal deposition
US5969422A (en) * 1997-05-15 1999-10-19 Advanced Micro Devices, Inc. Plated copper interconnect structure
US5968333A (en) * 1998-04-07 1999-10-19 Advanced Micro Devices, Inc. Method of electroplating a copper or copper alloy interconnect

Cited By (67)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6323120B1 (en) * 1999-03-18 2001-11-27 Kabushiki Kaisha Kobe Seiko Method of forming a wiring film
US6756301B2 (en) 1999-04-05 2004-06-29 Micron Technology, Inc. Method of forming a metal seed layer for subsequent plating
US6489235B2 (en) * 1999-04-05 2002-12-03 Micron Technology, Inc. Method of forming a metal seed layer for subsequent plating
US20020142583A1 (en) * 1999-08-27 2002-10-03 Dinesh Chopra Barrier and electroplating seed layer
US7041595B2 (en) 1999-08-27 2006-05-09 Micron Technology, Inc. Method of forming a barrier seed layer with graded nitrogen composition
US6693029B2 (en) * 1999-10-12 2004-02-17 Fujitsu Limited Method of forming an insulative substrate having conductive filled vias
US7745934B2 (en) 2000-01-18 2010-06-29 Micron Technology, Inc. Integrated circuit and seed layers
US6743716B2 (en) 2000-01-18 2004-06-01 Micron Technology, Inc. Structures and methods to enhance copper metallization
US20040169213A1 (en) * 2000-01-18 2004-09-02 Micron Technology, Inc. Integrated circuit and seed layers
US20020109233A1 (en) * 2000-01-18 2002-08-15 Micron Technology, Inc. Process for providing seed layers for integrated circuit metallurgy
US6756298B2 (en) 2000-01-18 2004-06-29 Micron Technology, Inc. Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals
US8779596B2 (en) 2000-01-18 2014-07-15 Micron Technology, Inc. Structures and methods to enhance copper metallization
US20050023699A1 (en) * 2000-01-18 2005-02-03 Micron Technology, Inc. Selective electroless-plated copper metallization
US7670469B2 (en) 2000-01-18 2010-03-02 Micron Technology, Inc. Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals
US20090001586A1 (en) * 2000-01-18 2009-01-01 Micron Technology, Inc. Integrated circuit and seed layers
US20070085213A1 (en) * 2000-01-18 2007-04-19 Micron Technology, Inc. Selective electroless-plated copper metallization
US20040206308A1 (en) * 2000-01-18 2004-10-21 Micron Technologies, Inc. Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals
US20060255462A1 (en) * 2000-01-18 2006-11-16 Micron Technology, Inc. Structures and methods to enhance copper metallization
US20070141830A1 (en) * 2000-01-18 2007-06-21 Micron Technology, Inc. Methods for making integrated-circuit wiring from copper, silver, gold, and other metals
US20020098677A1 (en) * 2000-05-31 2002-07-25 Micron Technology, Inc. Multilevel copper interconnects with low-k dielectrics and air gaps
US20040164419A1 (en) * 2000-05-31 2004-08-26 Micron Technology, Inc. Multilevel copper interconnects with low-k dielectrics and air gaps
US6416812B1 (en) * 2000-06-29 2002-07-09 International Business Machines Corporation Method for depositing copper onto a barrier layer
US7041606B2 (en) * 2000-08-31 2006-05-09 Micron Technology, Inc. Electroless deposition of doped noble metals and noble metal alloys
US20020079224A1 (en) * 2000-12-13 2002-06-27 Shu-Hiu Chen Sample analysis system with chip-based electrophoresis device
US7190250B2 (en) 2001-02-14 2007-03-13 Heetronix Encapsulation with oxide bond to borosilicate mixture
US20050168316A1 (en) * 2001-02-14 2005-08-04 Parsons James D. Encapsulation with oxide bond to borosilicate mixture
US20020109590A1 (en) * 2001-02-14 2002-08-15 Parsons James D. Bonded structure using reacted borosilicate mixture
US6995691B2 (en) * 2001-02-14 2006-02-07 Heetronix Bonded structure using reacted borosilicate mixture
US7385290B2 (en) 2001-04-19 2008-06-10 Micron Technology, Inc. Electrochemical reaction cell for a combined barrier layer and seed layer
US20020173137A1 (en) * 2001-04-19 2002-11-21 Micron Technology, Inc. Combined barrier layer and seed layer
US6852618B2 (en) 2001-04-19 2005-02-08 Micron Technology, Inc. Combined barrier layer and seed layer
US20050023516A1 (en) * 2001-04-19 2005-02-03 Micron Technology, Inc. Combined barrier layer and seed layer
US20020164838A1 (en) * 2001-05-02 2002-11-07 Moon Ow Chee Flexible ball grid array chip scale packages and methods of fabrication
US20040219783A1 (en) * 2001-07-09 2004-11-04 Micron Technology, Inc. Copper dual damascene interconnect technology
US7070687B2 (en) 2001-08-14 2006-07-04 Intel Corporation Apparatus and method of surface treatment for electrolytic and electroless plating of metals in integrated circuit manufacturing
US20030034251A1 (en) * 2001-08-14 2003-02-20 Chikarmane Vinay B. Apparatus and method of surface treatment for electrolytic and electroless plating of metals in integrated circuit manufacturing
US20040224437A1 (en) * 2001-08-21 2004-11-11 Micron Technology, Inc. Microelectronic devices including underfill apertures
US7087994B2 (en) 2001-08-21 2006-08-08 Micron Technology, Inc. Microelectronic devices including underfill apertures
US20060267171A1 (en) * 2001-08-21 2006-11-30 Micron Technology, Inc. Semiconductor device modules, semiconductor devices, and microelectronic devices
US6756251B2 (en) 2001-08-21 2004-06-29 Micron Technology, Inc. Method of manufacturing microelectronic devices, including methods of underfilling microelectronic components through an underfill aperture
KR100406592B1 (en) * 2001-12-03 2003-11-20 김재정 Fabricating method of Semiconductor Matal film
US8125065B2 (en) 2002-01-09 2012-02-28 Micron Technology, Inc. Elimination of RDL using tape base flip chip on flex for die stacking
US20030134450A1 (en) * 2002-01-09 2003-07-17 Lee Teck Kheng Elimination of RDL using tape base flip chip on flex for die stacking
US8441113B2 (en) 2002-01-09 2013-05-14 Micron Technology, Inc. Elimination of RDL using tape base flip chip on flex for die stacking
US20030164543A1 (en) * 2002-03-04 2003-09-04 Teck Kheng Lee Interposer configured to reduce the profiles of semiconductor device assemblies and packages including the same and methods
US20030166312A1 (en) * 2002-03-04 2003-09-04 Lee Teck Kheng Methods for assembly and packaging of flip chip configured dice with interposer
US20060175690A1 (en) * 2002-03-04 2006-08-10 Lee Teck K Interposer configured to reduce the profiles of semiconductor device assemblies, packages including the same, and methods
US20060240595A1 (en) * 2002-03-04 2006-10-26 Lee Teck K Method and apparatus for flip-chip packaging providing testing capability
US20040197955A1 (en) * 2002-03-04 2004-10-07 Lee Teck Kheng Methods for assembly and packaging of flip chip configured dice with interposer
US8269326B2 (en) 2002-03-04 2012-09-18 Micron Technology, Inc. Semiconductor device assemblies
US7915718B2 (en) 2002-03-04 2011-03-29 Micron Technology, Inc. Apparatus for flip-chip packaging providing testing capability
US20060284312A1 (en) * 2002-03-04 2006-12-21 Lee Teck K Flip chip packaging using recessed interposer terminals
US20040159957A1 (en) * 2002-03-04 2004-08-19 Lee Teck Kheng Interposer substrate and wafer scale interposer substrate member for use with flip-chip configured semiconductor dice
US7902648B2 (en) 2002-03-04 2011-03-08 Micron Technology, Inc. Interposer configured to reduce the profiles of semiconductor device assemblies, packages including the same, and methods
US20030164548A1 (en) * 2002-03-04 2003-09-04 Lee Teck Kheng Flip chip packaging using recessed interposer terminals
US20030164540A1 (en) * 2002-03-04 2003-09-04 Lee Teck Kheng Semiconductor die packages with recessed interconnecting structures and methods for assembling the same
US20040198033A1 (en) * 2002-08-20 2004-10-07 Lee Teck Kheng Double bumping of flexible substrate for first and second level interconnects
US20040041264A1 (en) * 2002-08-27 2004-03-04 Kloster Grant M. Method for alloy-electroplating group IB metals with refractory metals for interconnections
US6930391B2 (en) 2002-08-27 2005-08-16 Intel Corporation Method for alloy-electroplating group IB metals with refractory metals for interconnections
US20050272253A1 (en) * 2002-08-27 2005-12-08 Kloster Grant M Method for alloy-electroplating group IB metals with refractory metals for interconnections
US6743719B1 (en) 2003-01-22 2004-06-01 Texas Instruments Incorporated Method for forming a conductive copper structure
US20050032352A1 (en) * 2003-08-05 2005-02-10 Micron Technology, Inc. H2 plasma treatment
US20050194255A1 (en) * 2004-03-04 2005-09-08 Tiwari Chandra S. Self-activated electroless metal deposition
US20060035016A1 (en) * 2004-08-11 2006-02-16 Chandra Tiwari Electroless metal deposition methods
US20060263528A1 (en) * 2004-08-11 2006-11-23 Chandra Tiwari Electroless metal deposition methods
US20070232044A1 (en) * 2006-03-29 2007-10-04 Shaestagir Chowdhury Filling narrow and high aspect ratio openings with electroless deposition
US7622382B2 (en) 2006-03-29 2009-11-24 Intel Corporation Filling narrow and high aspect ratio openings with electroless deposition

Also Published As

Publication number Publication date
US6756301B2 (en) 2004-06-29
US20010011638A1 (en) 2001-08-09
US20030054632A1 (en) 2003-03-20
US6489235B2 (en) 2002-12-03

Similar Documents

Publication Publication Date Title
US6221763B1 (en) Method of forming a metal seed layer for subsequent plating
KR100528559B1 (en) Interconnect structure in a semiconductor device and method of formation
US6297154B1 (en) Process for semiconductor device fabrication having copper interconnects
US6147000A (en) Method for forming low dielectric passivation of copper interconnects
US5969422A (en) Plated copper interconnect structure
US6242349B1 (en) Method of forming copper/copper alloy interconnection with reduced electromigration
US7008872B2 (en) Use of conductive electrolessly deposited etch stop layers, liner layers and via plugs in interconnect structures
US6713875B2 (en) Barrier layer associated with a conductor layer in damascene structures
US6492722B1 (en) Metallized interconnection structure
EP0279588B1 (en) Contact in a contact hole in a semiconductor and method of producing same
US6100195A (en) Passivation of copper interconnect surfaces with a passivating metal layer
US6420258B1 (en) Selective growth of copper for advanced metallization
US20040219783A1 (en) Copper dual damascene interconnect technology
US20020090806A1 (en) Copper dual damascene interconnect technology
KR0168355B1 (en) Interconnection forming method of semiconductor device
US20020167089A1 (en) Copper dual damascene interconnect technology
US6309969B1 (en) Copper metallization structure and method of construction
US6277765B1 (en) Low-K Dielectric layer and method of making same
US6380083B1 (en) Process for semiconductor device fabrication having copper interconnects
KR100301248B1 (en) Method of forming a metal wiring in a semiconductor device
KR100265615B1 (en) Manufacturing method of a metal line for a semiconductor
US6323135B1 (en) Method of forming reliable capped copper interconnects/with high etch selectivity to capping layer
KR100421913B1 (en) Method for forming interconnect structures of semiconductor device
KR100451767B1 (en) Method for forming interconnect structures of semiconductor device
KR100451766B1 (en) Method for forming interconnect structures of semiconductor device

Legal Events

Date Code Title Description
AS Assignment

Owner name: MICRON TECHNOLOGY, IDAHO

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:CHOPRA, DINESH;GILTON, TERRY;REEL/FRAME:009888/0656

Effective date: 19990401

STCF Information on status: patent grant

Free format text: PATENTED CASE

FPAY Fee payment

Year of fee payment: 4

CC Certificate of correction
FEPP Fee payment procedure

Free format text: PAYER NUMBER DE-ASSIGNED (ORIGINAL EVENT CODE: RMPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

FPAY Fee payment

Year of fee payment: 8

FEPP Fee payment procedure

Free format text: PAYER NUMBER DE-ASSIGNED (ORIGINAL EVENT CODE: RMPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

AS Assignment

Owner name: APTINA IMAGING CORPORATION, CAYMAN ISLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:MICRON TECHNOLOGY, INC.;REEL/FRAME:023245/0186

Effective date: 20080926

Owner name: APTINA IMAGING CORPORATION,CAYMAN ISLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:MICRON TECHNOLOGY, INC.;REEL/FRAME:023245/0186

Effective date: 20080926

FEPP Fee payment procedure

Free format text: PAYER NUMBER DE-ASSIGNED (ORIGINAL EVENT CODE: RMPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

AS Assignment

Owner name: MICRON TECHNOLOGY, INC.,IDAHO

Free format text: SUBMISSION TO CONFIRM THAT ASSIGNMENT AT REEL/FRAME 023245/0186 WAS ERRONEOUSLY RECORDED AGAINST THE IDENTIFIED PATENTS/APPLICATION;ASSIGNOR:MICRON TECHNOLOGY, INC.;REEL/FRAME:023957/0323

Effective date: 20100212

Owner name: MICRON TECHNOLOGY, INC., IDAHO

Free format text: SUBMISSION TO CONFIRM THAT ASSIGNMENT AT REEL/FRAME 023245/0186 WAS ERRONEOUSLY RECORDED AGAINST THE IDENTIFIED PATENTS/APPLICATION;ASSIGNOR:MICRON TECHNOLOGY, INC.;REEL/FRAME:023957/0323

Effective date: 20100212

AS Assignment

Owner name: APTINA IMAGING CORPORATION, CAYMAN ISLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:MICRON TECHNOLOGY, INC.;REEL/FRAME:025378/0715

Effective date: 20080926

FPAY Fee payment

Year of fee payment: 12