US6217724B1 - Coated platen design for plasma immersion ion implantation - Google Patents

Coated platen design for plasma immersion ion implantation Download PDF

Info

Publication number
US6217724B1
US6217724B1 US09/215,094 US21509498A US6217724B1 US 6217724 B1 US6217724 B1 US 6217724B1 US 21509498 A US21509498 A US 21509498A US 6217724 B1 US6217724 B1 US 6217724B1
Authority
US
United States
Prior art keywords
silicon
chamber
plasma
substrate
coating
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
US09/215,094
Inventor
Paul K. Chu
Chung Chan
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Silicon Genesis Corp
Silicon General Corp
Original Assignee
Silicon General Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Silicon General Corp filed Critical Silicon General Corp
Priority to US09/215,094 priority Critical patent/US6217724B1/en
Assigned to SILICON GENESIS CORPORATION reassignment SILICON GENESIS CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CHU, PAUL K., CHAN, CHUNG
Application granted granted Critical
Publication of US6217724B1 publication Critical patent/US6217724B1/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32412Plasma immersion ion implantation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/48Ion implantation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/50Substrate holders
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/564Means for minimising impurities in the coating chamber such as dust, moisture, residual gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/507Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32559Protection means, e.g. coatings

Definitions

  • the present invention relates to the manufacture of integrated circuits. More particularly, the present invention provides a technique for selectively controlling a distribution of impurities that are implanted using a plasma immersion ion implantation or plasma ion source system for the manufacture of semiconductor integrated circuits, for example. But it will be recognized that the invention has a wider range of applicability; it can also be applied to other substrates for multi-layered integrated circuit devices, three-dimensional packaging of integrated semiconductor devices, photonic devices, piezoelectronic devices, microelectromechanical systems (“MEMS”), sensors, actuators, solar cells, flat panel displays (e.g., LCD, AMLCD), biological and biomedical devices, and the like.
  • MEMS microelectromechanical systems
  • Integrated circuits are fabricated on chips of semiconductor material. These integrated circuits often contain thousands, or even millions, of transistors and other devices. In particular, it is desirable to put as many transistors as possible within a given area of semiconductor because more transistors typically provide greater functionality, and a smaller chip means more chips per wafer and lower costs.
  • Some integrated circuits are fabricated on a slice or wafer, of single-crystal (monocrystalline) silicon, commonly termed a “bulk” silicon wafer. Devices on such “bulk” silicon wafer typically use processing techniques such as ion implantation or the like to introduce impurities or ions into the substrate. These impurities or ions are introduced into the substrate to selectively change the electrical characteristics of the substrate, and therefore devices being formed on the substrate.
  • Ion implantation provides accurate placement of impurities or ions into the substrate. Ion implantation, however, is expensive and generally cannot be used effectively for introducing impurities into a larger substrate such as glass or a semiconductor substrate, which is used for the manufacture of flat panel displays or the like.
  • Plasma treatment of large area substrates such as glass or semiconductor substrates has been proposed or used in the fabrication of flat panel displays or 300 mm silicon wafers.
  • Plasma treatment is commonly called plasma immersion ion implantation (“PIII”) or plasma source ion implantation (“PSI”).
  • Plasma treatment generally uses a chamber, which has an inductively coupled plasma source, for generating and maintaining a plasma therein.
  • a large voltage differential between the plasma and the substrate to be implanted accelerates impurities or ions from the plasma into the surface or depth of the substrate.
  • a variety of limitations exist with the convention plasma processing techniques.
  • ICP inductively or transformer coupled plasma sources
  • TCP transformer coupled plasma sources
  • ECR Electron Cyclotron Resonance
  • Helicon type sources are limited by the difficulty in scaling the resonant magnetic field to large areas when a single antenna or waveguide is used. Furthermore, most ECR sources utilize microwave power which is more expensive and difficult to tune electrically.
  • Hot cathode plasma sources have been used or proposed. The hot cathode plasma sources often produce contamination of the plasma environment due to the evaporation of cathode material. Alternatively, cold cathode sources have also be used or proposed. These cold cathode sources often produce contamination due to exposure of the cold cathode to the plasma generated.
  • Chan generally describes techniques for treating a substrate with a plasma with an improved plasma processing system.
  • the improved plasma processing system includes, among other elements, at least two rf sources, which are operative to generate a plasma in a vacuum chamber.
  • the improved plasma system provides a more uniform plasma distribution during implantation, for example. It is still desirable, however, to provide even a more uniform plasma for the manufacture of substrates.
  • Chan's techniques can create particulate contamination during implantation processes using his plasma processing system.
  • the present invention provides system with a novel susceptor with a coating that reduces particulate contamination that may attach to a substrate surface during an implantation process.
  • the present invention provides a plasma treatment system for implantation with a novel susceptor with a coating thereon.
  • the system has a variety of elements such as a chamber in which a plasma is generated in the chamber.
  • the system also has a susceptor disposed in the chamber to support a substrate such as a silicon substrate.
  • a silicon bearing compound is coated on the susceptor for reducing impurities or non-silicon materials that may sputter off of the susceptor.
  • the chamber has a plurality of substantially planar rf transparent windows on a surface of the chamber.
  • the system also has an rf generator and at least two rf sources in other embodiments.
  • a silicon bearing compound is coated onto the interior surfaces of the chamber. This coating reduces impurities or non-silicon materials that may sputter off of the interior surfaces of the chamber during plasma immersion ion implantation.
  • the present invention provides a method for forming a substrate using a plasma immersion ion implantation system.
  • the method includes a step of providing a silicon substrate, which has a surface, onto a susceptor within a plasma immersion ion implantation chamber.
  • the method then introduces and/or accelerates particles in a uniform, directional manner toward and into the surface to uniformly place the ions into a selected depth across a plane of the substrate.
  • the method sputters silicon bearing compounds off of interior chamber surfaces and portions of the susceptor. These silicon bearing compounds do not detrimentally influence the implantation process and reduce a possibility of introducing any impurities or non-silicon bearing compounds that can attach to the silicon substrate surface.
  • the present invention provides a relatively easy to implement device for improving implantation uniformity across a substrate such as a wafer in a specific embodiment.
  • the present invention provides a system that produces fewer non-silicon particles (e.g., aluminum, iron, chrome, nickel) that may introduce defects into a substrate, for example.
  • the present invention can be implemented into conventional PIII systems using kits or tools to provide the novel silicon coatings. Accordingly, the present invention is generally cost effective and easy to implement.
  • FIG. 1 is a simplified block diagram of a conventional plasma treatment system
  • FIGS. 2-8 are simplified diagrams of plasma treatment systems according to embodiments of the present invention.
  • the present invention provides an improved plasma immersion ion implantation system.
  • the present invention provides an improved pedestal (or susceptor) for securing a wafer during implantation.
  • the present invention provides a silicon coating on interior surfaces of a chamber for reducing non-silicon impurities that can attach to a silicon wafer surface. This improved pedestal and silicon coating reduce provide fewer sputtered contamination, which can be deposited on a surface of a substrate to be processed. By way of less contamination, the present system provides improved substrates and the like.
  • Each antenna 46 is connected to an rf generator 66 through a matching network 50 , through a coupling capacitor 54 .
  • Each antenna 46 also includes a tuning capacitor 58 connected in parallel with its respective antenna 46 .
  • Each of the tuning capacitors 58 is controlled by a signal D, D′, D′′ from a controller 62 .
  • D, D′, D′′ from a controller 62 .
  • the rf generator 66 is controlled by a signal E from the controller 62 .
  • the controller 62 controls the power to the antennae 46 by a signal F to the matching network 50 .
  • the controller 62 adjusts the tuning capacitors 58 and the rf generator 66 in response to a signal A from a sensor 70 monitoring the power delivered to the antennae 46 , a signal B from a fast scanning Langmuir probe 74 directly measuring the plasma density and a signal C from a plurality of Faraday cups 78 attached to a substrate wafer holder 82 .
  • the Langmuir probe 74 is scanned by moving the probe (double arrow I) into and out of the plasma.
  • the settings for the rf generator 66 and the tuning capacitors 58 may be determined by the controller prior to the actual use of the system 10 to plasma treat a substrate. Once the settings are determined, the probes are removed and the wafer to be treated is introduced. The probes are left in place during processing to permit real time control of the system. Care must be taken to not contaminate the plasma with particles evaporating from the probe and to not shadow the substrate being processed.
  • the conventional system 10 includes wafer holder 82 that is surrounded by a quartz liner 101 .
  • the quartz liner is intended to reduce unintentional contaminants sputtered from the sample stage to impinge or come in contact with the substrate 103 , which should be kept substantially free from contaminates.
  • the quartz liner is intended to reduce current load on the high voltage modulator and power supply.
  • the quartz liner often attracts impurities or ions 104 that attach themselves to the quartz liner by way of charging, as shown by FIG. 1 A. By way of this attachment, the quartz liner becomes charged, which changes the path of ions 105 from a normal trajectory 107 .
  • the chamber In addition to the limitations noted above for the susceptor, numerous limitations can also exist with the chamber.
  • commonly used materials for the chamber include, among others, stainless steel or aluminum. These materials often sputter off the interior surfaces of the chamber and redeposit onto surfaces of a substrate, which is being processed. The presence of these types of materials often places non-silicon bearing impurities onto the surface of a silicon wafer, for example. These impurities can lead to functional, as well as reliability problems, with integrated circuit devices that are fabricated on the silicon substrate. Accordingly, conventional chambers also have severe limitations with conventional plasma immersion implantation systems.
  • FIG. 2 is a simplified overview of a plasma treatment system 200 for implanting impurities according to an embodiment of the present invention.
  • system 200 includes a vacuum chamber 14 having a vacuum port 18 connected to a vacuum pump (not shown).
  • the system 10 includes a series of dielectric windows 26 vacuum sealed by o-rings 30 and attached by removable clamps 34 to the upper surface 22 of the vacuum chamber 14 .
  • rf plasma sources 40 Removably attached to some of these dielectric windows 26 are rf plasma sources 40 , in one embodiment having a helical or pancake antennae 46 located within an outer shield/ground 44 .
  • Other embodiments of the antennae using capacitive or inductive coupling may be used. Cooling of each antenna is accomplished by passing a cooling fluid through the antenna. Cooling is typically required only at higher power.
  • the windows 26 without attached rf plasma sources 40 are usable as viewing ports into the chamber 14 . The removability of each plasma source 40 permits the associated dielectric window 26 to be cleaned or the plasma source 40 replaced without the vacuum within the system 10 being removed.
  • glass windows are used in this embodiment, other dielectric material such as quartz or polyethylene may be used for the window material.
  • Each antenna 46 is connected to a rf generator 66 through a matching network 50 , through a coupling capacitor 54 .
  • Each antenna 46 also includes a tuning capacitor 58 connected in parallel with its respective antenna 46 .
  • Each of the tuning capacitors 58 is controlled by a signal D, D′, D′′ from a controller 62 .
  • the output power from each rf antenna 46 can be adjusted to maintain the uniformity of the plasma generated.
  • Other tuning means such as zero reflective power tuning may also be used to adjust the power to the antennae.
  • the rf generator 66 is controlled by a signal E from the controller 62 .
  • the controller 62 controls the power to the antennae 46 by a signal F to the matching network 50 .
  • the controller 62 adjusts the tuning capacitors 58 and the rf generator 66 in response to a signal A from a sensor 70 (such as a Real Power Monitor by Comdel, Inc., Beverly, Mass.) monitoring the power delivered to the antennae 46 , a signal B from a fast scanning Langmuir probe 74 directly measuring the plasma density and a signal C from a plurality of Faraday cups 78 attached to a substrate wafer holder 82 .
  • the Langmuir probe 74 is scanned by moving the probe (double arrow I) into and out of the plasma. With these sensors, the settings for the rf generator 66 and the tuning capacitors 58 may be determined by the controller prior to the actual use of the system 10 to plasma treat a substrate.
  • the probes are removed and the wafer to be treated is introduced.
  • the probes are left in place during processing to permit real time control of the system.
  • care must be taken to not contaminate the plasma with particles evaporating from the probe and to not shadow the substrate being processed.
  • the characteristics of the system are determined at manufacture and the system does not include plasma probe.
  • the present system includes a novel susceptor design 82 using a silicon coating 205 .
  • the silicon coating 205 is defined on substantially all surfaces, including top, sides, and bottom, of the susceptor 82 , which holds silicon wafer 201 .
  • the silicon coating includes a silicon bearing compound.
  • the silicon coating is desirable in a process using silicon wafers or the like.
  • the coating can be made of any suitable material that is sufficiently resistant to implantation and temperature influences.
  • the silicon coating can be an amorphous silicon layer, a crystalline silicon, or a polysilicon thickness for providing protection or isolating the base susceptor material 211 , as shown in FIG. 2A, for example.
  • the silicon coating can be applied to the susceptor using a variety of deposition techniques such as chemical vapor deposition, physical vapor deposition, and others.
  • the base susceptor material can be a variety of materials such as stainless steel, aluminum, and others. Accordingly, an ion 213 impinging on susceptor coating 205 can remove a silicon bearing compound that is deposited on substrate 201 . Since the coating is made of the same or similar material as the silicon substrate 201 , substantially no damage occurs to the substrate during implantation of ions 207 .
  • the silicon coating is often about 0.5 micrometers to about 2.0 micrometers or thicker, depending upon the embodiment.
  • the present invention also includes a silicon coating 203 that is defined on the interior surfaces of the chamber.
  • the silicon coating includes a silicon bearing compound.
  • the silicon coating is desirable in a process using silicon wafers or the like.
  • the coating can be made of any suitable material that is sufficiently resistant to implantation and temperature influences.
  • the silicon coating can be applied to the susceptor using a variety of deposition techniques such as chemical vapor deposition, physical vapor deposition, and others.
  • the silicon coating can be an amorphous silicon layer, a crystalline silicon, or a polysilicon thickness for providing protection or isolating the base chamber material 215 .
  • the silicon coating is often about 0.5 micrometers to about 2.0 micrometers or thicker, depending upon the embodiment.
  • the base chamber material can be a variety of materials such as stainless steel, aluminum, and others. Accordingly, an ion 209 impinging on silicon coating 203 can remove a silicon bearing compound from the coating that is deposited on substrate 201 . Since the coating is made of the same or similar material as the silicon substrate 201 , substantially no damage occurs to the substrate during implantation of ions 207 .
  • FIG. 2B is a simplified top-view diagram of system 200 having a silicon liner according to the present invention.
  • the system shows a variety of elements such as base chamber material 215 and silicon coating 203 or liner that is defined on the base chamber material.
  • the system includes a feed location 221 and an exhaust location 223 .
  • the system includes chamber walls that are made of panels 225 , which are circularly shaped (i.e., polygon) to form a cylindrically shaped liner.
  • the panels are attached to each other using fasteners or welded together.
  • Each panel is made of a plurality of flat silicon substrates 227 , which are each housed in a frame 229 .
  • the silicon substrates can be in the form of square wafers and the frame can be made of stainless steel or the like.
  • FIGS. 2C and 2D are simplified side-view diagrams of an expanded chamber sidewall or liner according to embodiments of the present invention.
  • the expanded chamber sidewall illustrates a plurality of silicon substrates 227 , which are grouped together to form panels 253 .
  • the panels run parallel to each other and are folded in a manner to form the cylindrically shaped liner.
  • Each of the substrates is housed or disposed in stainless steel frame 229 and aligned vertically to form the panel.
  • the frame runs in horizontal and vertical sections, which are normal to each other for strength and design.
  • the chamber sidewall also includes openings 228 and 231 for facilities or chamber elements, e.g., sensors.
  • Each substrate is housed in frame 229 , which is covered by the substrate.
  • a stainless steel clip 233 holds or secures each of substrate into the frame.
  • the clip generally uses friction forces to secure the clip into the frame, which holds the substrate.
  • a portion of the stainless steel clip is exposed to the interior of the chamber.
  • FIG. 2E is an expanded top-view diagram of a chamber, having the silicon coating and liner, according to embodiments of the present invention.
  • the chamber includes a variety of elements such as panel 253 , which is made of the plurality of silicon substrates 227 .
  • the susceptor is coated 205 also with silicon.
  • a bottom region 251 of the chamber, which underlies the susceptor, is also lined with silicon.
  • the panels are attached to each other to form a cylindrical liner.
  • the cylindrical liner lines the interior periphery of the chamber to provide “walls” for the chamber.
  • a bottom portion of the housing sits on the bottom region 251 .
  • a top portion of the housing faces a chamber top that holds the inductive coils.
  • Most of the interior surfaces of the chamber are lined with silicon material, including the silicon coating, silicon liner, and others. In a specific embodiment, the interior surfaces are at least 70% silicon or at least 90% silicon, but are not limited to these percentages.
  • FIG. 2F is a simplified perspective diagram 200 of chamber liner, which is not in the chamber.
  • the liner is often assembled outside of the chamber for manufacturing ease.
  • the liner is then placed into the chamber.
  • a chamber top is removed to expose the inner portion of the chamber.
  • the chamber liner is lifted from an outside position, and is inserted into the chamber opening.
  • the chamber liner can be fastened to the bottom of the chamber, as well as the top of the chamber by way of screws, snaps, and other fasteners.
  • the chamber liner can be removed from the chamber by removing the fasteners and lifting the liner out from the top portion of the chamber.
  • a substrate in the liner can often become damaged or the like. Rather than replacing one of the silicon substrates in the chamber, the entire liner can be removed and reconditioned.
  • the above description have been generally described in terms of a silicon liner, it can be replaced by a variety of other materials.
  • the silicon liner can be replaced by quartz or other impurity free material.
  • quartz or other impurity free material can be replaced by quartz or other impurity free material.
  • the configuration of plasma sources 40 may be such that a plurality of physically smaller plasma sources 40 produce a uniform plasma over an area greater than that of sum of the areas of the individual sources.
  • four-inch diameter plasma sources 40 spaced at the corners of a square at six inch centers produce a plasma substantially equivalent to that generated by a single twelve inch diameter source. Therefore, by providing a vacuum chamber 14 with a plurality of windows 26 , the various configurations of plasma sources 40 may be formed to produce a uniform plasma of the shape and uniformity desired. Antennae such as those depicted do not result in rf interference between sources when properly shielded as shown.
  • Multiple rf plasma sources can excite electron cyclotron resonance in the presence of a multi-dipole surface magnetic field.
  • a surface magnetic field would, for example, be approximately 1 KG at the pole face and would drop to a few Gauss at about 10 cm. from the pole face.
  • the fundamental electron cyclotron resonance frequency is 13.56 MHz (that is, the frequency supplied by the rf generator) the magnetic field required (as applied by the magnets) is 4.8 G, for resonance coupling to take place.
  • the Faraday cups 78 used to measure the uniformity of the field and the plasma dose are positioned near one edge in the surface of the wafer holder 82 (FIG. 4 ).
  • the flat edge 86 of wafer 90 is positioned on the wafer holder 82 such that Faraday cups 78 of the wafer holder 82 are exposed to the plasma. In this way the plasma dose experienced by the wafer 90 can be directly measured.
  • a special wafer 90 ′ is fabricated with a plurality of Faraday cups 78 embedded in the wafer 90 ′. This special wafer 90 ′ is used to set the rf generator 66 and the tuning capacitors 58 to achieve the desired plasma density and uniformity. Once the operating parameters have been determined, the special wafer 90 ′ is removed and the wafers 90 to be processed placed on the wafer holder 82 .
  • the system 200 has been described in terms of a planar array of plasma sources 40 located on the upper surface of the vacuum chamber 14 , the plasma sources 40 may be distributed over other surfaces of the vacuum chamber 14 ′ to generate a uniform volume of plasma. Such a system is particularly effective in batch processing.
  • a quartz window 100 is not attached to the vacuum chamber 14 , but instead encloses one end of the shield 44 of the plasma source 40 ′.
  • a tube 104 attached to an opening 108 in the quartz window 100 provides a gas feed to form a plasma of a specific gas.
  • the plasma source 40 ′ is not attached to a window 26 in the wall of the vacuum chamber 14 , but is instead attached to the vacuum chamber 14 itself.
  • Such plasma sources 40 ′ can produce plasmas from specific gasses as are required by many processes. Several such plasma sources 40 ′ can be aligned to sequentially treat a wafer 90 with different plasmas as in the embodiment of the in line system shown in FIG. 7 .
  • wafers 90 are moved by a conveyor 112 through sequential zones, in this embodiment zones I and II, of a continuous processing line 114 . Each zone is separated from the adjacent zones by a baffle 116 .
  • the gas in zone I is SiH 4 used in Si-CVD processing, while the gas in zone II is PH 3 used in doping.
  • a cluster tool having load-locks to isolate each processing chamber from the other chambers, and equipped with a robot includes the rf plasma sources 40 of the invention for plasma CVD and plasma etching.
  • FIG. 8 depicts an embodiment of the system of the invention using two plasma sources.
  • each source is an inductive pancake antenna 3-4 inches in diameter.
  • Each antenna 46 is constructed of a 1 ⁇ 4 inch copper tube and contains 5-6 turns.
  • Each antenna 46 is connected to a matching network 50 through a respective 160 pf capacitor.
  • the matching network 50 includes a 0.03 mu H inductor 125 and two variable capacitors 130 , 135 .
  • One variable capacitor 130 is adjustable over the range of 10-250 pf and the second capacitor 135 is adjustable over the range of 5-120 pf.
  • the matching network 50 is tuned by adjusting the variable capacitor 130 , 135 .
  • the matching network 50 is in turn connected to an rf source 66 operating at 13.56 mHz.
  • a series of magnets 140 , 145 are positioned around the circumference of the chamber in alternating polarity every 7 cm to form a magnetic bucket.
  • the power to the antenna 46 is 25 W per antenna or about 50 W total. With the pressure in the chamber reduced to 0.1 m Torr, the power is increased to 200 W per antenna or 400 W total. The resulting plasma at 50 W total power has a substantially uniform density of 10 11 atoms/cm 3 . The uniformity and the density may be further improved using four of such sources.
  • the power to the antenna 46 is 25 W per antenna or about 50 W total. With the pressure in the chamber reduced to 0.1 m Torr, the power is increased to 200 W per antenna or 400 W total. The resulting plasma at 50 W total power has a substantially uniform density of 10 11 atoms/cm 3 . The uniformity and the density may be further improved using four of such sources.
  • the present invention operates at high temperature for light particle implanting processes.
  • the light particle process can implant a variety of materials such as hydrogen, helium, quartz, and others.
  • the light particles, which are implanted at high temperature do not accumulate in any of the chamber materials, e.g., silicon, silicon liner. They tend to diffuse out of such materials, which prevents “pealing” of the silicon or silicon liner material.
  • implanting of a hydrogen bearing compound e.g., H 2
  • the high temperature operation generally does not allow any of the light particles to cause damage to the silicon or silicon liner material.
  • the improved susceptor design can be combined with the embodiments of the other Figs.
  • the embodiments of the other Figs. can be combined with one or more of the other embodiments.
  • the various embodiments can be further combined or even separated depending upon the application. Accordingly, the present invention has a much wider range of applicability than the specific embodiments described herein.
  • the present invention can also be applied to a variety of other plasma systems.
  • the present invention can be applied to a plasma source ion implantation system.
  • the present invention can be applied to almost any plasma system where ion bombardment of an exposed region of a pedestal occurs. Accordingly, the above description is merely an example and should not limit the scope of the claims herein.
  • One of ordinary skill in the art would recognize other variations, alternatives, and modifications.

Abstract

A plasma treatment system (200) for implantation with a novel susceptor with a silicon coating (203). The system (200) has a variety of elements such as a chamber, which can have a silicon coating formed thereon, in which a plasma is generated in the chamber. The system (200) also has a susceptor disposed in the chamber to support a silicon substrate. The silicon coating reduces non-silicon impurities that may attach to the silicon substrate. In a specific embodiment, the chamber has a plurality of substantially planar rf transparent windows (26) on a surface of the chamber. The system (200) also has an rf generator (66) and at least two rf sources in other embodiments.

Description

CROSS REFERENCES TO RELATED APPLICATIONS
The present patent application claims priority to U.S. Provisional Patent Application Ser. No. 60/074,397 filed Feb. 11, 1998, which is hereby incorporated by reference for all purposes.
The following two commonly-owned copending applications, including this one, are being filed concurrently and the other one is hereby incorporated by reference in its entirety for all purposes:
1. U.S. patent application Ser. No. 09/215,094, allowed Chu et al., entitled, “Coated Platen Design For Plasma Immersion Ion Implantation,”; and
2. U.S. patent application Ser. No. 09/216,035, now U.S. Pat. No. 6,120,660 Chu et al., entitled, “Removable Liner Design For Plasma Immersion Ion Implantation”.
BACKGROUND OF THE INVENTION
The present invention relates to the manufacture of integrated circuits. More particularly, the present invention provides a technique for selectively controlling a distribution of impurities that are implanted using a plasma immersion ion implantation or plasma ion source system for the manufacture of semiconductor integrated circuits, for example. But it will be recognized that the invention has a wider range of applicability; it can also be applied to other substrates for multi-layered integrated circuit devices, three-dimensional packaging of integrated semiconductor devices, photonic devices, piezoelectronic devices, microelectromechanical systems (“MEMS”), sensors, actuators, solar cells, flat panel displays (e.g., LCD, AMLCD), biological and biomedical devices, and the like.
Integrated circuits are fabricated on chips of semiconductor material. These integrated circuits often contain thousands, or even millions, of transistors and other devices. In particular, it is desirable to put as many transistors as possible within a given area of semiconductor because more transistors typically provide greater functionality, and a smaller chip means more chips per wafer and lower costs. Some integrated circuits are fabricated on a slice or wafer, of single-crystal (monocrystalline) silicon, commonly termed a “bulk” silicon wafer. Devices on such “bulk” silicon wafer typically use processing techniques such as ion implantation or the like to introduce impurities or ions into the substrate. These impurities or ions are introduced into the substrate to selectively change the electrical characteristics of the substrate, and therefore devices being formed on the substrate. Ion implantation provides accurate placement of impurities or ions into the substrate. Ion implantation, however, is expensive and generally cannot be used effectively for introducing impurities into a larger substrate such as glass or a semiconductor substrate, which is used for the manufacture of flat panel displays or the like.
Accordingly, plasma treatment of large area substrates such as glass or semiconductor substrates has been proposed or used in the fabrication of flat panel displays or 300 mm silicon wafers. Plasma treatment is commonly called plasma immersion ion implantation (“PIII”) or plasma source ion implantation (“PSI”). Plasma treatment generally uses a chamber, which has an inductively coupled plasma source, for generating and maintaining a plasma therein. A large voltage differential between the plasma and the substrate to be implanted accelerates impurities or ions from the plasma into the surface or depth of the substrate. A variety of limitations exist with the convention plasma processing techniques.
A major limitation with conventional plasma processing techniques is the maintenance of the uniformity of the plasma density and chemistry over such a large area is often difficult. As merely an example, inductively or transformer coupled plasma sources (“ICP” and “TCP,” respectively) are affected both by difficulties of maintaining plasma uniformity using inductive coil antenna designs. Additionally, these sources are often costly and generally difficult to maintain, in part, because such sources which require large and thick quartz windows for coupling the antenna radiation into the processing chamber. The thick quartz windows often cause an increase in rf power (or reduction in efficiency) due to heat dissipation within the window.
Other techniques such as Electron Cyclotron Resonance (“ECR”) and Helicon type sources are limited by the difficulty in scaling the resonant magnetic field to large areas when a single antenna or waveguide is used. Furthermore, most ECR sources utilize microwave power which is more expensive and difficult to tune electrically. Hot cathode plasma sources have been used or proposed. The hot cathode plasma sources often produce contamination of the plasma environment due to the evaporation of cathode material. Alternatively, cold cathode sources have also be used or proposed. These cold cathode sources often produce contamination due to exposure of the cold cathode to the plasma generated.
A pioneering technique has been developed to improve or, perhaps, even replace these conventional sources for implantation of impurities. This technique has been developed by Chung Chan of Waban Technology in Massachusetts, now Silicon Genesis Corporation, and has been described in U.S. Pat. No. 5,653,811 (“Chan”), which is hereby incorporated by reference herein for all purposes. Chan generally describes techniques for treating a substrate with a plasma with an improved plasma processing system. The improved plasma processing system, includes, among other elements, at least two rf sources, which are operative to generate a plasma in a vacuum chamber. By way of the multiple sources, the improved plasma system provides a more uniform plasma distribution during implantation, for example. It is still desirable, however, to provide even a more uniform plasma for the manufacture of substrates. Additionally, Chan's techniques can create particulate contamination during implantation processes using his plasma processing system.
From the above, it is seen that an improved technique for introducing impurities into a substrate is highly desired.
SUMMARY OF THE INVENTION
According to the present invention, a technique including a method and system for introducing impurities into a substrate using plasma immersion ion implantation is provided. In an exemplary embodiment, the present invention provides system with a novel susceptor with a coating that reduces particulate contamination that may attach to a substrate surface during an implantation process.
In a specific embodiment, the present invention provides a plasma treatment system for implantation with a novel susceptor with a coating thereon. The system has a variety of elements such as a chamber in which a plasma is generated in the chamber. The system also has a susceptor disposed in the chamber to support a substrate such as a silicon substrate. A silicon bearing compound is coated on the susceptor for reducing impurities or non-silicon materials that may sputter off of the susceptor. In a specific embodiment, the chamber has a plurality of substantially planar rf transparent windows on a surface of the chamber. The system also has an rf generator and at least two rf sources in other embodiments. A silicon bearing compound is coated onto the interior surfaces of the chamber. This coating reduces impurities or non-silicon materials that may sputter off of the interior surfaces of the chamber during plasma immersion ion implantation.
In an alternative embodiment, the present invention provides a method for forming a substrate using a plasma immersion ion implantation system. The method includes a step of providing a silicon substrate, which has a surface, onto a susceptor within a plasma immersion ion implantation chamber. The method then introduces and/or accelerates particles in a uniform, directional manner toward and into the surface to uniformly place the ions into a selected depth across a plane of the substrate. During the introducing step, the method sputters silicon bearing compounds off of interior chamber surfaces and portions of the susceptor. These silicon bearing compounds do not detrimentally influence the implantation process and reduce a possibility of introducing any impurities or non-silicon bearing compounds that can attach to the silicon substrate surface.
Numerous advantages are achieved by way of the present invention over conventional techniques. For example, the present invention provides a relatively easy to implement device for improving implantation uniformity across a substrate such as a wafer in a specific embodiment. In some embodiments, the present invention provides a system that produces fewer non-silicon particles (e.g., aluminum, iron, chrome, nickel) that may introduce defects into a substrate, for example. In still other embodiments, the present invention can be implemented into conventional PIII systems using kits or tools to provide the novel silicon coatings. Accordingly, the present invention is generally cost effective and easy to implement. These and other advantages or benefits are described throughout the present specification and are described more particularly below.
These and other embodiments of the present invention, as well as its advantages and features are described in more detail in conjunction with the text below and attached Figs.
BRIEF DESCRIPTION OF THE DRAWINGS
FIG. 1 is a simplified block diagram of a conventional plasma treatment system; and
FIGS. 2-8 are simplified diagrams of plasma treatment systems according to embodiments of the present invention
DESCRIPTION OF SPECIFIC EMBODIMENTS
The present invention provides an improved plasma immersion ion implantation system. In an exemplary embodiment, the present invention provides an improved pedestal (or susceptor) for securing a wafer during implantation. Additionally, the present invention provides a silicon coating on interior surfaces of a chamber for reducing non-silicon impurities that can attach to a silicon wafer surface. This improved pedestal and silicon coating reduce provide fewer sputtered contamination, which can be deposited on a surface of a substrate to be processed. By way of less contamination, the present system provides improved substrates and the like.
1. Conventional Plasma Processing System
In brief overview and referring to FIG. 1, conventional plasma processing system 10 includes a vacuum chamber 14 having a vacuum port 18 connected to a vacuum pump (not shown). The system 10 includes a series of dielectric windows 26 vacuum sealed by o-rings 30 and attached by removable clamps 34 to the upper surface 22 of the vacuum chamber 14. Removably attached to some of these dielectric windows 26 are rf plasma sources 40, in a system having a helical or pancake antennae 46 located within an outer shield/ground 44. Cooling of each antenna is accomplished by passing a cooling fluid through the antenna. Cooling is typically required only at higher power. The windows 26 without attached rf plasma sources 40 are usable as viewing ports into the chamber 14. The removability of each plasma source 40 permits the associated dielectric window 26 to be cleaned or the plasma source 40 replaced without the vacuum within the system 10 being removed. Although glass windows are used, other dielectric material such as quartz or polyethylene may be used for the window material.
Each antenna 46 is connected to an rf generator 66 through a matching network 50, through a coupling capacitor 54. Each antenna 46 also includes a tuning capacitor 58 connected in parallel with its respective antenna 46. Each of the tuning capacitors 58 is controlled by a signal D, D′, D″ from a controller 62. By individually adjusting the tuning capacitors 85, the output power from each rf antenna 46 can be adjusted to maintain the uniformity of the plasma generated. Other tuning means such as zero reflective power tuning may also be used to adjust the power to the antennae. The rf generator 66 is controlled by a signal E from the controller 62. The controller 62 controls the power to the antennae 46 by a signal F to the matching network 50.
The controller 62 adjusts the tuning capacitors 58 and the rf generator 66 in response to a signal A from a sensor 70 monitoring the power delivered to the antennae 46, a signal B from a fast scanning Langmuir probe 74 directly measuring the plasma density and a signal C from a plurality of Faraday cups 78 attached to a substrate wafer holder 82. The Langmuir probe 74 is scanned by moving the probe (double arrow I) into and out of the plasma. With these sensors, the settings for the rf generator 66 and the tuning capacitors 58 may be determined by the controller prior to the actual use of the system 10 to plasma treat a substrate. Once the settings are determined, the probes are removed and the wafer to be treated is introduced. The probes are left in place during processing to permit real time control of the system. Care must be taken to not contaminate the plasma with particles evaporating from the probe and to not shadow the substrate being processed.
This conventional system has numerous limitations. For example, the conventional system 10 includes wafer holder 82 that is surrounded by a quartz liner 101. The quartz liner is intended to reduce unintentional contaminants sputtered from the sample stage to impinge or come in contact with the substrate 103, which should be kept substantially free from contaminates. Additionally, the quartz liner is intended to reduce current load on the high voltage modulator and power supply. The quartz liner, however, often attracts impurities or ions 104 that attach themselves to the quartz liner by way of charging, as shown by FIG. 1A. By way of this attachment, the quartz liner becomes charged, which changes the path of ions 105 from a normal trajectory 107. The change in path can cause non-uniformities during a plasma immersion implantation process. FIG. 1B shows a simplified top-view diagram of substrate 103 that has high concentration regions 111 and 109, which indicate non-uniformity. In some conventional systems, the liner can also be made of a material such as aluminum. Aluminum is problematic in conventional processing since aluminum particles can sputter off of the liner and attach themselves to the substrate. Aluminum particles on the substrate can cause a variety of functional and reliability problems in devices that are manufactured on the substrate. A wafer stage made of stainless steel can introduce particulate contamination such as iron, chromium, nickel, and others to the substrate. A paper authored by Zhineng Fan, Paul K. Chu, Chung Chan, and Nathan W. Cheung, entitled “Dose and Energy Non-Uniformity Caused By Focusing Effects During Plasma Immersion Ion Implantation,” published in Applied Physics Letters in 1998 describes some of the limitations mentioned herein.
In addition to the limitations noted above for the susceptor, numerous limitations can also exist with the chamber. For example, commonly used materials for the chamber include, among others, stainless steel or aluminum. These materials often sputter off the interior surfaces of the chamber and redeposit onto surfaces of a substrate, which is being processed. The presence of these types of materials often places non-silicon bearing impurities onto the surface of a silicon wafer, for example. These impurities can lead to functional, as well as reliability problems, with integrated circuit devices that are fabricated on the silicon substrate. Accordingly, conventional chambers also have severe limitations with conventional plasma immersion implantation systems.
2. Present Plasma Immersion Systems
FIG. 2 is a simplified overview of a plasma treatment system 200 for implanting impurities according to an embodiment of the present invention. This diagram is merely and illustration and should not limit the scope of the claims herein. One of ordinary skill in the art would recognize other variations, modifications, and alternatives. For easy reading, some of the reference numerals used in FIG. 1 are used in FIG. 2 and others. In a specific embodiment, system 200 includes a vacuum chamber 14 having a vacuum port 18 connected to a vacuum pump (not shown). The system 10 includes a series of dielectric windows 26 vacuum sealed by o-rings 30 and attached by removable clamps 34 to the upper surface 22 of the vacuum chamber 14. Removably attached to some of these dielectric windows 26 are rf plasma sources 40, in one embodiment having a helical or pancake antennae 46 located within an outer shield/ground 44. Other embodiments of the antennae using capacitive or inductive coupling may be used. Cooling of each antenna is accomplished by passing a cooling fluid through the antenna. Cooling is typically required only at higher power. The windows 26 without attached rf plasma sources 40 are usable as viewing ports into the chamber 14. The removability of each plasma source 40 permits the associated dielectric window 26 to be cleaned or the plasma source 40 replaced without the vacuum within the system 10 being removed. Although glass windows are used in this embodiment, other dielectric material such as quartz or polyethylene may be used for the window material.
Each antenna 46 is connected to a rf generator 66 through a matching network 50, through a coupling capacitor 54. Each antenna 46 also includes a tuning capacitor 58 connected in parallel with its respective antenna 46. Each of the tuning capacitors 58 is controlled by a signal D, D′, D″ from a controller 62. By individually adjusting the tuning capacitors 85, the output power from each rf antenna 46 can be adjusted to maintain the uniformity of the plasma generated. Other tuning means such as zero reflective power tuning may also be used to adjust the power to the antennae. In one embodiment, the rf generator 66 is controlled by a signal E from the controller 62. In one embodiment, the controller 62 controls the power to the antennae 46 by a signal F to the matching network 50.
The controller 62 adjusts the tuning capacitors 58 and the rf generator 66 in response to a signal A from a sensor 70 (such as a Real Power Monitor by Comdel, Inc., Beverly, Mass.) monitoring the power delivered to the antennae 46, a signal B from a fast scanning Langmuir probe 74 directly measuring the plasma density and a signal C from a plurality of Faraday cups 78 attached to a substrate wafer holder 82. The Langmuir probe 74 is scanned by moving the probe (double arrow I) into and out of the plasma. With these sensors, the settings for the rf generator 66 and the tuning capacitors 58 may be determined by the controller prior to the actual use of the system 10 to plasma treat a substrate. Once the settings are determined, the probes are removed and the wafer to be treated is introduced. In another embodiment of the system, the probes are left in place during processing to permit real time control of the system. In such an embodiment using a Langmuir probe, care must be taken to not contaminate the plasma with particles evaporating from the probe and to not shadow the substrate being processed. In yet another embodiment of the system, the characteristics of the system are determined at manufacture and the system does not include plasma probe.
In a specific embodiment, the present system includes a novel susceptor design 82 using a silicon coating 205. The silicon coating 205 is defined on substantially all surfaces, including top, sides, and bottom, of the susceptor 82, which holds silicon wafer 201. The silicon coating includes a silicon bearing compound. In most embodiments, the silicon coating is desirable in a process using silicon wafers or the like. The coating can be made of any suitable material that is sufficiently resistant to implantation and temperature influences. As merely an example, the silicon coating can be an amorphous silicon layer, a crystalline silicon, or a polysilicon thickness for providing protection or isolating the base susceptor material 211, as shown in FIG. 2A, for example. The silicon coating can be applied to the susceptor using a variety of deposition techniques such as chemical vapor deposition, physical vapor deposition, and others. The base susceptor material can be a variety of materials such as stainless steel, aluminum, and others. Accordingly, an ion 213 impinging on susceptor coating 205 can remove a silicon bearing compound that is deposited on substrate 201. Since the coating is made of the same or similar material as the silicon substrate 201, substantially no damage occurs to the substrate during implantation of ions 207. The silicon coating is often about 0.5 micrometers to about 2.0 micrometers or thicker, depending upon the embodiment.
In another embodiment, the present invention also includes a silicon coating 203 that is defined on the interior surfaces of the chamber. The silicon coating includes a silicon bearing compound. In most embodiments, the silicon coating is desirable in a process using silicon wafers or the like. The coating can be made of any suitable material that is sufficiently resistant to implantation and temperature influences. The silicon coating can be applied to the susceptor using a variety of deposition techniques such as chemical vapor deposition, physical vapor deposition, and others. As merely an example, the silicon coating can be an amorphous silicon layer, a crystalline silicon, or a polysilicon thickness for providing protection or isolating the base chamber material 215. The silicon coating is often about 0.5 micrometers to about 2.0 micrometers or thicker, depending upon the embodiment. The base chamber material can be a variety of materials such as stainless steel, aluminum, and others. Accordingly, an ion 209 impinging on silicon coating 203 can remove a silicon bearing compound from the coating that is deposited on substrate 201. Since the coating is made of the same or similar material as the silicon substrate 201, substantially no damage occurs to the substrate during implantation of ions 207.
In an alternative embodiment, the interior chamber coating can be formed using a silicon liner material. FIG. 2B is a simplified top-view diagram of system 200 having a silicon liner according to the present invention. The system shows a variety of elements such as base chamber material 215 and silicon coating 203 or liner that is defined on the base chamber material. Additionally, the system includes a feed location 221 and an exhaust location 223. In this specific embodiment, the system includes chamber walls that are made of panels 225, which are circularly shaped (i.e., polygon) to form a cylindrically shaped liner. The panels are attached to each other using fasteners or welded together. Each panel is made of a plurality of flat silicon substrates 227, which are each housed in a frame 229. The silicon substrates can be in the form of square wafers and the frame can be made of stainless steel or the like.
FIGS. 2C and 2D are simplified side-view diagrams of an expanded chamber sidewall or liner according to embodiments of the present invention. The expanded chamber sidewall illustrates a plurality of silicon substrates 227, which are grouped together to form panels 253. The panels run parallel to each other and are folded in a manner to form the cylindrically shaped liner. Each of the substrates is housed or disposed in stainless steel frame 229 and aligned vertically to form the panel. The frame runs in horizontal and vertical sections, which are normal to each other for strength and design. The chamber sidewall also includes openings 228 and 231 for facilities or chamber elements, e.g., sensors. Each substrate is housed in frame 229, which is covered by the substrate. That is, the frame is not exposed to the interior of the chamber. A stainless steel clip 233 holds or secures each of substrate into the frame. The clip generally uses friction forces to secure the clip into the frame, which holds the substrate. In this embodiment, a portion of the stainless steel clip is exposed to the interior of the chamber.
FIG. 2E is an expanded top-view diagram of a chamber, having the silicon coating and liner, according to embodiments of the present invention. The chamber includes a variety of elements such as panel 253, which is made of the plurality of silicon substrates 227. The susceptor is coated 205 also with silicon. A bottom region 251 of the chamber, which underlies the susceptor, is also lined with silicon. As shown, the panels are attached to each other to form a cylindrical liner. The cylindrical liner lines the interior periphery of the chamber to provide “walls” for the chamber. A bottom portion of the housing sits on the bottom region 251. A top portion of the housing faces a chamber top that holds the inductive coils. Most of the interior surfaces of the chamber are lined with silicon material, including the silicon coating, silicon liner, and others. In a specific embodiment, the interior surfaces are at least 70% silicon or at least 90% silicon, but are not limited to these percentages.
FIG. 2F is a simplified perspective diagram 200 of chamber liner, which is not in the chamber. The liner is often assembled outside of the chamber for manufacturing ease. The liner is then placed into the chamber. In particular, a chamber top is removed to expose the inner portion of the chamber. The chamber liner is lifted from an outside position, and is inserted into the chamber opening. Depending upon the application, the chamber liner can be fastened to the bottom of the chamber, as well as the top of the chamber by way of screws, snaps, and other fasteners. In one embodiment, the chamber liner can be removed from the chamber by removing the fasteners and lifting the liner out from the top portion of the chamber. A substrate in the liner can often become damaged or the like. Rather than replacing one of the silicon substrates in the chamber, the entire liner can be removed and reconditioned.
Although the above description have been generally described in terms of a silicon liner, it can be replaced by a variety of other materials. For example, the silicon liner can be replaced by quartz or other impurity free material. Depending upon the application, one of ordinary skill in the art would recognize other variations, modifications, and alternatives.
Referring to FIG. 3, the configuration of plasma sources 40 may be such that a plurality of physically smaller plasma sources 40 produce a uniform plasma over an area greater than that of sum of the areas of the individual sources. In the embodiment of the configuration shown, four-inch diameter plasma sources 40 spaced at the corners of a square at six inch centers produce a plasma substantially equivalent to that generated by a single twelve inch diameter source. Therefore, by providing a vacuum chamber 14 with a plurality of windows 26, the various configurations of plasma sources 40 may be formed to produce a uniform plasma of the shape and uniformity desired. Antennae such as those depicted do not result in rf interference between sources when properly shielded as shown.
Multiple rf plasma sources can excite electron cyclotron resonance in the presence of a multi-dipole surface magnetic field. Such a surface magnetic field would, for example, be approximately 1 KG at the pole face and would drop to a few Gauss at about 10 cm. from the pole face. In such a system, electron cyclotron resonance may be established, with the electron cyclotron resonance frequency (in Hz) being given by the expression nu=2.8×106 (B) where B is the magnetic field strength in Gauss. Thus, if the fundamental electron cyclotron resonance frequency is 13.56 MHz (that is, the frequency supplied by the rf generator) the magnetic field required (as applied by the magnets) is 4.8 G, for resonance coupling to take place. Higher harmonics of the fundamental resonance frequency may be achieved by increasing the magnetic field proportionately. Thus for a second harmonic to be coupled, the magnetic field would have to be increased to 9.6 G. Such ECR coupling is most effective at lower pressures (P<1 mTorr). The use of the small rf plasma sources permit such magnets to be positioned so as to make electron cyclotron resonance possible.
The Faraday cups 78 used to measure the uniformity of the field and the plasma dose, in one embodiment, are positioned near one edge in the surface of the wafer holder 82 (FIG. 4). The flat edge 86 of wafer 90 is positioned on the wafer holder 82 such that Faraday cups 78 of the wafer holder 82 are exposed to the plasma. In this way the plasma dose experienced by the wafer 90 can be directly measured. Alternatively, a special wafer 90′, as shown in FIG. 4A, is fabricated with a plurality of Faraday cups 78 embedded in the wafer 90′. This special wafer 90′ is used to set the rf generator 66 and the tuning capacitors 58 to achieve the desired plasma density and uniformity. Once the operating parameters have been determined, the special wafer 90′ is removed and the wafers 90 to be processed placed on the wafer holder 82.
Referring to FIG. 5, although the system 200 has been described in terms of a planar array of plasma sources 40 located on the upper surface of the vacuum chamber 14, the plasma sources 40 may be distributed over other surfaces of the vacuum chamber 14′ to generate a uniform volume of plasma. Such a system is particularly effective in batch processing.
Referring to FIG. 6, in another embodiment, a quartz window 100 is not attached to the vacuum chamber 14, but instead encloses one end of the shield 44 of the plasma source 40′. In this embodiment, a tube 104 attached to an opening 108 in the quartz window 100 provides a gas feed to form a plasma of a specific gas. In this case, the plasma source 40′ is not attached to a window 26 in the wall of the vacuum chamber 14, but is instead attached to the vacuum chamber 14 itself. Such plasma sources 40′ can produce plasmas from specific gasses as are required by many processes. Several such plasma sources 40′ can be aligned to sequentially treat a wafer 90 with different plasmas as in the embodiment of the in line system shown in FIG. 7. In this embodiment, wafers 90 are moved by a conveyor 112 through sequential zones, in this embodiment zones I and II, of a continuous processing line 114. Each zone is separated from the adjacent zones by a baffle 116. In one embodiment, the gas in zone I is SiH4 used in Si-CVD processing, while the gas in zone II is PH3 used in doping. In another embodiment, a cluster tool having load-locks to isolate each processing chamber from the other chambers, and equipped with a robot includes the rf plasma sources 40 of the invention for plasma CVD and plasma etching.
FIG. 8 depicts an embodiment of the system of the invention using two plasma sources. In this embodiment each source is an inductive pancake antenna 3-4 inches in diameter. Each antenna 46 is constructed of a ¼ inch copper tube and contains 5-6 turns. Each antenna 46 is connected to a matching network 50 through a respective 160 pf capacitor. The matching network 50 includes a 0.03 mu H inductor 125 and two variable capacitors 130, 135. One variable capacitor 130 is adjustable over the range of 10-250 pf and the second capacitor 135 is adjustable over the range of 5-120 pf. The matching network 50 is tuned by adjusting the variable capacitor 130, 135. The matching network 50 is in turn connected to an rf source 66 operating at 13.56 mHz. A series of magnets 140, 145 are positioned around the circumference of the chamber in alternating polarity every 7 cm to form a magnetic bucket.
With the chamber operating at 1 m Torr pressure, the power to the antenna 46 is 25 W per antenna or about 50 W total. With the pressure in the chamber reduced to 0.1 m Torr, the power is increased to 200 W per antenna or 400 W total. The resulting plasma at 50 W total power has a substantially uniform density of 1011 atoms/cm3. The uniformity and the density may be further improved using four of such sources. With the chamber operating at 1 m Torr pressure, the power to the antenna 46 is 25 W per antenna or about 50 W total. With the pressure in the chamber reduced to 0.1 m Torr, the power is increased to 200 W per antenna or 400 W total. The resulting plasma at 50 W total power has a substantially uniform density of 1011 atoms/cm3. The uniformity and the density may be further improved using four of such sources.
In a specific embodiment, the present invention operates at high temperature for light particle implanting processes. The light particle process can implant a variety of materials such as hydrogen, helium, quartz, and others. The light particles, which are implanted at high temperature, do not accumulate in any of the chamber materials, e.g., silicon, silicon liner. They tend to diffuse out of such materials, which prevents “pealing” of the silicon or silicon liner material. In most embodiments, implanting of a hydrogen bearing compound (e.g., H2) occurs at about 400 and greater to about 500 Degrees Celsius. The high temperature operation generally does not allow any of the light particles to cause damage to the silicon or silicon liner material.
While the above description is generally described in a variety of specific embodiments, it will be recognized that the invention can be applied in numerous other ways. For example, the improved susceptor design can be combined with the embodiments of the other Figs. Additionally, the embodiments of the other Figs. can be combined with one or more of the other embodiments. The various embodiments can be further combined or even separated depending upon the application. Accordingly, the present invention has a much wider range of applicability than the specific embodiments described herein.
Although the above has been generally described in terms of a PIII system, the present invention can also be applied to a variety of other plasma systems. For example, the present invention can be applied to a plasma source ion implantation system. Alternatively, the present invention can be applied to almost any plasma system where ion bombardment of an exposed region of a pedestal occurs. Accordingly, the above description is merely an example and should not limit the scope of the claims herein. One of ordinary skill in the art would recognize other variations, alternatives, and modifications.
While the above is a full description of the specific embodiments, various modifications, alternative constructions and equivalents may be used. Therefore, the above description and illustrations should not be taken as limiting the scope of the present invention which is defined by the appended claims.

Claims (18)

What is claimed is:
1. A plasma immersion ion implantation (PIII) treatment system for implantation, said system comprising:
a chamber in which a plasma is generated in said chamber, said chamber having a bottom region exposed to the plasma and lined with silicon; and
a silicon coated susceptor disposed in said chamber to support a silicon substrate, said silicon coated susceptor providing fewer non-silicon bearing impurities that can be sputtered off of the susceptor during an implantation process.
2. The system of claim 1 wherein said chamber comprises a plurality of substantially planar rf transparent windows on a surface of said chamber.
3. The system of claim 1 further comprising:
an rf generator; and
at least two rf sources, each external to said vacuum chamber and each said rf source electrically connected to said rf generator and juxtaposed to a respective one of said plurality rf transparent windows, and operative to generate said plasma in the vacuum chamber; said rf sources operative to produce a local, substantially uniform plasma proximate said substrate.
4. The system of claim 3 further comprising at least one tuning circuit, each said at least one tuning circuit electrically connected to one of said at least two rf sources.
5. The system of claim 1 wherein said silicon coated susceptor has a coating selected from polysilicon, amorphous silicon, or crystalline silicon.
6. The system of claim 5 wherein said silicon coated susceptor has a base metal selected from stainless steel or aluminum.
7. The system of claim 1 wherein said silicon substrate is a silicon bearing wafer.
8. The system of claim 1 wherein said chamber comprises a silicon coating defined on an interior region of said chamber, said silicon coating providing fewer non-silicon impurities onto said silicon substrate, said non-silicon impurities can be sputtered off of said chamber.
9. The system of claim 8 wherein said silicon coating is selected from amorphous silicon, polysilicon, or crystalline silicon.
10. The system of claim 8 wherein said chamber comprises an aluminum bearing material underlying said silicon coating.
11. The system of claim 1 wherein said system is provided in a cluster tool.
12. A method for forming a substrate, said method comprising steps of:
providing a substrate onto a silicon coated susceptor within a plasma immersion ion implantation chamber, said substrate comprising a silicon wafer with a surface, and said chamber having a bottom surface exposed to a plasma and lined with silicon;
introducing particles in a directional manner toward and through said surface of said substrate to uniformly place said ions into a selected depth across a plane of said substrate; and
sputtering silicon bearing material off of said silicon coated susceptor, said silicon bearing material being attached to said surface of said substrate.
13. The method of claim 12 wherein said silicon coated susceptor comprises a material selected from an amorphous silicon, polysilicon, or crystalline silicon.
14. The method of claim 12 wherein said silicon coated susceptor comprises a base material selected from aluminum or stainless steel.
15. The method of claim 12 wherein said substrate comprises silicon wafer.
16. The method of claim 12 wherein said chamber comprises a silicon coating defined on interior surfaces of said chamber.
17. The method of claim 16 wherein said silicon coating is selected from amorphous silicon, polysilicon, or crystalline silicon.
18. The method of claim 12 wherein said method occurs in a cluster tool.
US09/215,094 1998-02-11 1998-12-18 Coated platen design for plasma immersion ion implantation Expired - Lifetime US6217724B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US09/215,094 US6217724B1 (en) 1998-02-11 1998-12-18 Coated platen design for plasma immersion ion implantation

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US7439798P 1998-02-11 1998-02-11
US09/215,094 US6217724B1 (en) 1998-02-11 1998-12-18 Coated platen design for plasma immersion ion implantation

Publications (1)

Publication Number Publication Date
US6217724B1 true US6217724B1 (en) 2001-04-17

Family

ID=26755618

Family Applications (1)

Application Number Title Priority Date Filing Date
US09/215,094 Expired - Lifetime US6217724B1 (en) 1998-02-11 1998-12-18 Coated platen design for plasma immersion ion implantation

Country Status (1)

Country Link
US (1) US6217724B1 (en)

Cited By (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6470824B2 (en) * 1999-03-10 2002-10-29 Tokyo Electron Limited Semiconductor manufacturing apparatus
US6589868B2 (en) * 2001-02-08 2003-07-08 Applied Materials, Inc. Si seasoning to reduce particles, extend clean frequency, block mobile ions and increase chamber throughput
US20030218430A1 (en) * 2002-05-22 2003-11-27 Ka-Ngo Leung Ion source with external RF antenna
US20040091630A1 (en) * 2002-09-17 2004-05-13 Degusa Ag Deposition of a solid by thermal decomposition of a gaseous substance in a cup reactor
US6746880B2 (en) * 2000-05-31 2004-06-08 Infineon Technologies Ag Method for making electrical contact with a rear side of a semiconductor substrate during its processing
US6749684B1 (en) 2003-06-10 2004-06-15 International Business Machines Corporation Method for improving CVD film quality utilizing polysilicon getterer
US20050260354A1 (en) * 2004-05-20 2005-11-24 Varian Semiconductor Equipment Associates, Inc. In-situ process chamber preparation methods for plasma ion implantation systems
US20060196023A1 (en) * 2005-03-02 2006-09-07 Min-Lyul Lee Reduced cost process modules
US20090071371A1 (en) * 2007-09-18 2009-03-19 College Of William And Mary Silicon Oxynitride Coating Compositions
US20090308439A1 (en) * 2008-06-11 2009-12-17 Solar Implant Technologies Inc. Solar cell fabrication using implantation
US20100126669A1 (en) * 2007-05-08 2010-05-27 Canon Anelva Corporation Vacuum treatment apparatus
US20100193574A1 (en) * 2009-01-30 2010-08-05 General Electric Company Microwave brazing process and assemblies and materials therefor
US20100213047A1 (en) * 2007-10-04 2010-08-26 Canon Anelva Corporation High-frequency sputtering device
US20100323508A1 (en) * 2009-06-23 2010-12-23 Solar Implant Technologies Inc. Plasma grid implant system for use in solar cell fabrications
US7927975B2 (en) 2009-02-04 2011-04-19 Micron Technology, Inc. Semiconductor material manufacture
US20110162703A1 (en) * 2009-03-20 2011-07-07 Solar Implant Technologies, Inc. Advanced high efficientcy crystalline solar cell fabrication method
US20110192993A1 (en) * 2010-02-09 2011-08-11 Intevac, Inc. Adjustable shadow mask assembly for use in solar cell fabrications
EP2422359A2 (en) * 2009-04-20 2012-02-29 Applied Materials, Inc. Enhanced scavenging of residual fluorine radicals using silicon coating on process chamber walls
EP2525390A1 (en) * 2010-01-12 2012-11-21 Shin-Etsu Handotai Co., Ltd. Bonded wafer manufacturing method
TWI498946B (en) * 2008-02-25 2015-09-01 Applied Materials Inc Plasma immersion ion implantation process with chamber seasoning and seasoning layer plasma discharging for wafer dechucking
US20150380219A1 (en) * 2013-03-28 2015-12-31 Shibaura Mechatronics Corporation Mounting Stage and Plasma Processing Apparatus
US9318332B2 (en) 2012-12-19 2016-04-19 Intevac, Inc. Grid for plasma ion implant
US9324598B2 (en) 2011-11-08 2016-04-26 Intevac, Inc. Substrate processing system and method
US20170076920A1 (en) * 2015-09-10 2017-03-16 Taiwan Semiconductor Manufacturing Company, Ltd. Ion collector for use in plasma systems
US11499223B2 (en) 2020-12-10 2022-11-15 Applied Materials, Inc. Continuous liner for use in a processing chamber

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4579609A (en) * 1984-06-08 1986-04-01 Massachusetts Institute Of Technology Growth of epitaxial films by chemical vapor deposition utilizing a surface cleaning step immediately before deposition
US5134301A (en) * 1989-06-30 1992-07-28 Hitachi, Ltd. Ion implanting apparatus, having ion contacting surfaces made of high purity silicon, for fabricating semiconductor integrated circuit devices
US5292393A (en) * 1986-12-19 1994-03-08 Applied Materials, Inc. Multichamber integrated process system
US5653811A (en) * 1995-07-19 1997-08-05 Chan; Chung System for the plasma treatment of large area substrates
US5654043A (en) * 1996-10-10 1997-08-05 Eaton Corporation Pulsed plate plasma implantation system and method
US6028285A (en) * 1997-11-19 2000-02-22 Board Of Regents, The University Of Texas System High density plasma source for semiconductor processing
US6120660A (en) * 1998-02-11 2000-09-19 Silicon Genesis Corporation Removable liner design for plasma immersion ion implantation

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4579609A (en) * 1984-06-08 1986-04-01 Massachusetts Institute Of Technology Growth of epitaxial films by chemical vapor deposition utilizing a surface cleaning step immediately before deposition
US5292393A (en) * 1986-12-19 1994-03-08 Applied Materials, Inc. Multichamber integrated process system
US5134301A (en) * 1989-06-30 1992-07-28 Hitachi, Ltd. Ion implanting apparatus, having ion contacting surfaces made of high purity silicon, for fabricating semiconductor integrated circuit devices
US5653811A (en) * 1995-07-19 1997-08-05 Chan; Chung System for the plasma treatment of large area substrates
US5654043A (en) * 1996-10-10 1997-08-05 Eaton Corporation Pulsed plate plasma implantation system and method
US6028285A (en) * 1997-11-19 2000-02-22 Board Of Regents, The University Of Texas System High density plasma source for semiconductor processing
US6120660A (en) * 1998-02-11 2000-09-19 Silicon Genesis Corporation Removable liner design for plasma immersion ion implantation

Cited By (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6470824B2 (en) * 1999-03-10 2002-10-29 Tokyo Electron Limited Semiconductor manufacturing apparatus
US6746880B2 (en) * 2000-05-31 2004-06-08 Infineon Technologies Ag Method for making electrical contact with a rear side of a semiconductor substrate during its processing
US6589868B2 (en) * 2001-02-08 2003-07-08 Applied Materials, Inc. Si seasoning to reduce particles, extend clean frequency, block mobile ions and increase chamber throughput
US20030211735A1 (en) * 2001-02-08 2003-11-13 Applied Materials, Inc. Si seasoning to reduce particles, extend clean frequency, block mobile ions and increase chamber throughput
US6846742B2 (en) 2001-02-08 2005-01-25 Applied Materials, Inc. Si seasoning to reduce particles, extend clean frequency, block mobile ions and increase chamber throughput
US6975072B2 (en) * 2002-05-22 2005-12-13 The Regents Of The University Of California Ion source with external RF antenna
US20030218430A1 (en) * 2002-05-22 2003-11-27 Ka-Ngo Leung Ion source with external RF antenna
US20040091630A1 (en) * 2002-09-17 2004-05-13 Degusa Ag Deposition of a solid by thermal decomposition of a gaseous substance in a cup reactor
US6749684B1 (en) 2003-06-10 2004-06-15 International Business Machines Corporation Method for improving CVD film quality utilizing polysilicon getterer
US20050260354A1 (en) * 2004-05-20 2005-11-24 Varian Semiconductor Equipment Associates, Inc. In-situ process chamber preparation methods for plasma ion implantation systems
WO2005114692A2 (en) * 2004-05-20 2005-12-01 Varian Semiconductor Equipment Associates, Inc. In-situ process chamber preparation methods for plasma ion implantation systems
WO2005114692A3 (en) * 2004-05-20 2006-03-02 Varian Semiconductor Equipment In-situ process chamber preparation methods for plasma ion implantation systems
US20060196023A1 (en) * 2005-03-02 2006-09-07 Min-Lyul Lee Reduced cost process modules
US20100126669A1 (en) * 2007-05-08 2010-05-27 Canon Anelva Corporation Vacuum treatment apparatus
US20090071371A1 (en) * 2007-09-18 2009-03-19 College Of William And Mary Silicon Oxynitride Coating Compositions
US20090277782A1 (en) * 2007-09-18 2009-11-12 College Of William And Mary Silicon Oxynitride Coating Compositions
US20100213047A1 (en) * 2007-10-04 2010-08-26 Canon Anelva Corporation High-frequency sputtering device
US9017535B2 (en) * 2007-10-04 2015-04-28 Canon Anelva Corporation High-frequency sputtering device
TWI498946B (en) * 2008-02-25 2015-09-01 Applied Materials Inc Plasma immersion ion implantation process with chamber seasoning and seasoning layer plasma discharging for wafer dechucking
US20090308439A1 (en) * 2008-06-11 2009-12-17 Solar Implant Technologies Inc. Solar cell fabrication using implantation
US20090309039A1 (en) * 2008-06-11 2009-12-17 Solar Implant Technologies Inc. Application specific implant system and method for use in solar cell fabrications
US8871619B2 (en) 2008-06-11 2014-10-28 Intevac, Inc. Application specific implant system and method for use in solar cell fabrications
US8697553B2 (en) 2008-06-11 2014-04-15 Intevac, Inc Solar cell fabrication with faceting and ion implantation
US20100193574A1 (en) * 2009-01-30 2010-08-05 General Electric Company Microwave brazing process and assemblies and materials therefor
US9186742B2 (en) * 2009-01-30 2015-11-17 General Electric Company Microwave brazing process and assemblies and materials therefor
US8389385B2 (en) 2009-02-04 2013-03-05 Micron Technology, Inc. Semiconductor material manufacture
US7927975B2 (en) 2009-02-04 2011-04-19 Micron Technology, Inc. Semiconductor material manufacture
US20110162703A1 (en) * 2009-03-20 2011-07-07 Solar Implant Technologies, Inc. Advanced high efficientcy crystalline solar cell fabrication method
EP2422359A2 (en) * 2009-04-20 2012-02-29 Applied Materials, Inc. Enhanced scavenging of residual fluorine radicals using silicon coating on process chamber walls
EP2422359A4 (en) * 2009-04-20 2013-07-03 Applied Materials Inc Enhanced scavenging of residual fluorine radicals using silicon coating on process chamber walls
US8697552B2 (en) 2009-06-23 2014-04-15 Intevac, Inc. Method for ion implant using grid assembly
US20100323508A1 (en) * 2009-06-23 2010-12-23 Solar Implant Technologies Inc. Plasma grid implant system for use in solar cell fabrications
US8749053B2 (en) 2009-06-23 2014-06-10 Intevac, Inc. Plasma grid implant system for use in solar cell fabrications
US9741894B2 (en) 2009-06-23 2017-08-22 Intevac, Inc. Ion implant system having grid assembly
US8997688B2 (en) 2009-06-23 2015-04-07 Intevac, Inc. Ion implant system having grid assembly
US9303314B2 (en) 2009-06-23 2016-04-05 Intevac, Inc. Ion implant system having grid assembly
US8691665B2 (en) 2010-01-12 2014-04-08 Shin-Etsu Handotai Co., Ltd. Method for producing bonded wafer
EP2525390A4 (en) * 2010-01-12 2013-07-03 Shinetsu Handotai Kk Bonded wafer manufacturing method
EP2525390A1 (en) * 2010-01-12 2012-11-21 Shin-Etsu Handotai Co., Ltd. Bonded wafer manufacturing method
US20110192993A1 (en) * 2010-02-09 2011-08-11 Intevac, Inc. Adjustable shadow mask assembly for use in solar cell fabrications
US9324598B2 (en) 2011-11-08 2016-04-26 Intevac, Inc. Substrate processing system and method
US9875922B2 (en) 2011-11-08 2018-01-23 Intevac, Inc. Substrate processing system and method
US9318332B2 (en) 2012-12-19 2016-04-19 Intevac, Inc. Grid for plasma ion implant
US9583661B2 (en) 2012-12-19 2017-02-28 Intevac, Inc. Grid for plasma ion implant
US20150380219A1 (en) * 2013-03-28 2015-12-31 Shibaura Mechatronics Corporation Mounting Stage and Plasma Processing Apparatus
US20170076920A1 (en) * 2015-09-10 2017-03-16 Taiwan Semiconductor Manufacturing Company, Ltd. Ion collector for use in plasma systems
US10553411B2 (en) * 2015-09-10 2020-02-04 Taiwan Semiconductor Manufacturing Co., Ltd. Ion collector for use in plasma systems
US11581169B2 (en) 2015-09-10 2023-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Ion collector for use in plasma systems
US11499223B2 (en) 2020-12-10 2022-11-15 Applied Materials, Inc. Continuous liner for use in a processing chamber

Similar Documents

Publication Publication Date Title
US6120660A (en) Removable liner design for plasma immersion ion implantation
US6186091B1 (en) Shielded platen design for plasma immersion ion implantation
US6051073A (en) Perforated shield for plasma immersion ion implantation
US6217724B1 (en) Coated platen design for plasma immersion ion implantation
US6300227B1 (en) Enhanced plasma mode and system for plasma immersion ion implantation
US6338313B1 (en) System for the plasma treatment of large area substrates
US6269765B1 (en) Collection devices for plasma immersion ion implantation
US6213050B1 (en) Enhanced plasma mode and computer system for plasma immersion ion implantation
US6228176B1 (en) Contoured platen design for plasma immerson ion implantation
US5685942A (en) Plasma processing apparatus and method
US20010017109A1 (en) Enhanced plasma mode and system for plasma immersion ion implantation
US20010002584A1 (en) Enhanced plasma mode and system for plasma immersion ion implantation
US5609737A (en) Film manufacturing method using single reaction chamber for chemical-vapor deposition and sputtering
KR100752800B1 (en) Substrate holding structure for semiconductor processing, and plasma processing device
EP0653775B1 (en) Microwave plasma processing apparatus and method
CN113166942B (en) Film stress control for plasma enhanced chemical vapor deposition
US6518190B1 (en) Plasma reactor with dry clean apparatus and method
US8911602B2 (en) Dual hexagonal shaped plasma source
US6055928A (en) Plasma immersion ion processor for fabricating semiconductor integrated circuits
WO2000032839A1 (en) Enhanced plasma mode, method, and system for plasma immersion ion implantation
US6096176A (en) Sputtering method and a sputtering apparatus thereof
EP0470580A2 (en) Plasma processing apparatus
JP3045444B2 (en) Plasma processing apparatus and control method therefor
KR20090116170A (en) Inductively coupled plasma reactor
TW202312221A (en) Hybrid plasma source array

Legal Events

Date Code Title Description
AS Assignment

Owner name: SILICON GENESIS CORPORATION, CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:CHU, PAUL K.;CHAN, CHUNG;REEL/FRAME:009959/0786;SIGNING DATES FROM 19981011 TO 19981013

STCF Information on status: patent grant

Free format text: PATENTED CASE

FEPP Fee payment procedure

Free format text: PAT HOLDER NO LONGER CLAIMS SMALL ENTITY STATUS, ENTITY STATUS SET TO UNDISCOUNTED (ORIGINAL EVENT CODE: STOL); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

FPAY Fee payment

Year of fee payment: 12