US6165678A - Lithographic photoresist composition and process for its use in the manufacture of integrated circuits - Google Patents

Lithographic photoresist composition and process for its use in the manufacture of integrated circuits Download PDF

Info

Publication number
US6165678A
US6165678A US09/111,558 US11155898A US6165678A US 6165678 A US6165678 A US 6165678A US 11155898 A US11155898 A US 11155898A US 6165678 A US6165678 A US 6165678A
Authority
US
United States
Prior art keywords
composition
acid
film
group
copolymer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
US09/111,558
Inventor
Robert David Allen
Richard Anthony DiPietro
Ratnam Sooriyakumaran
Thomas I. Wallow
Gregory Michael Wallraff
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US08/928,308 external-priority patent/US6177228B1/en
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Priority to US09/111,558 priority Critical patent/US6165678A/en
Assigned to INTERNATIONAL BUSINESS MACHINES CORPORATION reassignment INTERNATIONAL BUSINESS MACHINES CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: WALLRAFF, GREGORY MICHAEL, WALLOW, THOMAS I., SOORIYAKUMARAN, RATNAM, ALLEN, ROBERT DAVID, DIPIETRO, RICHARD ANTHONY
Application granted granted Critical
Publication of US6165678A publication Critical patent/US6165678A/en
Assigned to GLOBALFOUNDRIES U.S. 2 LLC reassignment GLOBALFOUNDRIES U.S. 2 LLC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: INTERNATIONAL BUSINESS MACHINES CORPORATION
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GLOBALFOUNDRIES U.S. 2 LLC, GLOBALFOUNDRIES U.S. INC.
Anticipated expiration legal-status Critical
Assigned to GLOBALFOUNDRIES U.S. INC. reassignment GLOBALFOUNDRIES U.S. INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: WILMINGTON TRUST, NATIONAL ASSOCIATION
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0045Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S430/00Radiation imagery chemistry: process, composition, or product thereof
    • Y10S430/1053Imaging affecting physical property or radiation sensitive material, or producing nonplanar or printing surface - process, composition, or product: radiation sensitive composition or product or process of making binder containing
    • Y10S430/1055Radiation sensitive composition or product or process of making
    • Y10S430/106Binder containing
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S430/00Radiation imagery chemistry: process, composition, or product thereof
    • Y10S430/1053Imaging affecting physical property or radiation sensitive material, or producing nonplanar or printing surface - process, composition, or product: radiation sensitive composition or product or process of making binder containing
    • Y10S430/1055Radiation sensitive composition or product or process of making
    • Y10S430/106Binder containing
    • Y10S430/111Polymer of unsaturated acid or ester

Definitions

  • This invention relates generally to an improved lithographic photoresist composition and a process for its use in the manufacture of integrated circuits.
  • Acrylate and methacrylate resists are known in the art.
  • U.S. Pat. No. 5,071,730 to Allen et al. discloses a resist comprising a terpolymer of methyl methacrylate, t-butyl methacrylate and methacrylic acid.
  • Allen teaches that the t-butyl group imparts acid sensitivity, the acid group influences development rate, and the methyl ester increases toughness of the film.
  • this resist is suitable for some commercial uses, it is deficient in that it has low reactive ion etch resistance. Reactive ion etch resistance is desired for processing in semiconductor manufacturing. Further, excessive amounts of acid in the polymer will result in thinning in the unexposed areas during development.
  • the requirements for photoresists for semiconductor manufacturing include etch resistance, clean aqueous development and compatibility with strong developers currently in use in semiconductor manufacturing.
  • Traditional DUV resists (248 nm) combine these functions quite easily by using poly(hydroxystyrene), a phenolic resin, as the polymer of choice. This material has unacceptably high optical density for 193-nm lithography. Combining these requirements in a polymer that is nearly transparent at both 193 nm and 248 nm is a significant challenge.
  • a photogenerated acid e.g., acid-cleavable ester functionalities
  • the present invention relates to a novel radiation-sensitive lithographic photoresist composition
  • a novel radiation-sensitive lithographic photoresist composition comprising a photosensitive acid generator and an acrylate or methacrylate copolymer.
  • the copolymer is comprised of a first monomeric unit (I) containing a pendant substituent R p , and a second monomeric unit (II) containing a pendant substituent R cl , ##STR1## wherein, in structure (I): R is hydrido or methyl; and
  • R p is either ##STR2## wherein L is a linking moiety such as --C(O)O--, --C(O)--, --O--C(O)--C(O)--O--, or --OC(O)--, and is preferably --C(O)O--, Sp is a spacer such as alkylene, cycloalkylene or oxyalkylene optionally substituted with a lower alkoxy group or a lower alkyl ester, m1 is 0 or 1, R al is a saturated alicyclic moiety containing 6 to 12 carbon atoms, n is 0 or 1, P 1 and P 2 may be the same or different and are each defined as -(Sp) m2 -R*, m2 is 0 or 1, r is 0 or 1, and R* is a polar organic group which is acid-stable, non-acidic and non-hydroxylic, and contains a heteroatom with a Pauling electronegativity greater than about 3
  • R 1 and R 2 are independently selected from the group consisting of hydrogen, lower alkyl and -(Sp) m1 -(R al ) n -(Sp) m2 -R* wherein Sp, R al , R*, m1, m2 and n are as defined above, or
  • R is hydrido or methyl, as above.
  • R cl comprises a photoacid-cleavable ester substituent.
  • the copolymer may contain additional monomer units as well, e.g., acrylic acid units, methacrylic acid units, hydroxystyrene units, silicon-containing acrylate or methacrylate units, and/or monomer units similar to (1) but containing non-alicyclic groups rather than R al as defined above.
  • monomer units are represented as structure (III) ##STR3## wherein R q is ##STR4## or --CN, R na is a non-alicyclic substituent, and L, Sp, m1, n, r, P 1 and P 2 are as defined above for the substituents of formula (I).
  • the present invention also relates to the use of the resist composition to make integrated circuits.
  • the process involves the steps of (a) coating a substrate with a film comprising a radiation-sensitive acid generator and a copolymer as described above; (b) exposing the film selectively to a predetermined pattern of radiation to form a latent image therein; and (c) developing the image using a suitable developer composition.
  • Step (b) involves the use of x-ray, electron beam or ultraviolet radiation, preferably ultraviolet radiation having a wavelength in the range of approximately 13 to 250 nm, more preferably 193 nm.
  • alkyl refers to a branched or unbranched saturated hydrocarbon group of 1 to 24 carbon atoms, such as methyl, ethyl, n-propyl, isopropyl, n-butyl, isobutyl, t-butyl, octyl, decyl, tetradecyl, hexadecyl, eicosyl, tetracosyl and the like, as well as cycloalkyl groups such as cyclopentyl, cyclohexyl and the like.
  • lower alkyl intends an alkyl group of one to six carbon atoms, preferably one to four carbon atoms, and the term “lower alkyl ester” refers to an ester functionality --C(O)O--R wherein R is lower alkyl.
  • alkoxy refers to a substituent --O--R wherein R is alkyl.
  • lower alkoxy refers to such a group wherein R is lower alkyl.
  • alkylene refers to a difunctional branched or unbranched saturated hydrocarbon group of 1 to 24 carbon atoms, such as methylene, ethylene, n-propylene, n-butylene, n-hexylene, decylene, tetradecylene, hexadecylene, or the like.
  • lower alkylene refers to an alkylene group of one to six carbon atoms, preferably one to four carbon atoms.
  • Cycloalkylene refers to a cyclic alkylene group containing 3 to 8 carbon atoms.
  • oxyalkylene refers to a difunctional branched or unbranched saturated hydrocarbon group of 2 to 24 carbon atoms containing one to three ether linkages --O--.
  • Preferred oxyalkylene substituents herein are lower oxyalkylene, i.e., they contain 2 to 6, preferably 2 to 4, carbon atoms, and a single ether linkage --O--.
  • non-acidic refers to a molecular moiety which does not contain any functional groups bearing hydrido substituents having an aqueous pK a of less than about 7.0, e.g., carboxylic acid moieties.
  • non-hydroxylic refers to a molecular moiety which does not contain any hydroxyl groups.
  • photogenerated acid and “photoacid” are used interchangeably herein to refer to the acid that is created upon exposure of the present compositions to radiation, i.e., as a result of the radiation-sensitive acid generator in the compositions.
  • the present invention relates to a radiation-sensitive composition
  • a radiation-sensitive composition comprising (a) a photosensitive acid generator; and (b) an acrylate or methacrylate copolymer having both (1) pendant groups that are cleavable by a photogenerated acid, and (2) pendant groups containing a polar moiety that (a) is generally not cleaved in the presence of the photogenerated acid, and (b) is non-acidic and non-hydroxylic.
  • the composition is useful as a chemically amplified, positive tone, lithographic photoresist.
  • the copolymer in the resist composition is comprised of a first monomeric unit (I) and a second monomeric unit (II) ##STR5##
  • Monomeric unit (I) contains a pendant group R p containing an organic polar moiety which is generally not cleaved or otherwise chemically modified upon contact with the photoacid generated during exposure of the composition to radiation (i.e., because it cannot form a stable carbonium ion in acid), and which is both non-acidic and non-hydroxylic.
  • R p a pendant group containing an organic polar moiety which is generally not cleaved or otherwise chemically modified upon contact with the photoacid generated during exposure of the composition to radiation (i.e., because it cannot form a stable carbonium ion in acid), and which is both non-acidic and non-hydroxylic.
  • R p containing an organic polar moiety which is generally not cleaved or otherwise chemically modified upon contact with the photoacid generated during exposure of the composition to radiation (i.e., because it cannot form a stable carbonium ion in acid), and which is both non-acidic and non-hydroxylic.
  • R p containing an organic
  • Monomeric unit (II) contains a pendant substituent R cl which in turn comprises a photoacid-cleavable group, typically a photoacid-cleavable ester substituent.
  • the substituent "R” in both monomers may be either hydrogen or methyl.
  • the polar pendant group R p may be ##STR6## --C(O)--NR 1 R 2 or --CN.
  • L, Sp, R al , m1, m2, n, r, P 1 , P 2 , R 1 and R 2 may be defined as follows.
  • L is a linking moiety between the polymer backbone and the pendant group, and will generally be --C(O)O--, --C(O)--, --O--C(O)--C(O)--O--, or --OC(O)--.
  • L is --C(O)O--.
  • Sp is a spacer such as alkylene, cycloalkylene or oxyalkylene, preferably lower alkylene or lower oxyalkylene, optionally substituted with a lower alkoxy group or a lower alkyl ester. Also, m1 is 0 or 1, such that the spacer group may or may not be present.
  • R al is a saturated alicyclic moiety containing 6 to 12 carbon atoms, and n is 0 or 1, such that the alicyclic moiety may or may not be present.
  • suitable R al groups include, but are not limited to, the following. ##STR7##
  • P 1 and P 2 may be the same or different and are defined as -(Sp) m2 -R*, in which m2 is 0 or 1, Sp is as defined above, R* is a polar group as will be explained below, and r is 0 or 1.
  • P 1 and P 2 may be linked to form a cyclic substituent containing R*, e.g., a cyclic ether, a lactone, a cyclic carbonate, an anhydride, or the like.
  • R* is a polar organic group that is acid-stable, non-acidic and non-hydroxylic, and contains a heteroatom with a Pauling electronegativity greater than about 3.00.
  • Suitable R* include: mono-, di-, tri- and tetra-alkoxy; alkyl carbonyl; mono-, di-, tri- and tetra-alkoxy carbonyl; mono-, di-, tri- and tetra-alkoxy alkylcarbonyl; alkylcarbonyloxy; mono-, di-, tri- and tetra-alkoxy alkylcarbonyloxy; mono-, di-, tri- and tetra-alkoxy carbonyloxy; alkyl dicarbonyloxy, mono-, di-, tri- and tetra-alkoxy dicarbonyloxy; mono-, di-, tri- and tetra-alkoxyalkyl dicarbonyloxy; amino; alkylamino; mono-, di-, tri-
  • R* include cycloalkylcarbonylamino (lactams, e.g., caprolactam): ##STR8## cycloalkylcarbonyloxyalkyl (lactones, e.g., pantolactone): ##STR9## wherein m and n are independently 0, 1, 2, 3, etc.; cycloalkyl dicarbonylalkyl (cyclic glycerin): ##STR10## and sulfonylamino wherein R is alkyl, haloalkyl (trifluoromethyl) or cycloalkyl (camphor) ##STR11##
  • Other suitable photoacid-stable polar groups will be known to those skilled in the art.
  • Particularly preferred groups for use in the present invention include methoxy, acetoxy, methoxyethyl, methylcarbonyloxyethyl, ethoxycarbonyloxyethyl, ethoxydicarbonyloxyethyl and cyano.
  • the polar pendant group R p in structure (I) may also be --CN or --C(O)--NR 1 R 2 wherein R 1 and R 2 are independently selected from the group consisting of hydrogen, lower alkyl and -(Sp) m1 -(R al ) n -(Sp) m2 -R*.
  • the polar group R p functions to improve film quality, with respect to both smoothness and adhesion, to enhance thermal properties (as may be evaluated from the glass transition temperature), to improve solubility of the photoresist in industry standard developers, and to improve development of the photoresist while avoid the thinning and swelling that is associated with the presence of excess acid.
  • Polar monomers for forming the structural units (I) in the copolymer may be prepared using standard techniques of synthetic organic chemistry known to those skilled in the art and/or described in the pertinent literature.
  • the polar monomers may be prepared by the slow addition of a slight excess of an acid chloride in a suitable solvent, e.g., methylene chloride, tetrahydrofuran, or the like, to a cooled solution of an alcohol in the solvent containing a stoichiometric amount (with respect to the acid chloride) of acid scavenger (generally pyridine or triethylamine).
  • acid scavenger generally pyridine or triethylamine
  • Monomeric unit (II), as noted earlier herein, contains a pendant substituent R cl which in turn contains photoacid-cleavable groups.
  • the preferred acid-labile pendant groups R cl contain organic ester groups which undergo a cleavage reaction in the presence of photogenerated acid. Typically, the reaction of acid-labile functional groups with photogenerated acid occurs only, or is promoted greatly by, the application of heat to the film.
  • One preferred product of the cleavage reaction is a polymer-bound carboxylic acid group, which, when present in sufficient quantities along the polymer backbone, imparts solubility to the polymer in basic aqueous solutions.
  • ester groups are tertiary alkyl esters such as t-butyl esters of carboxylic acids.
  • suitable ester groups include alicyclic ester substituents (e.g., C 7 -C 12 ) which are acid-cleavable with tertiary attachment points such as adamantyl, norbornyl or isobornyl derivatives, e.g., 2-methyl-2-adamantyl, 2-methyl-2-isobornyl, 2-methyl-2-tetracyclododecenyl, 2-methyl-2-dihydrodicyclopentadienylcyclohexyl and 1-methylcyclohexyl.
  • the polymer may also comprise other monomers to enhance the performance of the photoresist.
  • the polymer may comprise minor amounts of acrylic acid or methacrylic acid monomer (e.g., 5-30%) to enhance development.
  • the polymer may also comprise other suitable monomers such as hydroxystyrene to enhance development and etch resistance or a silicon-containing monomer (e.g., a silicon-containing acrylate or methacrylate) to enhance oxygen plasma etch resistance for bilayer applications.
  • Additional optional monomer units that may be included in the copolymer have the structural formula (III) ##STR12## wherein R q is ##STR13## in which R na is a non-alicyclic substituent, and L, Sp, m1, n, r, P 1 and P 2 are as defined above for the substituents of formula (I). It should also be emphasized that the copolymer may contain two or more different monomer units each having the structure of formula (I), two or more different monomer units each having the structure of formula (II), and, optionally, two or more monomer units having the structure of formula (III).
  • the copolymer may contain monomer units having as pendant groups --CN, --C(O)--NR 1 R 2 and ##STR14## as well as monomer units having two or more different acid-labile ester groups R cl , and the like.
  • the copolymer of the present invention will generally comprise about 25-75 mole % structure (I) monomer units and about 25-75 mole % of structure (II) monomer units.
  • the copolymer can be synthesized using standard free radical solution polymerization techniques known by those skilled in the art and/or described in the pertinent literature.
  • the second component of the resist composition is a photosensitive acid generator.
  • the radiation-sensitive acid generator Upon exposure to radiation, the radiation-sensitive acid generator generates a strong acid.
  • a variety of photosensitive acid generators can be used in the composition of the present invention. Generally, suitable acid generators have a high thermal stability (preferably to temperatures greater than 160° C.) so they are not degraded during pre-exposure processing.
  • Suitable acid generators include ionic iodonium sulfonates, e.g., diaryl iodonium (alkyl or aryl) sulfonate and bis-(di-t-butylphenyl)iodonium camphanylsulfonate; aryl (e.g., phenyl or benzyl) triflates and derivatives and analogs thereof, e.g., triphenylsulfonium triflate or bis-(t-butyl phenyl)iodonium triflate; pyrogallol derivatives (e.g., trimesylate of pyrogallol); onium salts such as triarylsulfonium and diaryliodonium hexafluoroantimonates, hexafluoroarsenates, trifluoromethane sulfonates and others; trifluoromethanesulfonate esters of hydroxyimides,
  • the photoresist composition herein comprises both a copolymer as described in detail above, and an acid generator, with the copolymer representing up to about 99 wt. % of the solids included in the composition, and the acid generator representing approximately 0.5-10 wt. % of the solids contained in the composition.
  • the copolymer representing up to about 99 wt. % of the solids included in the composition
  • the acid generator representing approximately 0.5-10 wt. % of the solids contained in the composition.
  • Other components and additives may also be present.
  • the photoresist composition may also include dissolution inhibitors. If dissolution inhibitors are present, they will typically represent in the range of about 1 wt. % to 40 wt. %, preferably about 5 wt. % to 30 wt. %, of the total solids.
  • Suitable dissolution inhibitors will be known to those skilled in the art and/or described in the pertinent literature.
  • Preferred dissolution inhibitors have high solubility in the resist composition and the solvent used to prepare solutions of the resist composition (e.g., propylene glycol methyl ether acetate, or "PGMEA"), exhibit strong dissolution inhibition, have a high exposed dissolution rate, are transparent at the wavelength of interest, exhibit a moderating influence on T g , strong etch resistance, and display good thermal stability (i.e., stability at temperatures of about 200° C. or greater).
  • Suitable dissolution inhibitors include, but are not limited to, androstane-17-alkylcarboxylates and analogs thereof, wherein the 17-alkylcarboxylate at the 17-position is typically lower alkyl.
  • examples of such compounds include lower alkyl esters of cholic, ursocholic and lithocholic acid, including methyl cholate, methyl lithocholate, methyl ursocholate, t-butyl cholate, t-butyl lithocholate, t-butyl ursocholate, and the like (see, e.g., Allen et al. (1995) J. Photopolym. Sci.
  • the remainder of the resist composition is composed of a solvent and may additionally, if necessary or desirable, include customary additives such as dyes, sensitizers, additives used as stabilizers and acid-diffusion controlling agents, coating aids such as surfactants or anti-foaming agents, adhesion promoters and plasticizers.
  • customary additives such as dyes, sensitizers, additives used as stabilizers and acid-diffusion controlling agents, coating aids such as surfactants or anti-foaming agents, adhesion promoters and plasticizers.
  • solvent is governed by many factors not limited to the solubility and miscibility of resist components, the coating process, and safety and environmental regulations. Additionally, inertness to other resist components is desirable. It is also desirable that the solvent possess the appropriate volatility to allow uniform coating of films yet also allow significant reduction or complete removal of residual solvent during the post-application bake process. See, e.g., Introduction to Microlithography, Eds. Thompson et al., cited previously. Solvents may generally be chosen from ether-, ester-, hydroxyl-, and ketone-containing compounds, or mixtures of these compounds.
  • solvents examples include cyclopentanone, cyclohexanone, lactate esters such as ethyl lactate, alkylene glycol alkyl ether esters such as propylene glycol methyl ether acetate, alkylene glycol monoalkyl eters such as methyl cellosolve, butyl acetate, 2-ethoxyethanol, and ethyl-3-ethoxypropionate.
  • Preferred solvents include ethyl lactate, propylene glycol methyl ether acetate, and mixtures of the two.
  • the above list of solvents is for illustrative purposes only and should not be viewed as being comprehensive nor should the choice of solvent be viewed as limiting the invention in any way. Those skilled in the art will recognize that any number of solvents or solvent mixtures may be used.
  • a wide variety of compounds with varying basicity may be used as stabilizers and acid-diffusion controlling additives. They may include nitrogenous compounds such as aliphatic primary, secondary, and tertiary amines, cyclic amines such as piperidines, pyrimidines, morpholines, aromatic heterocycles such as pyridines, pyrimidines, purines, imines such as diazabicycloundecene (DBU), guanidines, imides, amides, and others.
  • nitrogenous compounds such as aliphatic primary, secondary, and tertiary amines, cyclic amines such as piperidines, pyrimidines, morpholines, aromatic heterocycles such as pyridines, pyrimidines, purines, imines such as diazabicycloundecene (DBU), guanidines, imides, amides, and others.
  • DBU diazabicycloundecene
  • Surfactants may be used to improve coating uniformity, and include a wide variety of ionic and non-ionic, monomeric, oligomeric, and polymeric species. Likewise, a wide variety of anti-foaming agents may be employed to suppress coating defects. Adhesion promoters may be used as well; again, a wide variety of compounds may be employed to serve this function. A wide variety of monomeric, oligomeric, and polymeric plasticizers such as oligo- and polyethyleneglycol ethers, cycloaliphatic esters, and non-acid reactive steroidally-derived materials may be used as plasticizers, if desired. However, neither the classes of compounds nor the specific compounds mentioned above are intended to be comprehensive and/or limiting. One versed in the art will recognize the wide spectrum of commercially available products that may be used to carry out the types of functions that these customary additives perform.
  • the sum of all customary additives will comprise less than 20 percent of the solids included in the resist formulation, preferably, less than 5 percent.
  • compositional ranges for the present photoresist are as follows:
  • the present invention also relates to a process for generating a resist image on a substrate comprising the steps of: (a) coating a substrate with a film comprising the resist composition of the present invention; (b) imagewise exposing the film to radiation; and (c) developing the image.
  • the first step involves coating the substrate with a film comprising the resist composition dissolved in a suitable solvent.
  • Suitable substrates are silicon-containing, and include, for example, silicon dioxide, silicon nitride, and silicon oxynitride.
  • the substrate may or may not be coated with an organic anti-reflective layer prior to deposition of the resist composition.
  • the surface of the substrate is cleaned by standard procedures before the film is deposited thereon.
  • Suitable solvents for the composition are as described in the preceding section, and include, for example, cyclohexanone, ethyl lactate, and propylene glycol methyl ether acetate.
  • the film can be coated on the substrate using art-known techniques such as spin or spray coating, or doctor blading. Preferably, before the film has been exposed to radiation, the film is heated to an elevated temperature of about 90-150° C. for a short period of time, typically on the order of about 1 minute.
  • the dried film has a thickness of about 0.2-5.0 microns, preferably about 0.3-1.2 microns.
  • the film is imagewise exposed to x-ray, electron beam, or ultraviolet radiation, preferably ultraviolet radiation at a wavelength of about 13-250 nm, preferably 193 nm.
  • the preferred radiation source is ArF excimer or KrF excimer.
  • a resist film (1 micron thickness) is fully exposed with less than about 35 mJ/cm 2 of radiation, more preferably less than about 25 mJ/cm 2 .
  • the radiation is absorbed by the radiation-sensitive acid generator to generate free acid which with heating causes cleavage of the acid-cleavable ester substituent and formation of the corresponding acid.
  • the film is again heated to an elevated temperature of about 90-150° C. for a short period of time, on the order of about 1 minute.
  • the composition of the present invention may be used to make an integrated circuit assembly, such as an integrated circuit chip, multichip module, or circuit board.
  • the integrated circuit assembly comprises a circuit formed on a substrate by the steps of. (a) coating a substrate with a film comprising the resist composition of the present invention, (b) imagewise exposing the film to radiation, (c) developing the image to expose the substrate, and (d) forming the circuit in the developed film on the substrate by art-known techniques. After the substrate has been exposed, circuit patterns can be formed in the exposed areas by coating the substrate with a conductive material such as conductive metals by art-known dry-etching techniques such as evaporation, sputtering, plating, chemical vapor deposition, or laser-induced deposition.
  • the surface of the film can be milled to remove any excess conductive material.
  • Dielectric materials may also be deposited by similar means during the process of making circuits.
  • Inorganic ions such as boron, phosphorous, or arsenic can be implanted in the substrate in the process for making p-doped or n-doped circuit transistors. Other means for forming circuits are well known to those skilled in the art.
  • 2-Trifluoromethanesulfonylaminoethylmethacrylate (2.00 grams, 0.0076 mole), isobornyl methacrylate (1.70 grams, 0.0076 mole) and t-butyl methacrylate (0.54 gram, 0.0038 mole) were placed with 5 grams of tetrahydrofuran in a round-bottom flask equipped with a condenser and a nitrogen inlet. 2,2'-Azobisisobutyronitrile (0.13 gram) was added to this solution and stirred until completely dissolved. Then, the solution was evacuated with the aid of a Firestone valve and purged with nitrogen. The contents were heated to reflux for 18 hours.
  • Pantolactone methacrylate (3.47 grams, 0.0175 mole), isobornyl methacrylate (5-56 grams, 0.025 mole) and methacrylic acid (0.65 gram, 0.0075 mole) were placed with 30 grams of tetrahydrofuran (THF) in a round bottom flask equipped with a condenser and a nitrogen inlet. 2,2'-azobisisobutyronitrile (AIBN) (0.33 gram) was added to this solution and stirred until completely dissolved. Then, the solution was evacuated with the aid of a Firestone valve and purged with nitrogen. The contents were heated to reflux for 18 hours.
  • THF tetrahydrofuran
  • AIBN 2,2'-azobisisobutyronitrile
  • a 100 mL 3-neck round-bottomed flask was equipped with a magnetic stirrer, temperature-controlled mantle, thermowell with thermocouple, and a condenser connected to a nitrogen/vacuum inlet.
  • the flask was charged with 7.0 grams 5-(4-)cyano-2-norbornyl methacrylate (synthesized using a modification of the procedures reported by Kawakami et al. (1991), Kokai No. 02/193,958, abstracted in Chem. Abstr.
  • a 100 mL 3-neck round-bottomed flask was equipped with a magnetic stirrer, temperature-controlled mantle, thermowell with thermocouple, and a condenser connected to a nitrogen/vacuum inlet.
  • the flask was charged with 5.153 grams 5-(4-)cyano-2-norbornyl methacrylate, 1.288 grams methacrylonitrile, 2.403 grams t-butyl methacrylate, 1.317 grams methacrylic acid, and 10.2 grams isopropyl alcohol.
  • the mixture was deoxygenated by repeated short vacuum/nitrogen purge cycles, then heated to approximately 60° C.
  • a 100 mL 3-neck round-bottomed flask was equipped with a magnetic stirrer, temperature-controlled mantle, thermowell with thermocouple, and a condenser connected to a nitrogen/vacuum inlet.
  • the flask was charged with 12.83 grams 5-(4-)cyano-2-norbornyl methacrylate, 5.33 grams t-butyl methacrylate, 2.15 grams methacrylic acid, and 37 grams isopropyl alcohol.
  • the mixture was deoxygenated by repeated short vacuum/nitrogen flush cycles, then heated to ca. 75° C.
  • a resist composition was prepared with the photoacid generator triphenylsulfonium hexafluoroantimonate and a copolymer of isobornyl methacrylate (50%) and 2'-acetoxyethyl methacrylate.
  • the resist composition was dissolved in PGMEA and spin-coated on a silicon wafer with a film thickness of 0.8 microns. The film was heated to 150° C. for 1 minute, exposed to 193 nm (30-35 mJ/cm 2 ) and baked at 150° C. for 1 minute.
  • the resist was developed in 0.26N tetramethylammonium hydroxide to give clean images.
  • Example 4 The polymer of Example 4 was used in this example, along with 4,4'-bis(t-butylphenyl)iodonium nonafluorobutanesulfonate as the photoacid generator ("PAG").
  • PAG photoacid generator
  • a 10 percent solution by weight of polymer in PGMEA was prepared. A 5.0 gram portion of this solution was added to 12.5 mg PAG. Following dissolution of the PAG, the mixture was filtered repeatedly through a 0.45 ⁇ m Teflon membrane filter. The resulting resist solution was coated on silicon wafers treated with an organic anti-reflective coating (ARC; Brewer Sciences DUV-30) according to the ARC manufacturer's specifications. Coating was carried out using standard solution spin-casting means. Following coating, the resulting film was baked at 120° C. for 60 seconds. Exposure of selected portions of this film to incrementally increasing doses of 193 nm radiation was conducted using an ISI Technologies ArF Microstep device. Following exposure, the film was heated at 120° C. for 60 seconds.
  • ARC organic anti-reflective coating
  • the film was cooled to ambient temperature, then immersed in 0.21 N aqueous tetramethylammonium hydroxide (Shipley MF-321) for 10 s. Following development, the film was rinsed with water, then dried under a stream of air. Inspection of the array of exposed portions of the film using an interferometric film-thickness measuring device (Nanometrics Inc. Nanospec/AFT) indicated that substantially complete dissolution of the film occurred within a dose range beginning at 11 mJ/cm 2 and extending to at least 40 mJ/cm 2 , but that incomplete dissolution or no dissolution at all occurred at doses less than 11 mJ/cm 2 .
  • Exposure of another film of the same photoresist formulation to patterned 193 nm radiation using the ArF Microstep device was carried out.
  • the freshly spun film was baked at 120° C. prior to exposure.
  • An exposure range of 22-30 mJ/cm 2 was selected; following exposure, the film was heated at 120° C. for 60 s.
  • the film was cooled to ambient temperature, then immersed in 0.21 N aqueous tetramethylammonium hydroxide (Shipley MF-321) for 10 s.
  • the film was rinsed with water, then dried under a stream of air. Inspection of the array of patterned images by optical and electron microscopy indicated that the resist was capable of resolving fine features (0.35 to 0.25 ⁇ m line structures) within the specified exposure range.
  • Example 4 The polymer of Example 4 was used in this example.
  • a polymer solution was prepared by dissolving 3.9 grams solid polymer in 26.1 grams propylene glycol methyl ether acetate (PGMEA).
  • PMEA propylene glycol methyl ether acetate
  • a 5.0 gram portion of the resulting solution was added to 26 mg 4,4'-bis(t-butylphenyl)iodonium nonafluorobutanesulfonate and 65 mg t-butyl ursodeoxycholate.
  • the mixture was filtered repeatedly through a 0.45 ⁇ m Teflon membrane filter.
  • the resulting resist solution was coated on silicon wafers treated with an organic anti-reflective coating (ARC; Brewer Sciences DUV-30) according to the ARC manufacturer's specifications. Coating was carried out using standard solution spin-casting means. Following coating, the resulting film was baked at 110° C. for 60 s. Exposure of selected portions of this film to incrementally increasing doses of 193 nm radiation was conducted using an ISI Technologies ArF Microstep device. Following exposure, the film was heated at 130° C. for 60 s. The film was cooled to ambient temperature, then immersed in 0.21 N aqueous tetramethylammonium hydroxide (Shipley MF-321) for 10 s. Following development, the film was rinsed with water, then dried under a stream of air.
  • ARC organic anti-reflective coating
  • Exposure of another film of the same photoresist formulation to patterned 193 nm radiation using the ArF Microstep device was carried out.
  • the freshly spun film was baked at 110° C. prior to exposure.
  • An exposure range of 15-20 mJ/cm 2 was selected; following exposure, the film was heated at 130° C. for 60 s.
  • the film was cooled to ambient temperature, then immersed in 0.21 N aqueous tetramethylammonium hydroxide (Shipley MF-321) for 10 s.
  • the film was rinsed with water, then dried under a stream of air. Inspection of the array of patterned images by optical and electron microscopy indicated that the resist was capable of resolving fine features (0.35 to 0.25 ⁇ m line structures) within the specified exposure range.
  • Example 5 The polymer of Example 5 was used in this example.
  • a polymer solution was prepared by dissolving 3.9 grams solid polymer in 26.1 grams propylene glycol methyl ether acetate (PGMEA). A 3.3 grams portion of the resulting solution was added to 17.2 mg 4,4'-bis(t-butylphenyl)iodonium nonafluorobutanesulfonate. Following dissolution of the iodonium salt PAG, the mixture was filtered repeatedly through a 0.45 ⁇ m Teflon membrane filter. The resulting resist solution was coated on silicon wafers treated with an organic anti-reflective coating (ARC; Brewer Sciences DUV-30) according to the ARC manufacturer's specifications. Coating was carried out using standard solution spin-casting means.
  • ARC organic anti-reflective coating
  • the resulting film was baked at 13° C. for 60 s. Exposure of selected portions of this film to incrementally increasing doses of 193 nm radiation was conducted using an ISI Technologies ArF Microstep device. Following exposure, the film was heated at 150° C. for 60 s. The film was cooled to ambient temperature, then immersed in 0.21 N aqueous tetramethylammonium hydroxide (Shipley MF-321) for 10 s. Following development, the film was rinsed with water, then dried under a stream of air. Inspection of the array of exposed portions of the film using an interferometric film-thickness measuring device (Nanometrics Inc.
  • Nanospec/AFT indicated that substantially complete dissolution of the film occurred within a dose range beginning at 18 mJ/cm 2 and extending to at least 50 mJ/cm 2 , but that incomplete dissolution or no dissolution at all occurred at doses less than 18 mJ/cm 2 .
  • Exposure of another film of the same photoresist formulation to patterned 193 nm radiation using the ArF Microstep device was carried out.
  • the freshly spun film was baked at 130° C. prior to exposure.
  • An exposure range of 36-50 mJ/cm 2 was selected; following exposure, the film was heated at 150° C. for 60 s.
  • the film was cooled to ambient temperature, then immersed in 0.21 N aqueous tetramethylammonium hydroxide (Shipley MF-321) for 10 s.
  • the film was rinsed with water, then dried under a stream of air. Inspection of the array of patterned images by optical and electron microscopy indicated that the resist was capable of resolving fine features (0.35 to 0.25 ⁇ m line structures) within the specified exposure range.
  • Example 5 The polymer of Example 5 was used in this example.
  • a polymer solution was prepared by dissolving 3.9 grams solid polymer in 26.1 grams propylene glycol methyl ether acetate (PGMEA).
  • PMEA propylene glycol methyl ether acetate
  • a 3.3 gram portion of the resulting solution was added to 17.2 mg 4,4'-bis(tbutylphenyl)iodonium nonafluorobutanesulfonate and 42.9 mg t-butyl lithocholate.
  • the mixture was filtered repeatedly through a 0.45 ⁇ m Teflon membrane filter.
  • the resulting resist solution was coated on silicon wafers treated with an organic anti-reflective coating (ARC; Brewer Sciences DUV-30) according to the ARC manufacturer's specifications. Coating was carried out using standard solution spin-casting means. Following coating, the resulting film was baked at 130° C. for 60 s. Exposure of selected portions of this film to incrementally increasing doses of 193 nm radiation was conducted using an ISI Technologies ArF Microstep device. Following exposure, the film was heated at 150° C. for 60 s. The film was cooled to ambient temperature, then immersed in 0.21 N aqueous tetramethylammonium hydroxide (Shipley MF-321) for 10 s. Following development, the film was rinsed with water, then dried under a stream of air.
  • ARC organic anti-reflective coating
  • Exposure of another film of the same photoresist formulation to patterned 193 nm radiation using the ArF Microstep device was carried out.
  • the freshly spun film was baked at 130° C. prior to exposure.
  • An exposure range of 32-45 mJ/cm 2 was selected; following exposure, the film was heated at 150° C. for 60 s.
  • the film was cooled to ambient temperature, then immersed in 0.21 N aqueous tetramethylammonium hydroxide (Shipley MF-321) for 10 s.
  • the film was rinsed with water, then dried under a stream of air. Inspection of the array of patterned images by optical and electron microscopy indicated that the resist was capable of resolving fine features (0.35 to 0.25 ⁇ m line structures) within the specified exposure range.
  • Example 6 The polymer of Example 6 was used in this example.
  • a polymer solution was prepared by dissolving 3.9 grams solid polymer in 26.1 grams propylene glycol methyl ether acetate (PGMEA). A 5.0 gram portion of the resulting solution was added to 26.0 mg 4,4'-bis. (t-butylphenyl)iodonium nonafluorobutanesulfonate. Following dissolution of the iodonium salt PAG, the mixture was filtered repeatedly through a 0.45 ⁇ m Teflon membrane filter. The resulting resist solution was coated on silicon wafers treated with an organic anti-reflective coating (ARC; Brewer Sciences DUV-30) according to the ARC manufacturer's specifications. Coating was carried out using standard solution spin-casting means.
  • ARC organic anti-reflective coating
  • the resulting film was baked at 140° C. for 60 s. Exposure of selected portions of this film to incrementally increasing doses of 193 nm radiation was conducted using an ISI Technologies ArF Microstep device. Following exposure, the film was heated at 150° C. for 60 s. The film was cooled to ambient temperature, then immersed in 0.21 N aqueous tetramethylammonium hydroxide (Shipley MF-321) for 20 s. Following development, the film was rinsed with water, then dried under a stream of air. Inspection of the array of exposed portions of the film using an interferometric film-thickness measuring device (Nanometrics Inc.
  • Nanospec/AFT indicated that substantially complete dissolution of the film occurred within a dose range beginning at 7.5 mJ/cm 2 and extending to at least 25 mJ/cm 2 , but that incomplete dissolution or no dissolution at all occurred at doses less than 7.5 mJ/cm 2 .
  • Exposure of another film of the same photoresist formulation to patterned 193 nm radiation using the ArF Microstep device was carried out.
  • the freshly spun film was baked at 130° C. prior to exposure.
  • An exposure range of 15-25 mJ/cm 2 was selected; following exposure, the film was heated at 150° C. for 60 s.
  • the film was cooled to ambient temperature, then immersed in 0.21 N aqueous tetramethylammonium hydroxide (Shipley MF-321) for 20 s.
  • the film was rinsed with water, then dried under a stream of air. Inspection of the array of patterned images by optical and electron microscopy indicated that the resist was capable of resolving fine features (0.35 to 0.25 ⁇ m line structures) within the specified exposure range.

Abstract

A novel radiation-sensitive lithographic photoresist composition is provided which has improved sensitivity and resolution. The composition comprises a photosensitive acid generator and an acrylate or methacrylate copolymer. The copolymer contains first monomeric units having polar pendant groups and second monomeric units containing photoacid-cleavable ester groups. The polar pendant groups preferably comprise C6 -C12 alicyclic substituents containing a polar moiety R*, wherein the alicyclic substituents are bound through a linker moiety to the polymer backbone. Other monomeric units may be included as well. A process for using the composition to generate resist images on a substrate, i.e., in the manufacture of integrated circuits or the like.

Description

CROSS-REFERENCE TO RELATED APPLICATIONS
This is a continuation-in-part of U.S. patent application Ser. No. 08/928,308, entitled "Photoresist Composition and Process for Its Use," filed Sep. 12, 1997, now allowed.
TECHNICAL FIELD
This invention relates generally to an improved lithographic photoresist composition and a process for its use in the manufacture of integrated circuits.
BACKGROUND
There is a desire in the industry for higher circuit density in microelectronic devices which are made using lithographic techniques. One method of increasing the number of components per chip is to decrease the minimum feature size on the chip, which requires higher lithographic resolution. The use of shorter wavelength radiation than the currently employed mid-ultraviolet spectral range (e.g., 248-450 nm) offers the potential for higher resolution. Ultra-deep ultraviolet radiation, particularly, 193 nm, has been proposed. See, for example, Allen et al. (1995), "Resolution and Etch Resistance of a Family of 193 nm Positive Resists," J. Photopolym. Sci. and Tech. 8(4):623-636, and Abe et al. (1995), "Study of ArF Resistant Material in Terms of Transparency and Dry Etch Resistance," J. Photopolym. Sci. and Tech. 8(4):637-642. However, with deep UV radiation, fewer photons are transferred for the same energy dose and higher exposure doses are required to achieve the same desired photochemical response. Further, current lithographic photoresist compositions (also sometimes termed "resist compositions" or "resists") absorb strongly at 193 nm as a result of their aromaticity, making them unsuitable for commercial use at 193 nm.
Acrylate and methacrylate resists are known in the art. For example, U.S. Pat. No. 5,071,730 to Allen et al. discloses a resist comprising a terpolymer of methyl methacrylate, t-butyl methacrylate and methacrylic acid. Allen teaches that the t-butyl group imparts acid sensitivity, the acid group influences development rate, and the methyl ester increases toughness of the film. Although this resist is suitable for some commercial uses, it is deficient in that it has low reactive ion etch resistance. Reactive ion etch resistance is desired for processing in semiconductor manufacturing. Further, excessive amounts of acid in the polymer will result in thinning in the unexposed areas during development.
Nozaki et al. (1993), J. Photopolym. Sci. Technol. 9:509, discloses a methacrylate resist having two acid-cleavable ester groups, adamantyl and pyranyl groups. The authors state that the resist develops well probably due to the high acid content of the exposed areas resulting from the cleavage of both leaving groups. However, the unexposed areas of the resist will experience substantial cracking during postexposure bake due to the large shrinkage in the exposed area upon removal of the large ester groups.
The requirements for photoresists for semiconductor manufacturing include etch resistance, clean aqueous development and compatibility with strong developers currently in use in semiconductor manufacturing. Traditional DUV resists (248 nm) combine these functions quite easily by using poly(hydroxystyrene), a phenolic resin, as the polymer of choice. This material has unacceptably high optical density for 193-nm lithography. Combining these requirements in a polymer that is nearly transparent at both 193 nm and 248 nm is a significant challenge.
SUMMARY OF THE INVENTION
Accordingly, it is a primary object of the invention to address the above-described need in the art by providing a novel radiation-sensitive lithographic photoresist composition which has improved sensitivity and resolution.
It is another object of the invention to provide such a composition containing an acrylate or methacrylate copolymer.
It is a further object of the invention to provide such a composition containing an acrylate or methacrylate copolymer having both (1) pendant groups that are cleavable by a photogenerated acid, e.g., acid-cleavable ester functionalities, and (2) pendant groups containing an organic polar moiety that is (a) generally not cleavable in the presence of the photogenerated acid, and (b) non-acidic and non-hydroxylic.
It is an additional object of the invention to provide a method for generating a resist image on a substrate using a photoresist composition as described herein.
Additional objects, advantages and novel features of the invention will be set forth in part in the description which follows, and in part will become apparent to those skilled in the art upon examination of the following, or may be learned by practice of the invention.
In one embodiment, the present invention relates to a novel radiation-sensitive lithographic photoresist composition comprising a photosensitive acid generator and an acrylate or methacrylate copolymer. The copolymer is comprised of a first monomeric unit (I) containing a pendant substituent Rp, and a second monomeric unit (II) containing a pendant substituent Rcl, ##STR1## wherein, in structure (I): R is hydrido or methyl; and
Rp is either ##STR2## wherein L is a linking moiety such as --C(O)O--, --C(O)--, --O--C(O)--C(O)--O--, or --OC(O)--, and is preferably --C(O)O--, Sp is a spacer such as alkylene, cycloalkylene or oxyalkylene optionally substituted with a lower alkoxy group or a lower alkyl ester, m1 is 0 or 1, Ral is a saturated alicyclic moiety containing 6 to 12 carbon atoms, n is 0 or 1, P1 and P2 may be the same or different and are each defined as -(Sp)m2 -R*, m2 is 0 or 1, r is 0 or 1, and R* is a polar organic group which is acid-stable, non-acidic and non-hydroxylic, and contains a heteroatom with a Pauling electronegativity greater than about 3.00, or wherein P1 and P2 are linked to form a cyclic structure containing R*,
(ii) --C(O)--NR1 R2 wherein R1 and R2 are independently selected from the group consisting of hydrogen, lower alkyl and -(Sp)m1 -(Ral)n -(Sp)m2 -R* wherein Sp, Ral, R*, m1, m2 and n are as defined above, or
(iii) --CN.
In structure (II),
R is hydrido or methyl, as above; and
Rcl comprises a photoacid-cleavable ester substituent.
The copolymer may contain additional monomer units as well, e.g., acrylic acid units, methacrylic acid units, hydroxystyrene units, silicon-containing acrylate or methacrylate units, and/or monomer units similar to (1) but containing non-alicyclic groups rather than Ral as defined above. These latter monomer units are represented as structure (III) ##STR3## wherein Rq is ##STR4## or --CN, Rna is a non-alicyclic substituent, and L, Sp, m1, n, r, P1 and P2 are as defined above for the substituents of formula (I).
The present invention also relates to the use of the resist composition to make integrated circuits. The process involves the steps of (a) coating a substrate with a film comprising a radiation-sensitive acid generator and a copolymer as described above; (b) exposing the film selectively to a predetermined pattern of radiation to form a latent image therein; and (c) developing the image using a suitable developer composition. Step (b) involves the use of x-ray, electron beam or ultraviolet radiation, preferably ultraviolet radiation having a wavelength in the range of approximately 13 to 250 nm, more preferably 193 nm.
DETAILED DESCRIPTION OF THE INVENTION Overview and Definitions
Before describing the present invention in detail, it is to be understood that this invention is not limited to specific compositions, components or process steps, as such may vary. It is also to be understood that the terminology used herein is for the purpose of describing particular embodiments only, and is not intended to be limiting.
It must be noted that, as used in this specification and the appended claims, the singular forms "a," "an" and "the" include plural referents unless the context clearly dictates otherwise. Thus, for example, reference to "a monomer having structure (I)" includes a combination of two or more monomers encompassed by structure (I), a "photosensitive acid generator" includes a mixture of two or more such compounds, and the like.
In describing and claiming the present invention, the following terminology will be used in accordance with the definitions set out below.
The term "alkyl" as used herein refers to a branched or unbranched saturated hydrocarbon group of 1 to 24 carbon atoms, such as methyl, ethyl, n-propyl, isopropyl, n-butyl, isobutyl, t-butyl, octyl, decyl, tetradecyl, hexadecyl, eicosyl, tetracosyl and the like, as well as cycloalkyl groups such as cyclopentyl, cyclohexyl and the like. The term "lower alkyl" intends an alkyl group of one to six carbon atoms, preferably one to four carbon atoms, and the term "lower alkyl ester" refers to an ester functionality --C(O)O--R wherein R is lower alkyl.
The term "alkoxy" as used herein refers to a substituent --O--R wherein R is alkyl. The term "lower alkoxy" refers to such a group wherein R is lower alkyl.
The term "alkylene" as used herein refers to a difunctional branched or unbranched saturated hydrocarbon group of 1 to 24 carbon atoms, such as methylene, ethylene, n-propylene, n-butylene, n-hexylene, decylene, tetradecylene, hexadecylene, or the like. The term "lower alkylene" refers to an alkylene group of one to six carbon atoms, preferably one to four carbon atoms. "Cycloalkylene" refers to a cyclic alkylene group containing 3 to 8 carbon atoms.
The term "oxyalkylene" as used herein refers to a difunctional branched or unbranched saturated hydrocarbon group of 2 to 24 carbon atoms containing one to three ether linkages --O--. Preferred oxyalkylene substituents herein are lower oxyalkylene, i.e., they contain 2 to 6, preferably 2 to 4, carbon atoms, and a single ether linkage --O--.
The term "non-acidic" refers to a molecular moiety which does not contain any functional groups bearing hydrido substituents having an aqueous pKa of less than about 7.0, e.g., carboxylic acid moieties.
The term "non-hydroxylic" refers to a molecular moiety which does not contain any hydroxyl groups.
The terms "photogenerated acid" and "photoacid" are used interchangeably herein to refer to the acid that is created upon exposure of the present compositions to radiation, i.e., as a result of the radiation-sensitive acid generator in the compositions.
For additional information concerning terms used in the field of litography and lithographic compositions, reference may be had to Introduction to Microlithography, Eds. Thompson et al. (Washington, D.C.: American Chemical Society, 1994).
The present invention relates to a radiation-sensitive composition comprising (a) a photosensitive acid generator; and (b) an acrylate or methacrylate copolymer having both (1) pendant groups that are cleavable by a photogenerated acid, and (2) pendant groups containing a polar moiety that (a) is generally not cleaved in the presence of the photogenerated acid, and (b) is non-acidic and non-hydroxylic. The composition is useful as a chemically amplified, positive tone, lithographic photoresist.
The Copolymer
The copolymer in the resist composition is comprised of a first monomeric unit (I) and a second monomeric unit (II) ##STR5##
Monomeric unit (I) contains a pendant group Rp containing an organic polar moiety which is generally not cleaved or otherwise chemically modified upon contact with the photoacid generated during exposure of the composition to radiation (i.e., because it cannot form a stable carbonium ion in acid), and which is both non-acidic and non-hydroxylic. By "generally" not cleaved is meant that the fraction of monomers in which Rp is cleaved upon contact with the photoacid is small enough so as not to impact the lithographic process in any significant way; typically, this fraction is less than 15%, preferably less than 10%, and most preferably less than 5%. The polarity of the substituent Rp enhances the development of the resist without causing problems associated with excessive acid content. Monomeric unit (II) contains a pendant substituent Rcl which in turn comprises a photoacid-cleavable group, typically a photoacid-cleavable ester substituent. The substituent "R" in both monomers may be either hydrogen or methyl.
In formula (I), the polar pendant group Rp may be ##STR6## --C(O)--NR1 R2 or --CN. L, Sp, Ral, m1, m2, n, r, P1, P2, R1 and R2 may be defined as follows.
"L" is a linking moiety between the polymer backbone and the pendant group, and will generally be --C(O)O--, --C(O)--, --O--C(O)--C(O)--O--, or --OC(O)--. Preferably, L is --C(O)O--.
"Sp" is a spacer such as alkylene, cycloalkylene or oxyalkylene, preferably lower alkylene or lower oxyalkylene, optionally substituted with a lower alkoxy group or a lower alkyl ester. Also, m1 is 0 or 1, such that the spacer group may or may not be present.
"Ral " is a saturated alicyclic moiety containing 6 to 12 carbon atoms, and n is 0 or 1, such that the alicyclic moiety may or may not be present. Examples of suitable Ral groups include, but are not limited to, the following. ##STR7##
In the above structures, "1" indicates the point of attachment to -(L)-(Sp)m1 and "2" indicates the point of attachment to either P1 or P2. It will be appreciated by those skilled in the art, of course, that derivatives, analogs, variations and isomeric mixtures of the above structures are suitable as well, as are any and all other C6 -C12 saturated alicyclic moieties. The invention is not to be construed as limited to monomers containing the specific Ral moieties illustrated above.
P1 and P2 may be the same or different and are defined as -(Sp)m2 -R*, in which m2 is 0 or 1, Sp is as defined above, R* is a polar group as will be explained below, and r is 0 or 1. Alternatively, P1 and P2 may be linked to form a cyclic substituent containing R*, e.g., a cyclic ether, a lactone, a cyclic carbonate, an anhydride, or the like.
R* is a polar organic group that is acid-stable, non-acidic and non-hydroxylic, and contains a heteroatom with a Pauling electronegativity greater than about 3.00. Suitable R* include: mono-, di-, tri- and tetra-alkoxy; alkyl carbonyl; mono-, di-, tri- and tetra-alkoxy carbonyl; mono-, di-, tri- and tetra-alkoxy alkylcarbonyl; alkylcarbonyloxy; mono-, di-, tri- and tetra-alkoxy alkylcarbonyloxy; mono-, di-, tri- and tetra-alkoxy carbonyloxy; alkyl dicarbonyloxy, mono-, di-, tri- and tetra-alkoxy dicarbonyloxy; mono-, di-, tri- and tetra-alkoxyalkyl dicarbonyloxy; amino; alkylamino; mono-, di-, tri- and tetra-alkoxy alkylamino; alkyl carbonyl amino and alkyl sulfonyl amino where each alkyl is preferably lower alkyl and each alkoxy is preferably lower alkoxy; and cyano.
Other suitable polar substituents R* include cycloalkylcarbonylamino (lactams, e.g., caprolactam): ##STR8## cycloalkylcarbonyloxyalkyl (lactones, e.g., pantolactone): ##STR9## wherein m and n are independently 0, 1, 2, 3, etc.; cycloalkyl dicarbonylalkyl (cyclic glycerin): ##STR10## and sulfonylamino wherein R is alkyl, haloalkyl (trifluoromethyl) or cycloalkyl (camphor) ##STR11## Other suitable photoacid-stable polar groups will be known to those skilled in the art. Particularly preferred groups for use in the present invention include methoxy, acetoxy, methoxyethyl, methylcarbonyloxyethyl, ethoxycarbonyloxyethyl, ethoxydicarbonyloxyethyl and cyano.
As noted above, the polar pendant group Rp in structure (I) may also be --CN or --C(O)--NR1 R2 wherein R1 and R2 are independently selected from the group consisting of hydrogen, lower alkyl and -(Sp)m1 -(Ral)n -(Sp)m2 -R*.
The polar group Rp functions to improve film quality, with respect to both smoothness and adhesion, to enhance thermal properties (as may be evaluated from the glass transition temperature), to improve solubility of the photoresist in industry standard developers, and to improve development of the photoresist while avoid the thinning and swelling that is associated with the presence of excess acid.
Polar monomers for forming the structural units (I) in the copolymer may be prepared using standard techniques of synthetic organic chemistry known to those skilled in the art and/or described in the pertinent literature. Generally, the polar monomers may be prepared by the slow addition of a slight excess of an acid chloride in a suitable solvent, e.g., methylene chloride, tetrahydrofuran, or the like, to a cooled solution of an alcohol in the solvent containing a stoichiometric amount (with respect to the acid chloride) of acid scavenger (generally pyridine or triethylamine). The mixture is allowed to warm to room temperature, and is filtered and washed, e.g., with water, sodium bicarbonate and brine. Removal of the solvent and vacuum distillation from, for example, phenothiazine, will generally give rise to the requisite monomer in 50-90% yield.
Monomeric unit (II), as noted earlier herein, contains a pendant substituent Rcl which in turn contains photoacid-cleavable groups. The preferred acid-labile pendant groups Rcl contain organic ester groups which undergo a cleavage reaction in the presence of photogenerated acid. Typically, the reaction of acid-labile functional groups with photogenerated acid occurs only, or is promoted greatly by, the application of heat to the film. Those skilled in the art will recognize the various factors which influence the rate and ultimate degree of cleavage of acid-labile functional groups as well as the issues surrounding integration of the cleavage step into a viable manufacturing process. One preferred product of the cleavage reaction is a polymer-bound carboxylic acid group, which, when present in sufficient quantities along the polymer backbone, imparts solubility to the polymer in basic aqueous solutions.
Particularly preferred ester groups are tertiary alkyl esters such as t-butyl esters of carboxylic acids. Other suitable ester groups include alicyclic ester substituents (e.g., C7 -C12) which are acid-cleavable with tertiary attachment points such as adamantyl, norbornyl or isobornyl derivatives, e.g., 2-methyl-2-adamantyl, 2-methyl-2-isobornyl, 2-methyl-2-tetracyclododecenyl, 2-methyl-2-dihydrodicyclopentadienylcyclohexyl and 1-methylcyclohexyl. However, it will be appreciated by those skilled in the art that a wide range of other acid-labile groups are possible. Examples of such additional acid-sensitive groups are set forth in U.S. Pat. No. 4,491,628 to Ito et al., entitled "Positive- and Negative-Working Resist Compositions with Acid-Generating Photoinitiator and Polymer with Acid Labile Groups Pendant from Polymer Backbone," and the Handbook of Microlithography, Micromachining, and Microfabrication, Vol. 1: Microlithography, Ed. P. Raj-Coudhury, p. 321 (1997). Preferred acid-cleavable monomers useful in the practice of the present invention include the t-butyl esters of acrylates and methacrylates.
The polymer may also comprise other monomers to enhance the performance of the photoresist. For example, the polymer may comprise minor amounts of acrylic acid or methacrylic acid monomer (e.g., 5-30%) to enhance development. The polymer may also comprise other suitable monomers such as hydroxystyrene to enhance development and etch resistance or a silicon-containing monomer (e.g., a silicon-containing acrylate or methacrylate) to enhance oxygen plasma etch resistance for bilayer applications. Additional optional monomer units that may be included in the copolymer have the structural formula (III) ##STR12## wherein Rq is ##STR13## in which Rna is a non-alicyclic substituent, and L, Sp, m1, n, r, P1 and P2 are as defined above for the substituents of formula (I). It should also be emphasized that the copolymer may contain two or more different monomer units each having the structure of formula (I), two or more different monomer units each having the structure of formula (II), and, optionally, two or more monomer units having the structure of formula (III). Thus, the copolymer may contain monomer units having as pendant groups --CN, --C(O)--NR1 R2 and ##STR14## as well as monomer units having two or more different acid-labile ester groups Rcl, and the like.
The copolymer of the present invention will generally comprise about 25-75 mole % structure (I) monomer units and about 25-75 mole % of structure (II) monomer units. The copolymer can be synthesized using standard free radical solution polymerization techniques known by those skilled in the art and/or described in the pertinent literature.
The Acid Generator
The second component of the resist composition is a photosensitive acid generator. Upon exposure to radiation, the radiation-sensitive acid generator generates a strong acid. A variety of photosensitive acid generators can be used in the composition of the present invention. Generally, suitable acid generators have a high thermal stability (preferably to temperatures greater than 160° C.) so they are not degraded during pre-exposure processing. Suitable acid generators include ionic iodonium sulfonates, e.g., diaryl iodonium (alkyl or aryl) sulfonate and bis-(di-t-butylphenyl)iodonium camphanylsulfonate; aryl (e.g., phenyl or benzyl) triflates and derivatives and analogs thereof, e.g., triphenylsulfonium triflate or bis-(t-butyl phenyl)iodonium triflate; pyrogallol derivatives (e.g., trimesylate of pyrogallol); onium salts such as triarylsulfonium and diaryliodonium hexafluoroantimonates, hexafluoroarsenates, trifluoromethane sulfonates and others; trifluoromethanesulfonate esters of hydroxyimides, α,α'-bis-sulfonyl-diazomethanes; sulfonate esters of nitro-substituted benzyl alcohols; naphthoquinone-4-diazides; and alkyl disulfones. Other suitable photoacid generators are disclosed in Reichmanis et al. (1991), Chemistry of Materials 3:395. Additional suitable acid generators useful in conjunction with the compositions and methods of the invention will be known to those skilled in the art and/or described in the pertinent literature.
The Resist Composition
The photoresist composition herein comprises both a copolymer as described in detail above, and an acid generator, with the copolymer representing up to about 99 wt. % of the solids included in the composition, and the acid generator representing approximately 0.5-10 wt. % of the solids contained in the composition. Other components and additives may also be present.
For example, the photoresist composition may also include dissolution inhibitors. If dissolution inhibitors are present, they will typically represent in the range of about 1 wt. % to 40 wt. %, preferably about 5 wt. % to 30 wt. %, of the total solids.
Suitable dissolution inhibitors will be known to those skilled in the art and/or described in the pertinent literature. Preferred dissolution inhibitors have high solubility in the resist composition and the solvent used to prepare solutions of the resist composition (e.g., propylene glycol methyl ether acetate, or "PGMEA"), exhibit strong dissolution inhibition, have a high exposed dissolution rate, are transparent at the wavelength of interest, exhibit a moderating influence on Tg, strong etch resistance, and display good thermal stability (i.e., stability at temperatures of about 200° C. or greater). Suitable dissolution inhibitors include, but are not limited to, androstane-17-alkylcarboxylates and analogs thereof, wherein the 17-alkylcarboxylate at the 17-position is typically lower alkyl. Examples of such compounds include lower alkyl esters of cholic, ursocholic and lithocholic acid, including methyl cholate, methyl lithocholate, methyl ursocholate, t-butyl cholate, t-butyl lithocholate, t-butyl ursocholate, and the like (see, e.g., Allen et al. (1995) J. Photopolym. Sci. Technol., cited supra); hydroxyl-substituted analogs of such compounds (ibid.); and androstane-17-alkylcarboxylates substituted with 1 to 3 C1 -C4 fluoroalkyl carbonyloxy substituents, such as 1-butyl trifluoroacetyllithocholate (see, e.g., U.S. Pat. No. 5,580,694 to Allen et al.).
The remainder of the resist composition is composed of a solvent and may additionally, if necessary or desirable, include customary additives such as dyes, sensitizers, additives used as stabilizers and acid-diffusion controlling agents, coating aids such as surfactants or anti-foaming agents, adhesion promoters and plasticizers.
The choice of solvent is governed by many factors not limited to the solubility and miscibility of resist components, the coating process, and safety and environmental regulations. Additionally, inertness to other resist components is desirable. It is also desirable that the solvent possess the appropriate volatility to allow uniform coating of films yet also allow significant reduction or complete removal of residual solvent during the post-application bake process. See, e.g., Introduction to Microlithography, Eds. Thompson et al., cited previously. Solvents may generally be chosen from ether-, ester-, hydroxyl-, and ketone-containing compounds, or mixtures of these compounds. Examples of appropriate solvents include cyclopentanone, cyclohexanone, lactate esters such as ethyl lactate, alkylene glycol alkyl ether esters such as propylene glycol methyl ether acetate, alkylene glycol monoalkyl eters such as methyl cellosolve, butyl acetate, 2-ethoxyethanol, and ethyl-3-ethoxypropionate. Preferred solvents include ethyl lactate, propylene glycol methyl ether acetate, and mixtures of the two. The above list of solvents is for illustrative purposes only and should not be viewed as being comprehensive nor should the choice of solvent be viewed as limiting the invention in any way. Those skilled in the art will recognize that any number of solvents or solvent mixtures may be used.
Greater than 50 percent of the total mass of the resist formulation is typically composed of the solvent, preferably greater than 80 percent.
Other customary additives include dyes that may be used to adjust the optical density of the formulated resist and sensitizer which enhance the activity of photoacid generators by absorbing radiation and transferring it to the photoacid generator. Examples include aromatics such as functionalized benzenes, pyridines, pyrimidines, biphenylenes, indenes, naphthalenes, anthracenes, coumarins, anthraquinones, other aromatic ketones, and derivatives and analogs of any of the foregoing.
A wide variety of compounds with varying basicity may be used as stabilizers and acid-diffusion controlling additives. They may include nitrogenous compounds such as aliphatic primary, secondary, and tertiary amines, cyclic amines such as piperidines, pyrimidines, morpholines, aromatic heterocycles such as pyridines, pyrimidines, purines, imines such as diazabicycloundecene (DBU), guanidines, imides, amides, and others. Ammonium salts may also be used, including ammonium, primary, secondary, tertiary, and quaternary alkyl- and arylammonium salts of alkoxides including hydroxide, phenolates, carboxylates, aryl and alkyl sulfonates, sulfonamides, and others. Other cationic nitrogenous compounds including pyridinium salts and salts of other heterocyclic nitrogenous compounds with anions such as alkoxides including hydroxide, phenolates, carboxylates, aryl and alkyl sulfonates, sulfonamides, and the like may also be employed. Surfactants may be used to improve coating uniformity, and include a wide variety of ionic and non-ionic, monomeric, oligomeric, and polymeric species. Likewise, a wide variety of anti-foaming agents may be employed to suppress coating defects. Adhesion promoters may be used as well; again, a wide variety of compounds may be employed to serve this function. A wide variety of monomeric, oligomeric, and polymeric plasticizers such as oligo- and polyethyleneglycol ethers, cycloaliphatic esters, and non-acid reactive steroidally-derived materials may be used as plasticizers, if desired. However, neither the classes of compounds nor the specific compounds mentioned above are intended to be comprehensive and/or limiting. One versed in the art will recognize the wide spectrum of commercially available products that may be used to carry out the types of functions that these customary additives perform.
Typically, the sum of all customary additives will comprise less than 20 percent of the solids included in the resist formulation, preferably, less than 5 percent.
Suitable compositional ranges for the present photoresist are as follows:
______________________________________                                    
Component    wt. % solids    wt. % total                                  
______________________________________                                    
Copolymer    50-99           5-50                                         
             95-99 preferred (no DI)                                      
                             10-20 preferred                              
             80-90 preferred (with DI)                                    
Dissolution Inhibitor (DI)                                                
             1-40, if present                                             
                             0.5-5                                        
             5-30 preferred  1-3 preferred                                
Acid Generator                                                            
             0.5-10          0.05-2                                       
             1-5 preferred   0.1-1                                        
Solvent(s)   NA              50-95                                        
                             80-90 preferred                              
Other components                                                          
             0-20            0-4                                          
             0.001-5 preferred                                            
                             0-1                                          
______________________________________                                    
Use in Generation of Resist Images on a Substrate
The present invention also relates to a process for generating a resist image on a substrate comprising the steps of: (a) coating a substrate with a film comprising the resist composition of the present invention; (b) imagewise exposing the film to radiation; and (c) developing the image. The first step involves coating the substrate with a film comprising the resist composition dissolved in a suitable solvent. Suitable substrates are silicon-containing, and include, for example, silicon dioxide, silicon nitride, and silicon oxynitride. The substrate may or may not be coated with an organic anti-reflective layer prior to deposition of the resist composition. Preferably, the surface of the substrate is cleaned by standard procedures before the film is deposited thereon. Suitable solvents for the composition are as described in the preceding section, and include, for example, cyclohexanone, ethyl lactate, and propylene glycol methyl ether acetate. The film can be coated on the substrate using art-known techniques such as spin or spray coating, or doctor blading. Preferably, before the film has been exposed to radiation, the film is heated to an elevated temperature of about 90-150° C. for a short period of time, typically on the order of about 1 minute. The dried film has a thickness of about 0.2-5.0 microns, preferably about 0.3-1.2 microns. In the second step of the process, the film is imagewise exposed to x-ray, electron beam, or ultraviolet radiation, preferably ultraviolet radiation at a wavelength of about 13-250 nm, preferably 193 nm. The preferred radiation source is ArF excimer or KrF excimer. Conveniently, due to the enhanced radiation sensitivity of the resist film, a resist film (1 micron thickness) is fully exposed with less than about 35 mJ/cm2 of radiation, more preferably less than about 25 mJ/cm2. The radiation is absorbed by the radiation-sensitive acid generator to generate free acid which with heating causes cleavage of the acid-cleavable ester substituent and formation of the corresponding acid. Preferably, after the film has been exposed to radiation, the film is again heated to an elevated temperature of about 90-150° C. for a short period of time, on the order of about 1 minute.
The third step involves development of the image with a suitable solvent. Suitable solvents include an aqueous base, preferably an aqueous base without metal ions such as the industry standard developer tetramethyl ammonium hydroxide or choline. The resist composition of the present invention has high radiation sensitivity and provides positive images with high contrast and straight walls. The novel composition can also be readily developed in industry standard developer. Development is without unacceptable thinning or swelling. The resist has suitable thermal properties Tg and good adhesion and planarization. Uniquely, the dissolution property of the composition of the present invention can be varied by simply varying the composition of the polymer. Because the polymer has a very low optical density in the deep UV, the resist composition is uniquely suitable for use in the deep UV, especially at 193 nm.
The composition of the present invention may be used to make an integrated circuit assembly, such as an integrated circuit chip, multichip module, or circuit board. The integrated circuit assembly comprises a circuit formed on a substrate by the steps of. (a) coating a substrate with a film comprising the resist composition of the present invention, (b) imagewise exposing the film to radiation, (c) developing the image to expose the substrate, and (d) forming the circuit in the developed film on the substrate by art-known techniques. After the substrate has been exposed, circuit patterns can be formed in the exposed areas by coating the substrate with a conductive material such as conductive metals by art-known dry-etching techniques such as evaporation, sputtering, plating, chemical vapor deposition, or laser-induced deposition. The surface of the film can be milled to remove any excess conductive material. Dielectric materials may also be deposited by similar means during the process of making circuits. Inorganic ions such as boron, phosphorous, or arsenic can be implanted in the substrate in the process for making p-doped or n-doped circuit transistors. Other means for forming circuits are well known to those skilled in the art.
It is to be understood that while the invention has been described in conjunction with the preferred specific embodiments thereof, that the foregoing description as well as the examples which follow are intended to illustrate and not limit the scope of the invention. Other aspects, advantages and modifications within the scope of the invention will be apparent to those skilled in the art to which the invention pertains.
All patents, patent applications, and publications mentioned herein are hereby incorporated by reference in their entirety.
Experimental
The following examples are put forth so as to provide those of ordinary skill in the art with a complete disclosure and description of how to prepare and use the compositions disclosed and claimed herein. Efforts have been made to ensure accuracy with respect to numbers (e.g., amounts, temperature, etc.) but some errors and deviations should be accounted for. Unless indicated otherwise, parts are parts by weight, temperature is in ° C. and pressure is at or near atmospheric. Additionally, all starting materials were obtained commercially or synthesized using known procedures.
EXAMPLE 1 Synthesis of Polymer Containing 2-Trifluoromethanesulfonylaminoethyl Methacrylate
2-Trifluoromethanesulfonylaminoethylmethacrylate (2.00 grams, 0.0076 mole), isobornyl methacrylate (1.70 grams, 0.0076 mole) and t-butyl methacrylate (0.54 gram, 0.0038 mole) were placed with 5 grams of tetrahydrofuran in a round-bottom flask equipped with a condenser and a nitrogen inlet. 2,2'-Azobisisobutyronitrile (0.13 gram) was added to this solution and stirred until completely dissolved. Then, the solution was evacuated with the aid of a Firestone valve and purged with nitrogen. The contents were heated to reflux for 18 hours. Afterwards, the solution was diluted with acetone (25 ml) and added dropwise into deionized water (300 ml). The precipitated polymer was filtered (frit), washed twice with deionized water (26 ml) and dried under vacuum at 60° C. Yield: 3.80 grams.
EXAMPLE 2 Synthesis of Polymer Containing 2-(10-Camphorsulfonylamino)ethyl Methacrylate
2-(Camphorsulfonylamino)ethyl methacrylate (3.43 grams, 0.01 mole), isobornyl methacrylate (2.22 grams, 0.01 mole), t-butyl methacrylate (0.95 gram, 0.0066 mole) and methacrylic acid (0.57 gram, 0.0066 mole) were placed with tetrahydrofuran (12 grams) in a round-bottom flask equipped with a condenser and a nitrogen inlet. 2-2'-Azobisisobutyronitrile (0.13 gram) was added to this solution and stirred until completely dissolved. Then, the solution was evacuated with the aid of a Firestone valve and purged with nitrogen. The contents were then heated to reflux for 18 hours. Afterwards, the solution was diluted with acetone (25 ml) and added dropwise into deionized water (600 ml). The precipitated polymer was filtered (frit), washed twice with deionized water (50 ml) dried under vacuum at 60° C. Yield: 6.72 grams.
EXAMPLE 3 Synthesis of Polymer Containing Pantolactone Methacrylate
Pantolactone methacrylate (3.47 grams, 0.0175 mole), isobornyl methacrylate (5-56 grams, 0.025 mole) and methacrylic acid (0.65 gram, 0.0075 mole) were placed with 30 grams of tetrahydrofuran (THF) in a round bottom flask equipped with a condenser and a nitrogen inlet. 2,2'-azobisisobutyronitrile (AIBN) (0.33 gram) was added to this solution and stirred until completely dissolved. Then, the solution was evacuated with the aid of a Firestone valve and purged with nitrogen. The contents were heated to reflux for 18 hours. Afterwards, the solution was diluted with acetone (30 ml) and added dropwise into hexanes (600 ml). The precipitated polymer was filtered (frit), washed twice with hexanes (50 ml) and dried under vacuum at 60° C. Yield: 8.09 grams.
EXAMPLE 4 Synthesis of Methacrylate Copolymer
A 100 mL 3-neck round-bottomed flask was equipped with a magnetic stirrer, temperature-controlled mantle, thermowell with thermocouple, and a condenser connected to a nitrogen/vacuum inlet. The flask was charged with 7.0 grams 5-(4-)cyano-2-norbornyl methacrylate (synthesized using a modification of the procedures reported by Kawakami et al. (1991), Kokai No. 02/193,958, abstracted in Chem. Abstr. 114:62960u), 4.45 g 2'-acetoxyethyl methacrylate, 4.515 grams 1-butyl methacrylate, 2.227 grams methacrylic acid, and 54.6 g isopropyl alcohol. The mixture was deoxygenated by repeated short vacuum/nitrogen purge cycles, then heated to approximately 60° C. 2,2'-Azobisisobutyronitrile (AIBN) (0.386 grams) was added, the mixture was further deoxygenated with 3 short vacuum/nitrogen purges, and the reaction was brought to a gentle reflux for 5.5 hours. On cooling, the contents were precipitated into 3 L hexanes. The precipitated solid was collected on a filter, washed successively with 5×150 mL aliquots of hexanes, and dried in a vacuum oven at 50° C. overnight. Following drying, 17.57 grams of a white powder was obtained.
EXAMPLE 5 Synthesis of Methacrylate Copolymer
A 100 mL 3-neck round-bottomed flask was equipped with a magnetic stirrer, temperature-controlled mantle, thermowell with thermocouple, and a condenser connected to a nitrogen/vacuum inlet. The flask was charged with 5.153 grams 5-(4-)cyano-2-norbornyl methacrylate, 1.288 grams methacrylonitrile, 2.403 grams t-butyl methacrylate, 1.317 grams methacrylic acid, and 10.2 grams isopropyl alcohol. The mixture was deoxygenated by repeated short vacuum/nitrogen purge cycles, then heated to approximately 60° C. 2,2'-Azobisisobutyronitrile (AIBN) (0.252 g) was added, the mixture was further deoxygenated with 3 short vacuum/nitrogen purges, and the reaction was brought to a gentle reflux for 2 hours. An additional 6 mL isopropyl alcohol and 0.252 grams AIBN were added after 2 hours; the mixture was heated at gentle reflux for another 4 hours and an additional 13 mL isopropyl alcohol was judiciously added in portions to keep the mixture fluid during this time. On cooling 10 mL acetone was added to the contents to suppress polymer precipitation. The resulting solution was precipitated into 2.5 L hexanes. The precipitated solid was collected on a filter, washed successively with 3×200 mL aliquots of hexanes, and dried in a vacuum oven at 50° C. overnight. Following drying, 10.21 grams of a white powder was obtained.
EXAMPLE 6 Synthesis of Methacrylate Copolymer
A 100 mL 3-neck round-bottomed flask was equipped with a magnetic stirrer, temperature-controlled mantle, thermowell with thermocouple, and a condenser connected to a nitrogen/vacuum inlet. The flask was charged with 12.83 grams 5-(4-)cyano-2-norbornyl methacrylate, 5.33 grams t-butyl methacrylate, 2.15 grams methacrylic acid, and 37 grams isopropyl alcohol. The mixture was deoxygenated by repeated short vacuum/nitrogen flush cycles, then heated to ca. 75° C. Azobisisobutyronitrile (AIBN) (0.411 g) was added, the mixture was further deoxygenated with a vacuum/nitrogen flush, and the reaction was brought to a gentle reflux for 6 hours and an additional 20 mL isopropyl alcohol was judiciously added in portions to keep the mixture fluid during this time. On cooling 30 mL acetone was added to the contents to suppress polymer precipitation. On cooling, the contents were precipitated into 3 L hexanes. The precipitated solid was collected on a filter, washed successively with 3×100 mL aliquots of hexanes, and dried in a vacuum oven at 60C overnight. Following drying, 19.54 grams of a white powder was obtained.
EXAMPLE 7 Evaluation of Resist Performance
A resist composition was prepared with the photoacid generator triphenylsulfonium hexafluoroantimonate and a copolymer of isobornyl methacrylate (50%) and 2'-acetoxyethyl methacrylate. The resist composition was dissolved in PGMEA and spin-coated on a silicon wafer with a film thickness of 0.8 microns. The film was heated to 150° C. for 1 minute, exposed to 193 nm (30-35 mJ/cm2) and baked at 150° C. for 1 minute. The resist was developed in 0.26N tetramethylammonium hydroxide to give clean images.
EXAMPLE 8 Positive Two-Component Photoresist Formulation
The polymer of Example 4 was used in this example, along with 4,4'-bis(t-butylphenyl)iodonium nonafluorobutanesulfonate as the photoacid generator ("PAG").
Synthesis of 4,4'-bis-(t-butylphenyl)iodonium nonafluorobutanesulfonate: To 500 m1, methylene chloride and 300 mL water in a round-bottomed flask equipped with an efficient magnetic stirrer were added 190 g 4,4'-bis-(t-butylphenyl)iodonium acetate and 150 g potassium nonafluorobutanesulfonate. The mixture was stirred for 24 hours. Additional methylene chloride, water and ethanol were added to break the emulsion. The methylene chloride layer was collected and washed twice with water, then neutralized with 10 drops NH4 OH. Following a final water wash, the methylene chloride solution was dried over magnesium sulfate, then filtered and evaporated. The resulting solids were recrystallized from isopropanol/heptanes, collected, and dried in a vacuum oven at 65° C. to yield 265 g of 4,4'-bis-(t-butylphenyl)iodonium nonafluorobutanesulfonate.
A 10 percent solution by weight of polymer in PGMEA was prepared. A 5.0 gram portion of this solution was added to 12.5 mg PAG. Following dissolution of the PAG, the mixture was filtered repeatedly through a 0.45 μm Teflon membrane filter. The resulting resist solution was coated on silicon wafers treated with an organic anti-reflective coating (ARC; Brewer Sciences DUV-30) according to the ARC manufacturer's specifications. Coating was carried out using standard solution spin-casting means. Following coating, the resulting film was baked at 120° C. for 60 seconds. Exposure of selected portions of this film to incrementally increasing doses of 193 nm radiation was conducted using an ISI Technologies ArF Microstep device. Following exposure, the film was heated at 120° C. for 60 seconds. The film was cooled to ambient temperature, then immersed in 0.21 N aqueous tetramethylammonium hydroxide (Shipley MF-321) for 10 s. Following development, the film was rinsed with water, then dried under a stream of air. Inspection of the array of exposed portions of the film using an interferometric film-thickness measuring device (Nanometrics Inc. Nanospec/AFT) indicated that substantially complete dissolution of the film occurred within a dose range beginning at 11 mJ/cm2 and extending to at least 40 mJ/cm2, but that incomplete dissolution or no dissolution at all occurred at doses less than 11 mJ/cm2.
Exposure of another film of the same photoresist formulation to patterned 193 nm radiation using the ArF Microstep device was carried out. In this case, the freshly spun film was baked at 120° C. prior to exposure. An exposure range of 22-30 mJ/cm2 was selected; following exposure, the film was heated at 120° C. for 60 s. The film was cooled to ambient temperature, then immersed in 0.21 N aqueous tetramethylammonium hydroxide (Shipley MF-321) for 10 s. Following development, the film was rinsed with water, then dried under a stream of air. Inspection of the array of patterned images by optical and electron microscopy indicated that the resist was capable of resolving fine features (0.35 to 0.25 μm line structures) within the specified exposure range.
EXAMPLE 9 Positive Three-Component Photoresist Formulation
The polymer of Example 4 was used in this example. A polymer solution was prepared by dissolving 3.9 grams solid polymer in 26.1 grams propylene glycol methyl ether acetate (PGMEA). A 5.0 gram portion of the resulting solution was added to 26 mg 4,4'-bis(t-butylphenyl)iodonium nonafluorobutanesulfonate and 65 mg t-butyl ursodeoxycholate. Following dissolution of the iodonium salt PAG and dissolution inhibitor, the mixture was filtered repeatedly through a 0.45 μm Teflon membrane filter. The resulting resist solution was coated on silicon wafers treated with an organic anti-reflective coating (ARC; Brewer Sciences DUV-30) according to the ARC manufacturer's specifications. Coating was carried out using standard solution spin-casting means. Following coating, the resulting film was baked at 110° C. for 60 s. Exposure of selected portions of this film to incrementally increasing doses of 193 nm radiation was conducted using an ISI Technologies ArF Microstep device. Following exposure, the film was heated at 130° C. for 60 s. The film was cooled to ambient temperature, then immersed in 0.21 N aqueous tetramethylammonium hydroxide (Shipley MF-321) for 10 s. Following development, the film was rinsed with water, then dried under a stream of air. Inspection of the array of exposed portions of the film using an interferometric film-thickness measuring device (Nanometrics Inc. Nanospec/AFT) indicated that substantially complete dissolution of the film occurred within a dose range beginning at 7 mJ/cm2 and extending to at least 20 mJ/cm2, but that incomplete dissolution or no dissolution at all occurred at doses less than 7 mJ/cm2.
Exposure of another film of the same photoresist formulation to patterned 193 nm radiation using the ArF Microstep device was carried out. In this case, the freshly spun film was baked at 110° C. prior to exposure. An exposure range of 15-20 mJ/cm2 was selected; following exposure, the film was heated at 130° C. for 60 s. The film was cooled to ambient temperature, then immersed in 0.21 N aqueous tetramethylammonium hydroxide (Shipley MF-321) for 10 s. Following development, the film was rinsed with water, then dried under a stream of air. Inspection of the array of patterned images by optical and electron microscopy indicated that the resist was capable of resolving fine features (0.35 to 0.25 μm line structures) within the specified exposure range.
EXAMPLE 10 Positive Two-Component Photoresist Formulation
The polymer of Example 5 was used in this example. A polymer solution was prepared by dissolving 3.9 grams solid polymer in 26.1 grams propylene glycol methyl ether acetate (PGMEA). A 3.3 grams portion of the resulting solution was added to 17.2 mg 4,4'-bis(t-butylphenyl)iodonium nonafluorobutanesulfonate. Following dissolution of the iodonium salt PAG, the mixture was filtered repeatedly through a 0.45 μm Teflon membrane filter. The resulting resist solution was coated on silicon wafers treated with an organic anti-reflective coating (ARC; Brewer Sciences DUV-30) according to the ARC manufacturer's specifications. Coating was carried out using standard solution spin-casting means. Following coating, the resulting film was baked at 13° C. for 60 s. Exposure of selected portions of this film to incrementally increasing doses of 193 nm radiation was conducted using an ISI Technologies ArF Microstep device. Following exposure, the film was heated at 150° C. for 60 s. The film was cooled to ambient temperature, then immersed in 0.21 N aqueous tetramethylammonium hydroxide (Shipley MF-321) for 10 s. Following development, the film was rinsed with water, then dried under a stream of air. Inspection of the array of exposed portions of the film using an interferometric film-thickness measuring device (Nanometrics Inc. Nanospec/AFT) indicated that substantially complete dissolution of the film occurred within a dose range beginning at 18 mJ/cm2 and extending to at least 50 mJ/cm2, but that incomplete dissolution or no dissolution at all occurred at doses less than 18 mJ/cm2.
Exposure of another film of the same photoresist formulation to patterned 193 nm radiation using the ArF Microstep device was carried out. In this case, the freshly spun film was baked at 130° C. prior to exposure. An exposure range of 36-50 mJ/cm2 was selected; following exposure, the film was heated at 150° C. for 60 s. The film was cooled to ambient temperature, then immersed in 0.21 N aqueous tetramethylammonium hydroxide (Shipley MF-321) for 10 s. Following development, the film was rinsed with water, then dried under a stream of air. Inspection of the array of patterned images by optical and electron microscopy indicated that the resist was capable of resolving fine features (0.35 to 0.25 μm line structures) within the specified exposure range.
EXAMPLE 11 Positive Three-Component Photoresist Formulation
The polymer of Example 5 was used in this example. A polymer solution was prepared by dissolving 3.9 grams solid polymer in 26.1 grams propylene glycol methyl ether acetate (PGMEA). A 3.3 gram portion of the resulting solution was added to 17.2 mg 4,4'-bis(tbutylphenyl)iodonium nonafluorobutanesulfonate and 42.9 mg t-butyl lithocholate. Following dissolution of the iodonium salt PAG and dissolution inhibitor, the mixture was filtered repeatedly through a 0.45 μm Teflon membrane filter. The resulting resist solution was coated on silicon wafers treated with an organic anti-reflective coating (ARC; Brewer Sciences DUV-30) according to the ARC manufacturer's specifications. Coating was carried out using standard solution spin-casting means. Following coating, the resulting film was baked at 130° C. for 60 s. Exposure of selected portions of this film to incrementally increasing doses of 193 nm radiation was conducted using an ISI Technologies ArF Microstep device. Following exposure, the film was heated at 150° C. for 60 s. The film was cooled to ambient temperature, then immersed in 0.21 N aqueous tetramethylammonium hydroxide (Shipley MF-321) for 10 s. Following development, the film was rinsed with water, then dried under a stream of air. Inspection of the array of exposed portions of the film using an interferometric film-thickness measuring device (Nanometrics Inc. Nanospec/AFT) indicated that substantially complete dissolution of the film occurred within a dose range beginning at 16 mJ/cm2 and extending to at least 50 mJ/cm2, but that incomplete dissolution or no dissolution at all occurred at doses less than 16 mJ/cm2.
Exposure of another film of the same photoresist formulation to patterned 193 nm radiation using the ArF Microstep device was carried out. In this case, the freshly spun film was baked at 130° C. prior to exposure. An exposure range of 32-45 mJ/cm2 was selected; following exposure, the film was heated at 150° C. for 60 s. The film was cooled to ambient temperature, then immersed in 0.21 N aqueous tetramethylammonium hydroxide (Shipley MF-321) for 10 s. Following development, the film was rinsed with water, then dried under a stream of air. Inspection of the array of patterned images by optical and electron microscopy indicated that the resist was capable of resolving fine features (0.35 to 0.25 μm line structures) within the specified exposure range.
EXAMPLE 12 Positive Two-Component Photoresist Formulation
The polymer of Example 6 was used in this example. A polymer solution was prepared by dissolving 3.9 grams solid polymer in 26.1 grams propylene glycol methyl ether acetate (PGMEA). A 5.0 gram portion of the resulting solution was added to 26.0 mg 4,4'-bis. (t-butylphenyl)iodonium nonafluorobutanesulfonate. Following dissolution of the iodonium salt PAG, the mixture was filtered repeatedly through a 0.45 μm Teflon membrane filter. The resulting resist solution was coated on silicon wafers treated with an organic anti-reflective coating (ARC; Brewer Sciences DUV-30) according to the ARC manufacturer's specifications. Coating was carried out using standard solution spin-casting means. Following coating, the resulting film was baked at 140° C. for 60 s. Exposure of selected portions of this film to incrementally increasing doses of 193 nm radiation was conducted using an ISI Technologies ArF Microstep device. Following exposure, the film was heated at 150° C. for 60 s. The film was cooled to ambient temperature, then immersed in 0.21 N aqueous tetramethylammonium hydroxide (Shipley MF-321) for 20 s. Following development, the film was rinsed with water, then dried under a stream of air. Inspection of the array of exposed portions of the film using an interferometric film-thickness measuring device (Nanometrics Inc. Nanospec/AFT) indicated that substantially complete dissolution of the film occurred within a dose range beginning at 7.5 mJ/cm2 and extending to at least 25 mJ/cm2, but that incomplete dissolution or no dissolution at all occurred at doses less than 7.5 mJ/cm2.
Exposure of another film of the same photoresist formulation to patterned 193 nm radiation using the ArF Microstep device was carried out. In this case, the freshly spun film was baked at 130° C. prior to exposure. An exposure range of 15-25 mJ/cm2 was selected; following exposure, the film was heated at 150° C. for 60 s. The film was cooled to ambient temperature, then immersed in 0.21 N aqueous tetramethylammonium hydroxide (Shipley MF-321) for 20 s. Following development, the film was rinsed with water, then dried under a stream of air. Inspection of the array of patterned images by optical and electron microscopy indicated that the resist was capable of resolving fine features (0.35 to 0.25 μm line structures) within the specified exposure range.

Claims (18)

What is claimed is:
1. A lithographic photoresist composition comprising a radiation-sensitive acid generator and a copolymer comprising a first monomer unit having a pendant group containing an organic polar moiety that is photoacid-stable, non-acidic and non-hydroxylic, and a second monomer unit having a pendant group that is photoacid-cleavable, wherein the first monomer unit has the structural formula (I) ##STR15## wherein: R is hydrido or methyl; and
Rp is selected from the group consisting of ##STR16## wherein L is a linking moiety selected from the group consisting of --C(O)O--, --C(O)--, --O--C(O)--C(O)--O-- and --OC(O)--, Sp selected from the group consisting of alkylene, cycloalkylene and oxyalkylene optionally substituted with a lower alkoxy group or a lower alkyl ester, m1 is 0 or 1, Ral is a saturated alicyclic moiety containing 6 to 12 carbon atoms, n is 0 or 1, P1 and P2 may be the same or different and are each defined as -(Sp)m2 -R*, m2 is 0 or 1, r is 0 or 1, and R* is a polar organic group that is acid-stable, non-acidic and non-hydroxylic, and contains a heteroatom with a Pauling electronegativity greater than about 3.00, or wherein P1 and P2 are linked to form a cyclic structure containing R*,
(b) --C(O)--NR1 R2 wherein R1 and R2 are independently selected from the group consisting of hydrogen, lower alkyl and -(Sp)m1 -(Ral)n -(Sp)m2 -R* wherein Sp, Ral, R*, m1, m2 and n are as defined above, and
(c) --CN, and
the second monomer unit has the structural formula (II) ##STR17## wherein: R is hydrido or methyl; and
Rcl comprises a photoacid-cleavable ester substituent.
2. The composition of claim 1, wherein Rp is -(L)-(Sp)m1 -(Ral)n -(Sp)m2 -R*.
3. The composition of claim 2, wherein L is --C(O)O--, Sp is lower alkylene or lower oxyalkylene optionally substituted with a lower alkoxy group or a lower alkyl ester, m1 is 0, m2 is 0 or 1, and n is 1.
4. The composition of claim 2, wherein L is --C(O)O--, m1 and m2 are 0, and n is 1.
5. The composition of claim 2, wherein R* is methoxy, acetoxy, alkoxyethyl, alkoxycarbonyloxyethyl, alkoxydicarbonyloxyethyl or cyano.
6. The composition of claim 3, wherein R* is methoxy, acetoxy, alkoxyethyl, alkoxycarbonyloxyethyl, alkoxydicarbonyloxyethyl or cyano.
7. The composition of claim 1, wherein Rp is --C(O)--NR1 R2.
8. The composition of claim 1, wherein Rp is --CN.
9. The composition of claim 1, wherein the copolymer comprises at least two different monomeric units having the structural formula (I).
10. The composition of claim 9, wherein the copolymer comprises (a) monomeric units of formula (I) wherein Rp is -(L)-(Sp)m1 -(Ral)n -(Sp)m2 -R* and (b) monomeric units of formula (I) wherein Rp is --CN.
11. The composition of claim 9, wherein the copolymer comprises (a) monomeric units of formula (I) wherein Rp is -(L)-(Sp)m1 -(Ral)n -(Sp)m2 -R* and (b) monomeric units of formula (I) wherein Rp is --C(O)--NR1 R2.
12. The composition of claim 1, wherein the copolymer further comprises acrylic acid, methacrylic acid, or both.
13. The composition of claim 1, wherein the copolymer further comprises hydroxystyrene monomer units.
14. The composition of claim 1, wherein the copolymer further comprises silicon-containing acrylate and/or methacrylate monomer units.
15. The composition of claim 1, wherein the copolymer further comprises monomeric units having the structure of formula (III) ##STR18## wherein Rq is ##STR19## in which Rna is a non-alicyclic substituent.
16. The composition of claim 1, further including an effective amount of a dissolution inhibitor.
17. The composition of claim 16, wherein the dissolution inhibitor is selected from the group consisting of: lower alkyl esters of cholic acid, lithocholic acid and ursocholic acid; hydroxyl-substituted lower alkyl esters of cholic acid, lithocholic acid and ursocholic acid; and androstane-17-alkylcarboxylate having 1 to 3 C1 -C4 fluoroalky carbonyloxy substituents.
18. The composition of claim 17, wherein the dissolution inhibitor is t-butyl trifluoroacetyl lithocholate.
US09/111,558 1997-09-12 1998-07-08 Lithographic photoresist composition and process for its use in the manufacture of integrated circuits Expired - Lifetime US6165678A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US09/111,558 US6165678A (en) 1997-09-12 1998-07-08 Lithographic photoresist composition and process for its use in the manufacture of integrated circuits

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/928,308 US6177228B1 (en) 1997-09-12 1997-09-12 Photoresist composition and process for its use
US09/111,558 US6165678A (en) 1997-09-12 1998-07-08 Lithographic photoresist composition and process for its use in the manufacture of integrated circuits

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US08/928,308 Continuation-In-Part US6177228B1 (en) 1997-09-12 1997-09-12 Photoresist composition and process for its use

Publications (1)

Publication Number Publication Date
US6165678A true US6165678A (en) 2000-12-26

Family

ID=46255015

Family Applications (1)

Application Number Title Priority Date Filing Date
US09/111,558 Expired - Lifetime US6165678A (en) 1997-09-12 1998-07-08 Lithographic photoresist composition and process for its use in the manufacture of integrated circuits

Country Status (1)

Country Link
US (1) US6165678A (en)

Cited By (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6444408B1 (en) * 2000-02-28 2002-09-03 International Business Machines Corporation High silicon content monomers and polymers suitable for 193 nm bilayer resists
US6630280B1 (en) * 1999-02-24 2003-10-07 Fuji Photo Film Co., Ltd. Positive photoresist composition
US20030207200A1 (en) * 1999-10-08 2003-11-06 Shipley Company, L.L.C. Phenolic/alicyclic copolymers and photoresists
US20030224291A1 (en) * 2002-05-02 2003-12-04 Jun Hatakeyama Resist composition and patterning process
US6670093B2 (en) * 2001-04-06 2003-12-30 Industrial Technology Research Institute Silicon-containing copolymer and photosensitive resin composition containing the same
US6794108B1 (en) * 1999-04-01 2004-09-21 Fuji Photo Film Co., Ltd. Positive photoresist composition for far ultraviolet exposure
US6800414B2 (en) * 2000-06-16 2004-10-05 Jsr Corporation Radiation-sensitive resin composition
US20050058940A1 (en) * 1998-10-27 2005-03-17 Schadt Frank Leonard Photoresists and processes for microlithography
US20050058930A1 (en) * 2003-09-16 2005-03-17 Wenjie Li Negative resist composition with fluorosulfonamide-containing polymer
US20050079438A1 (en) * 2003-10-14 2005-04-14 Heidi Cao Photoresists with reduced outgassing for extreme ultraviolet lithography
US20050100814A1 (en) * 2000-11-29 2005-05-12 Berger Larry L. Bases and surfactants and their use in photoresist compositions for microlithography
US20050153232A1 (en) * 2004-01-08 2005-07-14 International Business Machines Corporation Positive photoresist composition with a polymer including a fluorosulfonamide group and process for its use
US20050240052A1 (en) * 2004-03-02 2005-10-27 Takeo Komata Process for producing fluorine-containing alkylsulfonylaminoethyl a-substitued acrylate
US20060127801A1 (en) * 2003-01-31 2006-06-15 Mitsubishi Rayon Co., Ltd. Resist polymer and resist composition
US20060183876A1 (en) * 2003-08-13 2006-08-17 Tokyo Ohka Kogyo, C., Ltd. Resin for resist positive resist composition and method of forming resist pattern
US20060246357A1 (en) * 2005-04-27 2006-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for manufacturing a mask for semiconductor processing
US7179399B2 (en) * 2001-05-17 2007-02-20 Tokyo Ohka Kogyo Co., Ltd. Material for forming protective film
US20070254245A1 (en) * 2005-07-27 2007-11-01 Sony Chemical & Information Devices Corporation Poly (Imide-Azomethine ) Copolymer, Poly (Amic Acid-Azomethine) Copolymer , and Positive Photosensitive Resin Composition
US20070275324A1 (en) * 2006-05-26 2007-11-29 Robert David Allen Low activation energy photoresist composition and process for its use
US20080063975A1 (en) * 2004-06-08 2008-03-13 Tokyo Ohka Kogyo Co., Ltd Polymer, Positive Resist Composition and Method for Forming Resist Pattern
US20090197204A1 (en) * 2008-02-06 2009-08-06 Tokyo Ohka Kogyo Co., Ltd. Resist composition for immersion exposure, method of forming resist pattern using the same, and fluorine-containing compound
US7598413B2 (en) 2007-09-11 2009-10-06 Central Glass Company, Limited Process for producing fluorine-containing alkylsulfonylaminoethyl α-substituted acrylate
US20090311627A1 (en) * 2008-06-11 2009-12-17 Tokyo Ohka Kogyo Co., Ltd. Resist composition for immersion exposure and method of forming resist pattern using the same
US20100304299A1 (en) * 2009-06-01 2010-12-02 Fujifilm Electronic Materials U.S.A., Inc. Chemically amplified positive photoresist composition
US20110207051A1 (en) * 2010-02-19 2011-08-25 International Business Machines Corporation Sulfonamide-Containing Topcoat and Photoresist Additive Compositions and Methods of Use
US20110207052A1 (en) * 2010-02-19 2011-08-25 International Business Machines Corporation Sulfonamide-containing photoresist compositions and methods of use
US20110237824A1 (en) * 2008-12-26 2011-09-29 Central Glass Company, Limited Process for Producing Fluoroalkylsulfonylaminoethyl Alpha-Substituted-Acrylate
US9703193B2 (en) * 2015-04-28 2017-07-11 Shin-Etsu Chemical Co., Ltd. Onium salt, resist composition, and patterning process
CN112679653A (en) * 2020-12-28 2021-04-20 甘肃华隆芯材料科技有限公司 Photoresist film-forming resin and preparation method of photoresist composition thereof

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5071730A (en) * 1990-04-24 1991-12-10 International Business Machines Corporation Liquid apply, aqueous processable photoresist compositions
US5399647A (en) * 1992-06-10 1995-03-21 Fujitsu Limited Photoresist composition of 1-(1'-cyanoethenyl)adamantane
US5585219A (en) * 1991-09-19 1996-12-17 Fujitsu Limited Resist composition and process for forming resist pattern
US5910392A (en) * 1996-10-30 1999-06-08 Fujitsu Limited Resist composition, a process for forming a resist pattern and a process for manufacturing a semiconductor device
US5968713A (en) * 1995-06-28 1999-10-19 Fujitsu Limited Chemically amplified resist compositions and process for the formation of resist patterns

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5071730A (en) * 1990-04-24 1991-12-10 International Business Machines Corporation Liquid apply, aqueous processable photoresist compositions
US5585219A (en) * 1991-09-19 1996-12-17 Fujitsu Limited Resist composition and process for forming resist pattern
US5399647A (en) * 1992-06-10 1995-03-21 Fujitsu Limited Photoresist composition of 1-(1'-cyanoethenyl)adamantane
US5968713A (en) * 1995-06-28 1999-10-19 Fujitsu Limited Chemically amplified resist compositions and process for the formation of resist patterns
US5910392A (en) * 1996-10-30 1999-06-08 Fujitsu Limited Resist composition, a process for forming a resist pattern and a process for manufacturing a semiconductor device

Non-Patent Citations (6)

* Cited by examiner, † Cited by third party
Title
Abe et al. (1995), "Study of ArF Resistance Material in Terms of Transparency and Dry Etch Resistance," J. Photopolym. Sci. and Tech. 8(4):637-642.
Abe et al. (1995), Study of ArF Resistance Material in Terms of Transparency and Dry Etch Resistance, J. Photopolym. Sci. and Tech. 8(4):637 642. *
Allen et al. (1995), "Resolution and Etch Resistance of a Family of 193 nm Positive Resists," J. Photopolym. Sci. and Tech. 8(4):623-636.
Allen et al. (1995), Resolution and Etch Resistance of a Family of 193 nm Positive Resists, J. Photopolym. Sci. and Tech. 8(4):623 636. *
Nozaki et al. (1993), J. Photopolym. Sci. Technol. 9:509 522. *
Nozaki et al. (1993), J. Photopolym. Sci. Technol. 9:509-522.

Cited By (59)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050058940A1 (en) * 1998-10-27 2005-03-17 Schadt Frank Leonard Photoresists and processes for microlithography
US7261993B2 (en) 1998-10-27 2007-08-28 E.I. Du Pont De Nemours And Company Photoresists and processes for microlithography
US6884562B1 (en) * 1998-10-27 2005-04-26 E. I. Du Pont De Nemours And Company Photoresists and processes for microlithography
US6630280B1 (en) * 1999-02-24 2003-10-07 Fuji Photo Film Co., Ltd. Positive photoresist composition
US6794108B1 (en) * 1999-04-01 2004-09-21 Fuji Photo Film Co., Ltd. Positive photoresist composition for far ultraviolet exposure
US20030207200A1 (en) * 1999-10-08 2003-11-06 Shipley Company, L.L.C. Phenolic/alicyclic copolymers and photoresists
US7700256B2 (en) * 1999-10-08 2010-04-20 Rohm And Haas Electronic Materials Llc Phenolic/alicyclic copolymers and photoresists
US6444408B1 (en) * 2000-02-28 2002-09-03 International Business Machines Corporation High silicon content monomers and polymers suitable for 193 nm bilayer resists
US6653048B2 (en) 2000-02-28 2003-11-25 International Business Machines Corp. High silicon content monomers and polymers suitable for 193 nm bilayer resists
US6964840B2 (en) 2000-06-16 2005-11-15 Jsr Corporation Radiation-sensitive resin composition
US6800414B2 (en) * 2000-06-16 2004-10-05 Jsr Corporation Radiation-sensitive resin composition
US20040241580A1 (en) * 2000-06-16 2004-12-02 Yukio Nishimura Radiation-sensitive resin composition
US20050100814A1 (en) * 2000-11-29 2005-05-12 Berger Larry L. Bases and surfactants and their use in photoresist compositions for microlithography
US6670093B2 (en) * 2001-04-06 2003-12-30 Industrial Technology Research Institute Silicon-containing copolymer and photosensitive resin composition containing the same
US7179399B2 (en) * 2001-05-17 2007-02-20 Tokyo Ohka Kogyo Co., Ltd. Material for forming protective film
US7232638B2 (en) * 2002-05-02 2007-06-19 Shin-Etsu Chemical Co., Ltd. Resist composition and patterning process
US20030224291A1 (en) * 2002-05-02 2003-12-04 Jun Hatakeyama Resist composition and patterning process
US20060127801A1 (en) * 2003-01-31 2006-06-15 Mitsubishi Rayon Co., Ltd. Resist polymer and resist composition
US7575846B2 (en) 2003-01-31 2009-08-18 Mitsubishi Rayon Co., Ltd. Resist polymer and resist composition
US20060183876A1 (en) * 2003-08-13 2006-08-17 Tokyo Ohka Kogyo, C., Ltd. Resin for resist positive resist composition and method of forming resist pattern
KR100985431B1 (en) 2003-08-13 2010-10-05 도오꾜오까고오교 가부시끼가이샤 Resin for resist, positive resist composition, and method of forming resist pattern
US6949325B2 (en) 2003-09-16 2005-09-27 International Business Machines Corporation Negative resist composition with fluorosulfonamide-containing polymer
US20050058930A1 (en) * 2003-09-16 2005-03-17 Wenjie Li Negative resist composition with fluorosulfonamide-containing polymer
US7427463B2 (en) * 2003-10-14 2008-09-23 Intel Corporation Photoresists with reduced outgassing for extreme ultraviolet lithography
US20050079438A1 (en) * 2003-10-14 2005-04-14 Heidi Cao Photoresists with reduced outgassing for extreme ultraviolet lithography
US7063931B2 (en) 2004-01-08 2006-06-20 International Business Machines Corporation Positive photoresist composition with a polymer including a fluorosulfonamide group and process for its use
US20080233514A1 (en) * 2004-01-08 2008-09-25 International Business Machines Corporation Positive photoresist composition with a polymer including a fluorosulfonamide group and process for its use
US7638264B2 (en) 2004-01-08 2009-12-29 International Business Machines Corporation Positive photoresist composition with a polymer including a fluorosulfonamide group and process for its use
US20050153232A1 (en) * 2004-01-08 2005-07-14 International Business Machines Corporation Positive photoresist composition with a polymer including a fluorosulfonamide group and process for its use
US20060216643A1 (en) * 2004-01-08 2006-09-28 International Business Machines Corporation Positive photoresist composition with a polymer including a fluorosulfonamide group and process for its use
US7651831B2 (en) * 2004-01-08 2010-01-26 International Business Machines Corporation Positive photoresist composition with a polymer including a fluorosulfonamide group and process for its use
US7115771B2 (en) 2004-03-02 2006-10-03 Central Glass Company, Limited Process for producing fluorine-containing alkylsulfonylaminoethyl α-substituted acrylate
US20050240052A1 (en) * 2004-03-02 2005-10-27 Takeo Komata Process for producing fluorine-containing alkylsulfonylaminoethyl a-substitued acrylate
US20080063975A1 (en) * 2004-06-08 2008-03-13 Tokyo Ohka Kogyo Co., Ltd Polymer, Positive Resist Composition and Method for Forming Resist Pattern
US7763412B2 (en) 2004-06-08 2010-07-27 Tokyo Ohka Kogyo Co., Ltd. Polymer, positive resist composition and method for forming resist pattern
US7999910B2 (en) 2005-04-27 2011-08-16 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for manufacturing a mask for semiconductor processing
US20060246357A1 (en) * 2005-04-27 2006-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for manufacturing a mask for semiconductor processing
US20070254245A1 (en) * 2005-07-27 2007-11-01 Sony Chemical & Information Devices Corporation Poly (Imide-Azomethine ) Copolymer, Poly (Amic Acid-Azomethine) Copolymer , and Positive Photosensitive Resin Composition
US8168366B2 (en) 2006-05-26 2012-05-01 International Business Machines Corporation Low activation energy photoresist composition and process for its use
US7476492B2 (en) 2006-05-26 2009-01-13 International Business Machines Corporation Low activation energy photoresist composition and process for its use
US20110008727A1 (en) * 2006-05-26 2011-01-13 International Business Machines Corporation Low Activation Energy Photoresist Composition and Process for Its Use
US20070275324A1 (en) * 2006-05-26 2007-11-29 Robert David Allen Low activation energy photoresist composition and process for its use
US7598413B2 (en) 2007-09-11 2009-10-06 Central Glass Company, Limited Process for producing fluorine-containing alkylsulfonylaminoethyl α-substituted acrylate
US20090197204A1 (en) * 2008-02-06 2009-08-06 Tokyo Ohka Kogyo Co., Ltd. Resist composition for immersion exposure, method of forming resist pattern using the same, and fluorine-containing compound
US8742038B2 (en) 2008-02-06 2014-06-03 Tokyo Ohka Kogyo Co., Ltd. Resist composition for immersion exposure, method of forming resist pattern using the same, and fluorine-containing compound
US8642244B2 (en) 2008-02-06 2014-02-04 Tokyo Ohka Kogyo Co., Ltd. Resist composition for immersion exposure, method of forming resist pattern using the same, and fluorine-containing compound
US8142979B2 (en) * 2008-06-11 2012-03-27 Tokyo Ohka Tokyo Co., Ltd. Resist composition for immersion exposure and method of forming resist pattern using the same
US20090311627A1 (en) * 2008-06-11 2009-12-17 Tokyo Ohka Kogyo Co., Ltd. Resist composition for immersion exposure and method of forming resist pattern using the same
US8518629B2 (en) 2008-06-11 2013-08-27 Tokyo Ohka Kogyo Co., Ltd. Resist composition for immersion exposure and method of forming resist pattern using the same
US20110237824A1 (en) * 2008-12-26 2011-09-29 Central Glass Company, Limited Process for Producing Fluoroalkylsulfonylaminoethyl Alpha-Substituted-Acrylate
US20100304299A1 (en) * 2009-06-01 2010-12-02 Fujifilm Electronic Materials U.S.A., Inc. Chemically amplified positive photoresist composition
US9034557B2 (en) * 2009-06-01 2015-05-19 Fujifilm Electronic Materials U.S.A., Inc. Chemically amplified positive photoresist composition
US20110207052A1 (en) * 2010-02-19 2011-08-25 International Business Machines Corporation Sulfonamide-containing photoresist compositions and methods of use
US20110207051A1 (en) * 2010-02-19 2011-08-25 International Business Machines Corporation Sulfonamide-Containing Topcoat and Photoresist Additive Compositions and Methods of Use
US9223209B2 (en) 2010-02-19 2015-12-29 International Business Machines Corporation Sulfonamide-containing photoresist compositions and methods of use
US9223217B2 (en) 2010-02-19 2015-12-29 International Business Machines Corporation Sulfonamide-containing topcoat and photoresist additive compositions and methods of use
US9422445B2 (en) 2010-02-19 2016-08-23 International Business Machines Corporation Sulfonamide-containing topcoat and photoresist additive compositions and methods of use
US9703193B2 (en) * 2015-04-28 2017-07-11 Shin-Etsu Chemical Co., Ltd. Onium salt, resist composition, and patterning process
CN112679653A (en) * 2020-12-28 2021-04-20 甘肃华隆芯材料科技有限公司 Photoresist film-forming resin and preparation method of photoresist composition thereof

Similar Documents

Publication Publication Date Title
US6165678A (en) Lithographic photoresist composition and process for its use in the manufacture of integrated circuits
US6610456B2 (en) Fluorine-containing styrene acrylate copolymers and use thereof in lithographic photoresist compositions
US6365321B1 (en) Blends of hydroxystyrene polymers for use in chemically amplified positive resist formulations
JP2715881B2 (en) Photosensitive resin composition and pattern forming method
TWI402623B (en) Photosensitive composition, compound for use in the photosensitive composition, and pattern-forming method using the photosensitive composition
JP2011215647A (en) Phenol/alicyclic type copolymer, and photoresist
US6730452B2 (en) Lithographic photoresist composition and process for its use
US7479364B2 (en) Copolymer for use in chemical amplification resists
JP4036773B2 (en) Polymer blends and related methods of preparation and use
JP2007065024A (en) Positive resist composition and pattern forming method using the same
JP2000137327A (en) Chemically amplified positive resist composition
JP2001215704A (en) Chemical amplification type positive resist composition
JP2010061087A (en) Positive resist composition for electron beam, x-ray or euv, and pattern forming method using the same
JP2003233190A (en) Polymer with mixed photoacid-labile group and photoresist comprising the same
JP4360957B2 (en) Positive resist composition and pattern forming method using the same
KR20010088359A (en) Novel copolymers and photoresist compositions comprising same
JP2006189713A (en) Positive resist composition for ion implantation process and ion implantation method using same
US7141692B2 (en) Molecular photoresists containing nonpolymeric silsesquioxanes
US6177228B1 (en) Photoresist composition and process for its use
JP2001200016A (en) Photosensitive polymer comprising alkyl vinyl ether copolymer and resist composition containing the same
US7193023B2 (en) Low activation energy photoresists
JP2007086479A (en) Resist composition and pattern forming method using it
JP3700276B2 (en) Radiation sensitive resin composition
US7820369B2 (en) Method for patterning a low activation energy photoresist
US6165680A (en) Dissolution inhibitor of chemically amplified photoresist and chemically amplified photoresist composition containing the same

Legal Events

Date Code Title Description
AS Assignment

Owner name: INTERNATIONAL BUSINESS MACHINES CORPORATION, NEW Y

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:ALLEN, ROBERT DAVID;DIPIETRO, RICHARD ANTHONY;SOORIYAKUMARAN, RATNAM;AND OTHERS;REEL/FRAME:009585/0258;SIGNING DATES FROM 19980601 TO 19980619

STCF Information on status: patent grant

Free format text: PATENTED CASE

FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

REMI Maintenance fee reminder mailed
FPAY Fee payment

Year of fee payment: 12

SULP Surcharge for late payment

Year of fee payment: 11

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. 2 LLC, NEW YORK

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:INTERNATIONAL BUSINESS MACHINES CORPORATION;REEL/FRAME:036550/0001

Effective date: 20150629

AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:GLOBALFOUNDRIES U.S. 2 LLC;GLOBALFOUNDRIES U.S. INC.;REEL/FRAME:036779/0001

Effective date: 20150910

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. INC., NEW YORK

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WILMINGTON TRUST, NATIONAL ASSOCIATION;REEL/FRAME:056987/0001

Effective date: 20201117