US5882424A - Plasma cleaning of a CVD or etch reactor using a low or mixed frequency excitation field - Google Patents

Plasma cleaning of a CVD or etch reactor using a low or mixed frequency excitation field Download PDF

Info

Publication number
US5882424A
US5882424A US08/786,604 US78660497A US5882424A US 5882424 A US5882424 A US 5882424A US 78660497 A US78660497 A US 78660497A US 5882424 A US5882424 A US 5882424A
Authority
US
United States
Prior art keywords
plasma
signal
frequency
power
chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
US08/786,604
Inventor
Brad Taylor
Turgut Sahin
Charles Dornfest
Fritz Redeker
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US08/786,604 priority Critical patent/US5882424A/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: REDEKER, FRITZ, DORNFEST, CHARLES, SAHIN, TURGOT, TAYLOR, BRAD
Application granted granted Critical
Publication of US5882424A publication Critical patent/US5882424A/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies

Definitions

  • the invention relates to devices and methods for cleaning the interior surfaces of either a chemical vapor deposition (CVD) reactor or etch reactor using a plasma etch process to remove unwanted depositions.
  • CVD chemical vapor deposition
  • etch reactor using a plasma etch process to remove unwanted depositions.
  • Reactors can perform various semiconductor processes on semiconductor substrates (often referred to as wafers), including dielectric etching and chemical vapor deposition (CVD).
  • a reactor can employ purely chemical processes to perform CVD or etching, or else (depending upon the type of reactor), can perform radio frequency (RF) plasma CVD or plasma etching.
  • RF radio frequency
  • the present description does not concern the process employed to carry out etching or CVD on a wafer but rather concerns a process for cleaning the interior surfaces of the reactor.
  • unwanted depositions form on the interior surfaces of the reactor's vacuum chamber. For example, in a reactor used to perform chemical vapor deposition of silicon dioxide films, silicon dioxide (SiO 2 ) residue forms over the interior surfaces of the chamber.
  • the electrodes themselves can become contaminated with silicon dioxide.
  • Other materials can contaminate the chamber interior surfaces, depending upon the type of process performed by the reactor. For instance, silicon carbide (SiC), silicon nitride (Si 3 N 4 ), and various silicon oxynitride (Si x O y N z ) deposits are also possibilities.
  • Unwanted depositions such as those described above, if allowed to build up on the interior surfaces of the reactor chamber, can inhibit the performance of the reactor. For example, in a CVD reactor, such unwanted depositions change the deposition rate from one wafer to the next, reduce the deposition uniformity across the surface of a given substrate, and change the layer stresses so that a consistent stress level cannot be maintained between successive thin film layers. In addition, these depositions could eventually flake off as conditions within the reactor chamber change during processing, thereby forming particulates. These particles may fall onto the wafer being processed, thereby damaging devices formed thereon and reducing the yield.
  • the foregoing difficulties are generally avoided by cleaning the reactor chamber interior shortly before inserting a wafer to be processed into the chamber.
  • silicon dioxide deposits are typically cleaned by the introduction of an etchant gas, such as ethyl hexafluoride (C 2 F 6 ), into the chamber.
  • the etchant gas is ignited into a plasma by the RF excitation apparatus of the reactor.
  • an RF excitation field of 13.56 MHz is typically employed to ignite the plasma and is used during both wafer processing and chamber clean operations.
  • a 13.56 MHz RF field with C 2 F 6 etchant gas is the most often used combination in performing RF plasma cleaning operations involving silicon dioxide deposits.
  • the C 2 F 6 gas when ignited as a plasma, produces a radical CF 3 in the following reaction:
  • the CF 3 providing the fluorine reactant species for etching of silicon dioxide deposits.
  • the cleaning operation is typically performed using carbon tetrafluoride (CF 4 ) or carbon hexafluoroethane and the like, along with an oxygen source such as oxygen or nitrous oxide and the like.
  • CF 4 carbon tetrafluoride
  • oxygen source such as oxygen or nitrous oxide and the like.
  • C 2 F 6 and related etchant gases such as CF 4
  • CF 4 etchant gases
  • U.S. Environmental Protection Agency has ordered the reduction of all such gases which contribute to the depletion of the ozone layer and support the greenhouse effect. This means limiting or curtailing the use of the most widely employed etchant gases for reactor chamber cleaning.
  • NF 3 nitrogen-fluorine based etchant gases
  • NF 3 is a very aggressive etchant gas because it produces more fluorine in the plasma than the aforementioned "greenhouse” gases. Therefore, the interior surfaces of the reactor chamber are cleaned much faster.
  • drawbacks to using NF 3 One characteristic property of NF 3 is that it is strongly electronegative (more so than CF 4 or C 2 F 6 ) and therefore tends to reduce the population of free electrons in the plasma, due to its great affinity for electrons.
  • the problem is that at RF excitation frequencies in the megahertz range (such as, for example, 13.56 MHz), a depleted electron population causes insufficient coupling between the RF signal and the plasma to sustain the plasma. This is primarily because at such high frequencies, the electrons are the only charged particles in the plasma with sufficient charge-to-mass ratio to be able to follow the rapid RF field oscillations. Thus, it is the electrons which kinetically couple the energy from the RF field to the ions and radicals in the plasma. The result is that as NF 3 begins to reduce the electron population in the plasma, portions of the plasma become unstable, and flickering or collapsing of the plasma may be observed.
  • RF excitation frequencies in the megahertz range such as, for example, 13.56 MHz
  • Plasma instabilities make the cleaning process uncertain, since plasma flickering results in the plasma density being reduced in various regions of the chamber for varying durations. This makes cleaning process control problematic, and the chamber may not be entirely cleaned at the conclusion of a given chamber cleaning process. With such instabilities, the effective plasma "on" time throughout the chamber is uncertain and so it is not possible to predict the required clean time with reasonable accuracy for consistent repetitive chamber clean operations. As a result, the specified chamber clean time is typically increased above that which would normally be necessary if the plasma was stable.
  • NF 3 is a relatively expensive gas, as compared to other etchant species which are employed in plasma cleaning operations, thus making its use costly.
  • Non-greenhouse gas that could be employed for chamber cleaning is sulfur hexafluoride (SF 6 ).
  • SF 6 sulfur hexafluoride
  • this etchant gas when used at the typical pressures and excitation frequencies, it exhibits an extremely poor cleaning performance. Due to this inefficiency, large quantities of the gas are required, along with long cleaning times. Thus, the expense of using this gas is high and wafer throughput is low.
  • an apparatus and method for cleaning the interior of a vacuum chamber of a RF plasma reactor which includes introducing an etchant gas through inlets into the vacuum chamber and applying RF power via a RF signal to a RF plasma excitation apparatus so as to ignite and sustain a plasma within the chamber.
  • the frequency of the RF signal is made such that a substantial portion of the etchant gas molecules are influenced by and follow the RF field oscillation created within the chamber by the RF plasma excitation apparatus. This frequency is less than 1 MHz, and preferably within a range of about 50 kHz to about 800 kHz.
  • the step of applying RF power involves providing a first and second RF signal, where each signal exhibits a different frequency.
  • the first RF signal is of a higher frequency and provided to ignite a plasma within the chamber, and thereafter terminated, whereas the second RF signal is of a lower frequency and provided to sustain the plasma.
  • This lower frequency is again less than 1 MHz, and preferably within a range of about 50 kHz to about 800 kHz.
  • the step of applying RF power again comprises providing separate RF signals, where each signal exhibits a different frequency.
  • the signals are used to generate a mixed frequency RF excitation field from the RF plasma excitation apparatus to ignite and sustain a plasma within the chamber.
  • the first signal exhibits a higher frequency preferably within a range of about 1 MHz to about 15 MHz, and the second RF signal exhibits a lower frequency in the previously stated ranges.
  • the lower frequency excitation results in a substantial portion of the etchant gas molecules being influenced by and following the RF field oscillations.
  • This means the coupling of power to the plasma is not dependent solely on the electrons, but rather involves other plasma particles as well.
  • highly electronegative gases such as NF 3 which deplete the electron population of the plasma can be employed without the resulting plasma instabilities.
  • FIG. 1 is an exemplary, partially cross-sectional diagram of a type of RF plasma reactor in which the invention can be embodied.
  • FIG. 2 is graph plotting relative dissociation of etchant gases against RF power at both 350 kHz and 13.56 MHz in a fluorine-based plasma.
  • FIG. 3 is an exemplary, partially cross-sectional diagram of a parallel plate, plasma enhanced CVD reactor in which the invention can be embodied.
  • the preferred embodiments of the present invention solve the previously described problems by employing a lower frequency RF excitation field, alone or in combination with a high frequency RF field, during chamber cleaning operations.
  • a reactor 10 capable of performing this task is shown.
  • the reactor includes RF excitation apparatus such as electrodes 18, 20 and/or an RF antenna 22, as well as a high frequency RF source 24 and a low frequency RF source 26, each of which is capable of being connected to either or both of the electrodes 18, 20, and/or the RF antenna 22.
  • the RF excitation apparatus includes three conventional processor controlled switching devices 25, or the like, which are capable of connecting the high frequency RF power source 24 and/or the low frequency RF power source 26 to one or both of the electrodes 18, 20 and/or the RF antenna 22. The also capable of connecting also capable of connecting either of the electrodes 18, 20 to ground.
  • a process controller 27, such as a microprocessor, is used to control the switching devices 25. This controller 27 is also preferably configured to control others or all of the plasma reactor components. This allows the controller 27 to control such reactor processing parameters as pressure, temperature, gas flow, and the like.
  • the RF excitation apparatus shown in FIG. 1 is meant to be exemplary in nature.
  • the placement of the electrodes 18, 20, and the antenna 22, can be varied. Additionally, even though both electrodes 18, 20 and an antenna 22 are shown, any may be omitted.
  • a chamber cleaning operation is conducted with the RF excitation electrodes 18, 20 and/or RF antenna 22 being driven at a low frequency below about 1 MHz using the low frequency RF source 26.
  • the frequency is between about 50-800 kHz.
  • the lower frequency excitation has significant advantages when performing chamber cleaning operations. First, the etchant gas molecules spend more time in the excitation field resulting in more collisions, and therefore, a higher degree of dissociation of the etchant gas. It is believed a dissociation of approximately 40-70 percent can be achieved, as compared to a maximum of about 30 percent typical of current high frequency excitation cleaning operations. An increased dissociation means that more reactant species are available to clean the unwanted deposits from the chamber surfaces.
  • the power provided to the RF excitation apparatus can be reduced.
  • the RF power is kept high (i.e. as high as about 2000 watts in some applications).
  • the high power is used to, in part, increase the dissociation of the etchant gas, and in part, to provide energetic plasma particles.
  • the greater dissociation increases the ion-assisted etching action and the momentum of the energetic particles contributes to the removal of the unwanted deposits via physical impact (i.e. sputtering). In this way the time required to clean the chamber is minimized.
  • residue is cleaned from some surfaces of the chamber before others. The first-cleaned surfaces can be significantly damaged by the physical impact of the energetic plasma particles.
  • the cleaning rate there is a tradeoff between the cleaning rate and the degree of damage to the first-cleaned surfaces in current high frequency cleaning systems. If the power is decreased, the plasma particles would be less energetic and so do less damage to the cleaned surfaces. However, the particles' contribution to residue removal via physical impact and the degree of dissociation of the etchant gas also falls. Thus, the cleaning rate decreases. If the power is increased, the cleaning rate increases, but so does the damage to the first-cleaned surfaces.
  • the embodiments of the present invention provide a way to reduce the physical damage to the cleaned surfaces by employing lower power levels, while at the same time increasing the efficiency and utilization of the etchant gas.
  • the graph depicted in FIG. 2 shows that with low frequency excitation, the relative dissociation of the etchant gas is greater at lower power levels, and decreases as the power increases. Since the lower frequency excitation of the plasma tends to increase the dissociation of the etchant gas, as explained above, higher power is not needed for this purpose. It has been found that a RF power range of about 100-500 watts is feasible using a low frequency excitation field. Thus, the plasma particles are not as energetic and so do less damage.
  • the aforementioned higher frequency of collisions resulting from the use of a lower frequency excitation of the plasma also reduces the overall energy and momentum of the plasma particles.
  • the higher frequency of collisions creates a larger plasma current.
  • the plasma current tends to counteract any bias potential between the electrodes 18, 20 of FIG. 1 (if used). This, in turn, reduces the acceleration of the plasma particles caused by the bias, and so reduces the damage to chamber surfaces, especially the electrodes.
  • the exact power level employed is preferably chosen so as to maximize the dissociation of the etchant gas. Maximizing the dissociation minimizes cleaning time and etchant gas requirements, as discussed previously.
  • the power level which will maximize the dissociation of the etchant gas will vary depending on the type of etchant gas employed and the exact frequency chosen for the low frequency excitation signal. However, the optimum power level which will maximize dissociation for a particular etchant gas and frequency can be readily determine using conventional testing methods. For example, it has been found that when C 2 F 6 is employed as an etchant gas at an excitation frequency of 350 kHz, a maximum dissociation of the gas is obtained at approximately 200 watts.
  • the net result of the increased dissociation of the etchant gas and decrease in particle momentum in the plasma afforded by the low frequency excitation field is to maximize the etching action of the plasma while minimizing any damage to the chamber structures, particularly on the electrode surfaces. It is believed that in most cases, the increase in etchant gas dissociation will compensate for any decrease in the rate of removal of the unwanted deposits due to the decrease in particle momentum. However, even if under some circumstances the overall cleaning rate is lowered, this is balanced against the benefit of reducing or eliminating the need for the aforementioned "greenhouse" gases.
  • the lower frequency excitation also allows plasma particles (i.e. ions and radicals) other than just electrons to follow the RF excitation field oscillations, thereby lessening the role of the electrons in kinetically coupling the RF energy to the plasma.
  • a strongly electronegative etchant gas such as NF 3
  • NF 3 can be employed with a reduced occurrence of the previously described plasma instabilities. This makes the use of NF 3 even more attractive as a replacement or supplement for a "greenhouse gas" etchant species.
  • the low frequency excitation has advantages in a plasma cleaning operation, its use in combination with a high frequency excitation may provide additional advantages not available when low frequency is used alone.
  • a high frequency excitation field can provide more efficient method of igniting the plasma.
  • This high frequency would be in the MHz range, and preferably between about 1-15 MHz.
  • the high frequency RF source 24 would be connected to one or both of the electrodes 18, 20 and/or the antenna 22 until the plasma has been generated. Thereafter, the high frequency source 24 would be disconnected, and the low frequency RF source 26 would be connected to one or both of the electrodes 18, 20 and/or the antenna 22 to sustain the plasma during the cleaning operation.
  • the high frequency source 24 could also be left on to provide a mixed frequency excitation of the plasma. It is believed that a mixed frequency excitation during cleaning operations may provide a better cleaning of some areas of the chamber. Examples are some of the peripheral chamber components exclusive of the electrodes 18, 20, such as the chamber walls, pumping manifolds and pumping channels. In addition, it is believed that some types of deposits would be etched more efficiently in the presence of a mixed frequency excitation. For example, the mixed frequency excitation may more efficiently etch thermally deposited films which tend to deposit globally within the vacuum chamber 12 (as compared to a plasma deposited film which tends to have a deposition pattern more confined to the area between the driven electrodes 18, 20). These advantages associated with the use of a mixed frequency excitation of the plasma during cleaning operation may result from an expansion of the plasma within the reactor chamber which brings it closer to the peripheral chamber components.
  • the mixed frequency excitation can be accomplished in a number of ways.
  • One or both of the electrodes 18, 20 and/or the antenna 22, could be driven simultaneously by the high frequency and low frequency RF power sources 24, 26.
  • one or both of the electrodes could be driven by one of the two sources 24, 26 and the antenna 22 could be driven by the other source.
  • one of the electrodes 18, 20 could be driven by one of the two sources 24, 26, while the other electrode is driven by the other source, as long as the chamber is grounded (as shown in the dashed lines of FIG. 1).
  • a single power supply might be employed which is capable of generating multiple RF signals of differing frequency.
  • a mixed frequency excitation is produced by simultaneously coupling high and low frequency RF signals to the plasma, no matter what driving configuration is employed.
  • appropriate tunable matching networks 28 be employed between the sources 24, 26, and the electrodes 18, 20 and antenna 22.
  • the appropriate filter network (not shown) be employed to attenuate undesirable frequencies produced by the mixing of the high and low frequencies and to isolate the power sources from each other.
  • these matching and filtering networks are well known in the art, no detailed description is provided herein.
  • the power levels associated with a mixed frequency chamber cleaning process are preferably optimized so as to maximize the dissociation of the etchant gas.
  • the optimum power levels for the low frequency excitation signal and the high frequency excitation signal which will maximize the degree of dissociation depend on the type of etchant gas involved and exact frequencies employed for the two excitation signals.
  • the optimum power levels which will maximize dissociation for a particular etchant gas and the chosen excitation signal frequencies can be readily determined using conventional testing methods.
  • FIG. 3 illustrates a simplified CVD plasma reactor having such a parallel plate architecture.
  • This reactor includes a processing chamber 30 having a substrate support 32 which is parallel to and separated by a distance "d" from a gas inlet manifold 34.
  • the gas inlet manifold 34 includes a chamber 36 in which the plasma gases are mixed and a gas manifold plate 38 having a plurality of small openings through which the plasma precursor gases pass into the plasma region between the gas manifold plate 38 and the substrate support 32.
  • the substrate support 32 corresponds to one of the parallel plates and the gas manifold plate 38 corresponds to the other of the parallel plates.
  • the gas manifold plate 38 is connected to a high frequency source 40, through an impedance matching network 42.
  • a low frequency power source 44 is also connected to the gas manifold plate 38 through an impedance matching network 46.
  • a plasma precursor gas is passed via an inlet pipe 48 through the gas manifold plate 38.
  • the chamber 30 is evacuated by means of an exhaust pump (not shown) through outlet 50, so as to control the pressure in the chamber (generally at 1-10 Torr).
  • the high frequency power source 40 has a RF frequency of about 13.56 MHz
  • the low frequency power source 44 has a frequency generally about 200-600 kHz.
  • the low frequency power source 44 generates about 90-500 watts
  • the high frequency power source 40 is set so that the total excitation power remains between about 200-1000 watts.
  • plasma cleaning of a plasma enhanced CVD reactor chamber can be carried out in a shorter period of time and more thoroughly when both a high frequency power source 40 and a low frequency power source 44 are employed during the cleaning process.
  • a high frequency power source 40 and a low frequency power source 44 are employed during the cleaning process.
  • the gas manifold plate 38 Since this plate 38 is adjacent to the plasma during processing, any particles that flake off are carried by the plasma onto the substrate. Thus, a thorough cleaning of the gas manifold plate 38 is particularly important.
  • the above-described dual mixed frequency excitation of the gas manifold plate resulted in a reduced cleaning time to completely remove silicon nitride from all surfaces in the plasma CVD reactor, including the periphery of the gas manifold plate. Specifically, the heretofore typical cleaning time of 120 seconds was reduced to between about 30-40 seconds.
  • the thickness of the silicon nitride deposits is not usually uniform across the gas manifold plate 38. It is typically thicker near the periphery of the plate 38. It is believed the theorized expansion of the plasma within the chamber under a dual, mixed frequency excitation scheme advantageously increases the rate at which the peripheral, thicker deposits are removed. Thus, the dual, mixed frequency excitation also contributes to a more thorough cleaning of the chamber surfaces.

Abstract

An apparatus and method for cleaning the interior of a vacuum chamber of a plasma reactor which includes introducing an etchant gas through inlet ports into the vacuum chamber and applying RF power to a RF plasma excitation apparatus so as to ignite and sustain a plasma within the chamber. The frequency of the RF signal is less than 1 MHz. Alternately, an apparatus and method for cleaning the aforementioned vacuum chamber where at least two different RF power signals can be employed. In one embodiment of this alternate method the step of applying RF power involves providing a first and second RF signal, where each signal exhibits a different frequency. The first RF signal is of a higher frequency and provided to ignite a plasma within the chamber, and thereafter terminated, whereas the second RF signal is of a lower frequency, less than 1 MHz, and provided to sustain the plasma. In another embodiment, the step of applying RF power again comprises providing separate RF signals, where each signal exhibits a different frequency. However, in this embodiment, the signals are used to generate a mixed frequency RF excitation field from the RF plasma excitation apparatus to ignite and sustain a plasma within the chamber. Here again, the first RF signal is of a higher frequency and the second RF signal is of a lower frequency, i.e. less than 1 MHz.

Description

BACKGROUND OF THE INVENTION
1. Technical Field
The invention relates to devices and methods for cleaning the interior surfaces of either a chemical vapor deposition (CVD) reactor or etch reactor using a plasma etch process to remove unwanted depositions.
2. Background Art
Reactors can perform various semiconductor processes on semiconductor substrates (often referred to as wafers), including dielectric etching and chemical vapor deposition (CVD). A reactor can employ purely chemical processes to perform CVD or etching, or else (depending upon the type of reactor), can perform radio frequency (RF) plasma CVD or plasma etching. The present description does not concern the process employed to carry out etching or CVD on a wafer but rather concerns a process for cleaning the interior surfaces of the reactor. Typically, during processing, unwanted depositions form on the interior surfaces of the reactor's vacuum chamber. For example, in a reactor used to perform chemical vapor deposition of silicon dioxide films, silicon dioxide (SiO2) residue forms over the interior surfaces of the chamber. In RF plasma CVD reactors having RF electrodes inside the chamber, the electrodes themselves can become contaminated with silicon dioxide. Other materials can contaminate the chamber interior surfaces, depending upon the type of process performed by the reactor. For instance, silicon carbide (SiC), silicon nitride (Si3 N4), and various silicon oxynitride (Six Oy Nz) deposits are also possibilities.
Unwanted depositions such as those described above, if allowed to build up on the interior surfaces of the reactor chamber, can inhibit the performance of the reactor. For example, in a CVD reactor, such unwanted depositions change the deposition rate from one wafer to the next, reduce the deposition uniformity across the surface of a given substrate, and change the layer stresses so that a consistent stress level cannot be maintained between successive thin film layers. In addition, these depositions could eventually flake off as conditions within the reactor chamber change during processing, thereby forming particulates. These particles may fall onto the wafer being processed, thereby damaging devices formed thereon and reducing the yield.
Preferably, the foregoing difficulties are generally avoided by cleaning the reactor chamber interior shortly before inserting a wafer to be processed into the chamber. In a reactor equipped with RF plasma excitation electrodes and/or an RF plasma excitation antenna, silicon dioxide deposits are typically cleaned by the introduction of an etchant gas, such as ethyl hexafluoride (C2 F6), into the chamber. The etchant gas is ignited into a plasma by the RF excitation apparatus of the reactor. For high-rate CVD RF plasma reactors, an RF excitation field of 13.56 MHz is typically employed to ignite the plasma and is used during both wafer processing and chamber clean operations. In fact, a 13.56 MHz RF field with C2 F6 etchant gas is the most often used combination in performing RF plasma cleaning operations involving silicon dioxide deposits. The C2 F6 gas, when ignited as a plasma, produces a radical CF3 in the following reaction:
C.sub.2 F.sub.6 +energy from plasma→2CF.sub.3,
the CF3 providing the fluorine reactant species for etching of silicon dioxide deposits.
In the case of silicon nitride or silicon oxynitride deposits, the cleaning operation is typically performed using carbon tetrafluoride (CF4) or carbon hexafluoroethane and the like, along with an oxygen source such as oxygen or nitrous oxide and the like.
One problem with current cleaning processes is that the unwanted deposits on the interior chamber surfaces typically vary in thickness from one location to the next. Therefore, it is difficult to remove the unwanted deposit uniformly. Some of the interior chamber surfaces will still have remaining deposits, when others have been completely cleaned. One solution to this problem would be to perform the cleaning process for a long enough period of time to ensure all the deposits are removed. However, this would further reduce the productive cycle of the reactor, forcing it to spend more time in the unproductive cleaning process rather than in processing wafers. In addition, prolonged plasma cleaning operations can result in significant damage to cleaned chamber surfaces. The extra time required to ensure that all the chamber surfaces are thoroughly cleaned can cause varying degrees of damage to the first-cleaned surfaces.
Another problem with the use of C2 F6, and related etchant gases such as CF4, is that they are so-called "greenhouse" gases. The U.S. Environmental Protection Agency has ordered the reduction of all such gases which contribute to the depletion of the ozone layer and support the greenhouse effect. This means limiting or curtailing the use of the most widely employed etchant gases for reactor chamber cleaning.
Of course, other "non-greenhouse" gases are available to perform the aforementioned cleaning operation. For example, nitrogen-fluorine based etchant gases, such as NF3, provide one alternative. NF3 is a very aggressive etchant gas because it produces more fluorine in the plasma than the aforementioned "greenhouse" gases. Therefore, the interior surfaces of the reactor chamber are cleaned much faster. However, there are drawbacks to using NF3. One characteristic property of NF3 is that it is strongly electronegative (more so than CF4 or C2 F6) and therefore tends to reduce the population of free electrons in the plasma, due to its great affinity for electrons. The problem is that at RF excitation frequencies in the megahertz range (such as, for example, 13.56 MHz), a depleted electron population causes insufficient coupling between the RF signal and the plasma to sustain the plasma. This is primarily because at such high frequencies, the electrons are the only charged particles in the plasma with sufficient charge-to-mass ratio to be able to follow the rapid RF field oscillations. Thus, it is the electrons which kinetically couple the energy from the RF field to the ions and radicals in the plasma. The result is that as NF3 begins to reduce the electron population in the plasma, portions of the plasma become unstable, and flickering or collapsing of the plasma may be observed. Such plasma instability occurs at temperatures below 1000 degrees C and at chamber pressures between 0.005 and 10 Torr and at RF excitation frequencies on the order of 13.56 MHz. However, these are the very parameters preferred for current plasma cleaning operations. Plasma instabilities make the cleaning process uncertain, since plasma flickering results in the plasma density being reduced in various regions of the chamber for varying durations. This makes cleaning process control problematic, and the chamber may not be entirely cleaned at the conclusion of a given chamber cleaning process. With such instabilities, the effective plasma "on" time throughout the chamber is uncertain and so it is not possible to predict the required clean time with reasonable accuracy for consistent repetitive chamber clean operations. As a result, the specified chamber clean time is typically increased above that which would normally be necessary if the plasma was stable. This increased cleaning time can result in the damage to the first-cleaned chamber surfaces, as discussed previously, as well as increased processing downtime. And finally, it is noted that NF3 is a relatively expensive gas, as compared to other etchant species which are employed in plasma cleaning operations, thus making its use costly.
Another example of a "non-greenhouse" gas that could be employed for chamber cleaning is sulfur hexafluoride (SF6). However, when this etchant gas is used at the typical pressures and excitation frequencies, it exhibits an extremely poor cleaning performance. Due to this inefficiency, large quantities of the gas are required, along with long cleaning times. Thus, the expense of using this gas is high and wafer throughput is low.
As is evident from the above discussion, devices and methods for cleaning the interior surfaces of a plasma CVD or etch reactor are needed which will reduce or eliminate the need to employ "greenhouse gases", and alleviate the problems associated with the aforementioned alternate etchant gases. In addition, it is desired to reduce the cleaning times, without sacrificing the thoroughness of the cleaning, so as to improve the throughput of the plasma reactor.
SUMMARY OF THE INVENTION
The stated objectives are realized by an apparatus and method for cleaning the interior of a vacuum chamber of a RF plasma reactor which includes introducing an etchant gas through inlets into the vacuum chamber and applying RF power via a RF signal to a RF plasma excitation apparatus so as to ignite and sustain a plasma within the chamber. The frequency of the RF signal is made such that a substantial portion of the etchant gas molecules are influenced by and follow the RF field oscillation created within the chamber by the RF plasma excitation apparatus. This frequency is less than 1 MHz, and preferably within a range of about 50 kHz to about 800 kHz.
The stated objective is also realized by an apparatus and method for cleaning the aforementioned vacuum chamber where at least two different RF power signals are employed. In one embodiment of this version of the method the step of applying RF power involves providing a first and second RF signal, where each signal exhibits a different frequency. The first RF signal is of a higher frequency and provided to ignite a plasma within the chamber, and thereafter terminated, whereas the second RF signal is of a lower frequency and provided to sustain the plasma. This lower frequency is again less than 1 MHz, and preferably within a range of about 50 kHz to about 800 kHz. In another embodiment, the step of applying RF power again comprises providing separate RF signals, where each signal exhibits a different frequency. However, in this embodiment, the signals are used to generate a mixed frequency RF excitation field from the RF plasma excitation apparatus to ignite and sustain a plasma within the chamber. The first signal exhibits a higher frequency preferably within a range of about 1 MHz to about 15 MHz, and the second RF signal exhibits a lower frequency in the previously stated ranges.
These devices and methods reduce the need to employ "greenhouse" gases, such as C2 F6, by increasing the cleaning efficiency of the etchant gas. This improved efficiency makes it possible to use less of the gas. In this way, the mandated reduction in the usage of "greenhouse" gases is accomplished. In addition, the devices and methods can be used to increase the cleaning efficiency of other "non-greenhouse" gases. Accordingly, etchant gases which were heretofore too expensive or too inefficient become viable alternatives to the widely used "greenhouse" gases. The aforementioned devices and methods also solve other problems traditionally associated with using the "non-greenhouse" etchant gases. For example, as discussed above the lower frequency excitation results in a substantial portion of the etchant gas molecules being influenced by and following the RF field oscillations. This means the coupling of power to the plasma is not dependent solely on the electrons, but rather involves other plasma particles as well. Thus, highly electronegative gases such as NF3 which deplete the electron population of the plasma can be employed without the resulting plasma instabilities.
Additionally, it has been found that the increase in the cleaning efficiency of the aforementioned etchant gases can result in a decreased cleaning time. In this way, the reactor throughput is advantageously increased.
BRIEF DESCRIPTION OF THE DRAWING
FIG. 1 is an exemplary, partially cross-sectional diagram of a type of RF plasma reactor in which the invention can be embodied.
FIG. 2 is graph plotting relative dissociation of etchant gases against RF power at both 350 kHz and 13.56 MHz in a fluorine-based plasma.
FIG. 3 is an exemplary, partially cross-sectional diagram of a parallel plate, plasma enhanced CVD reactor in which the invention can be embodied.
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
In the following description of the preferred embodiments of the present invention, reference is made to the accompanying drawings which form a part hereof, and in which is shown by way of illustration specific embodiments in which the invention may be practiced. It is understood that other embodiments may be utilized and structural changes may be made without departing from the scope of the present invention.
The preferred embodiments of the present invention solve the previously described problems by employing a lower frequency RF excitation field, alone or in combination with a high frequency RF field, during chamber cleaning operations. Referring to FIG. 1, a reactor 10 capable of performing this task is shown. The reactor 10, which can be used for either chemical etching or chemical CVD or plasma etching or plasma CVD, includes a vacuum chamber 12, a substrate support 14 for holding a semiconductor substrate to be processed (but typically not during chamber cleaning operations), and gas inlet ports 16. In addition, the reactor includes RF excitation apparatus such as electrodes 18, 20 and/or an RF antenna 22, as well as a high frequency RF source 24 and a low frequency RF source 26, each of which is capable of being connected to either or both of the electrodes 18, 20, and/or the RF antenna 22. Finally, the RF excitation apparatus includes three conventional processor controlled switching devices 25, or the like, which are capable of connecting the high frequency RF power source 24 and/or the low frequency RF power source 26 to one or both of the electrodes 18, 20 and/or the RF antenna 22. The also capable of connecting also capable of connecting either of the electrodes 18, 20 to ground. A process controller 27, such as a microprocessor, is used to control the switching devices 25. This controller 27 is also preferably configured to control others or all of the plasma reactor components. This allows the controller 27 to control such reactor processing parameters as pressure, temperature, gas flow, and the like.
It is noted that the RF excitation apparatus shown in FIG. 1 is meant to be exemplary in nature. The placement of the electrodes 18, 20, and the antenna 22, can be varied. Additionally, even though both electrodes 18, 20 and an antenna 22 are shown, any may be omitted.
In one embodiment, a chamber cleaning operation is conducted with the RF excitation electrodes 18, 20 and/or RF antenna 22 being driven at a low frequency below about 1 MHz using the low frequency RF source 26. Preferably, the frequency is between about 50-800 kHz. The lower frequency excitation has significant advantages when performing chamber cleaning operations. First, the etchant gas molecules spend more time in the excitation field resulting in more collisions, and therefore, a higher degree of dissociation of the etchant gas. It is believed a dissociation of approximately 40-70 percent can be achieved, as compared to a maximum of about 30 percent typical of current high frequency excitation cleaning operations. An increased dissociation means that more reactant species are available to clean the unwanted deposits from the chamber surfaces. If more of the reactant species are available, less of the etchant gas is needed to perform the same degree of cleaning in comparison to the high frequency cleaning procedures. Accordingly, if one of the "greenhouse" gases, such as C2 F6, is being used to clean the interior surfaces of the reactor chamber, less of this gas will be necessary and the mandated reduction is accomplished. In addition, the need for a "greenhouse" gas could be substantially or completely eliminated because a higher degree of dissociation would make the alternate etchant gases more viable as a supplement or replacement for the "greenhouse" gases. For example, in the case of an expensive etchant gas, such as NF3, less would be required to thoroughly clean the chamber. The cost of using this gas is thereby reduced, and its use becomes more attractive. In addition, the increased dissociation can speed the cleaning process, thereby reducing the amount of time required to completely clean the chamber surfaces. Thus, reactor throughput time is improved.
Similar benefits are realized in the case of an inefficient etchant gas, such as SF6, where a higher degree of dissociation boosts its cleaning efficiency. This higher efficiency allows less of the gas to be employed, thereby reducing the cost and possibly decreasing the time required to complete the chamber cleaning process.
Another benefit of a lower frequency excitation is that the power provided to the RF excitation apparatus can be reduced. In current high frequency cleaning systems, the RF power is kept high (i.e. as high as about 2000 watts in some applications). The high power is used to, in part, increase the dissociation of the etchant gas, and in part, to provide energetic plasma particles. In principal, the greater dissociation increases the ion-assisted etching action and the momentum of the energetic particles contributes to the removal of the unwanted deposits via physical impact (i.e. sputtering). In this way the time required to clean the chamber is minimized. However, as discussed previously, residue is cleaned from some surfaces of the chamber before others. The first-cleaned surfaces can be significantly damaged by the physical impact of the energetic plasma particles. Thus, there is a tradeoff between the cleaning rate and the degree of damage to the first-cleaned surfaces in current high frequency cleaning systems. If the power is decreased, the plasma particles would be less energetic and so do less damage to the cleaned surfaces. However, the particles' contribution to residue removal via physical impact and the degree of dissociation of the etchant gas also falls. Thus, the cleaning rate decreases. If the power is increased, the cleaning rate increases, but so does the damage to the first-cleaned surfaces.
The embodiments of the present invention, on the other hand, provide a way to reduce the physical damage to the cleaned surfaces by employing lower power levels, while at the same time increasing the efficiency and utilization of the etchant gas. The graph depicted in FIG. 2 shows that with low frequency excitation, the relative dissociation of the etchant gas is greater at lower power levels, and decreases as the power increases. Since the lower frequency excitation of the plasma tends to increase the dissociation of the etchant gas, as explained above, higher power is not needed for this purpose. It has been found that a RF power range of about 100-500 watts is feasible using a low frequency excitation field. Thus, the plasma particles are not as energetic and so do less damage. In addition, the aforementioned higher frequency of collisions resulting from the use of a lower frequency excitation of the plasma also reduces the overall energy and momentum of the plasma particles. Further, the higher frequency of collisions creates a larger plasma current. The plasma current tends to counteract any bias potential between the electrodes 18, 20 of FIG. 1 (if used). This, in turn, reduces the acceleration of the plasma particles caused by the bias, and so reduces the damage to chamber surfaces, especially the electrodes.
The exact power level employed is preferably chosen so as to maximize the dissociation of the etchant gas. Maximizing the dissociation minimizes cleaning time and etchant gas requirements, as discussed previously. The power level which will maximize the dissociation of the etchant gas will vary depending on the type of etchant gas employed and the exact frequency chosen for the low frequency excitation signal. However, the optimum power level which will maximize dissociation for a particular etchant gas and frequency can be readily determine using conventional testing methods. For example, it has been found that when C2 F6 is employed as an etchant gas at an excitation frequency of 350 kHz, a maximum dissociation of the gas is obtained at approximately 200 watts.
The net result of the increased dissociation of the etchant gas and decrease in particle momentum in the plasma afforded by the low frequency excitation field is to maximize the etching action of the plasma while minimizing any damage to the chamber structures, particularly on the electrode surfaces. It is believed that in most cases, the increase in etchant gas dissociation will compensate for any decrease in the rate of removal of the unwanted deposits due to the decrease in particle momentum. However, even if under some circumstances the overall cleaning rate is lowered, this is balanced against the benefit of reducing or eliminating the need for the aforementioned "greenhouse" gases.
The lower frequency excitation also allows plasma particles (i.e. ions and radicals) other than just electrons to follow the RF excitation field oscillations, thereby lessening the role of the electrons in kinetically coupling the RF energy to the plasma. As a result, a strongly electronegative etchant gas, such as NF3, can be employed with a reduced occurrence of the previously described plasma instabilities. This makes the use of NF3 even more attractive as a replacement or supplement for a "greenhouse gas" etchant species.
Although the low frequency excitation has advantages in a plasma cleaning operation, its use in combination with a high frequency excitation may provide additional advantages not available when low frequency is used alone. For example, a high frequency excitation field can provide more efficient method of igniting the plasma. This high frequency would be in the MHz range, and preferably between about 1-15 MHz. Referring to FIG. 1, initially, the high frequency RF source 24 would be connected to one or both of the electrodes 18, 20 and/or the antenna 22 until the plasma has been generated. Thereafter, the high frequency source 24 would be disconnected, and the low frequency RF source 26 would be connected to one or both of the electrodes 18, 20 and/or the antenna 22 to sustain the plasma during the cleaning operation.
The high frequency source 24 could also be left on to provide a mixed frequency excitation of the plasma. It is believed that a mixed frequency excitation during cleaning operations may provide a better cleaning of some areas of the chamber. Examples are some of the peripheral chamber components exclusive of the electrodes 18, 20, such as the chamber walls, pumping manifolds and pumping channels. In addition, it is believed that some types of deposits would be etched more efficiently in the presence of a mixed frequency excitation. For example, the mixed frequency excitation may more efficiently etch thermally deposited films which tend to deposit globally within the vacuum chamber 12 (as compared to a plasma deposited film which tends to have a deposition pattern more confined to the area between the driven electrodes 18, 20). These advantages associated with the use of a mixed frequency excitation of the plasma during cleaning operation may result from an expansion of the plasma within the reactor chamber which brings it closer to the peripheral chamber components.
The mixed frequency excitation can be accomplished in a number of ways. One or both of the electrodes 18, 20 and/or the antenna 22, could be driven simultaneously by the high frequency and low frequency RF power sources 24, 26. Alternately, one or both of the electrodes could be driven by one of the two sources 24, 26 and the antenna 22 could be driven by the other source. Or, one of the electrodes 18, 20 could be driven by one of the two sources 24, 26, while the other electrode is driven by the other source, as long as the chamber is grounded (as shown in the dashed lines of FIG. 1). Of course, these are just examples of the possible permutations for driving the electrodes 18, 20, and antenna 22. It is not intended the present invention be limited to just these scenarios. Additionally, a single power supply might be employed which is capable of generating multiple RF signals of differing frequency. The key point is that a mixed frequency excitation is produced by simultaneously coupling high and low frequency RF signals to the plasma, no matter what driving configuration is employed. It is also noted that to prevent reflected power from affecting the performance of the RF power sources 24, 26, it is preferred that appropriate tunable matching networks 28 be employed between the sources 24, 26, and the electrodes 18, 20 and antenna 22. In addition, in those cases where both high and low frequency power sources are coupled to the same electrode or the antenna, it is preferred that the appropriate filter network (not shown) be employed to attenuate undesirable frequencies produced by the mixing of the high and low frequencies and to isolate the power sources from each other. As these matching and filtering networks are well known in the art, no detailed description is provided herein.
As with an exclusively low frequency cleaning operation, the power levels associated with a mixed frequency chamber cleaning process are preferably optimized so as to maximize the dissociation of the etchant gas. The optimum power levels for the low frequency excitation signal and the high frequency excitation signal which will maximize the degree of dissociation depend on the type of etchant gas involved and exact frequencies employed for the two excitation signals. Here again, the optimum power levels which will maximize dissociation for a particular etchant gas and the chosen excitation signal frequencies can be readily determined using conventional testing methods.
The following example involving the cleaning of silicon nitride deposits from the interior surfaces of a particular type of plasma reactor is provided to further illustrate the practice of the invention. It is not intended that the invention be limited to the devices and methods described in this example, or to the cleaning of only silicon nitride deposits.
Although many different types of plasma reactors can be used to deposit silicon nitride films, so-called parallel plate plasma reactors are widely used. FIG. 3 illustrates a simplified CVD plasma reactor having such a parallel plate architecture. This reactor includes a processing chamber 30 having a substrate support 32 which is parallel to and separated by a distance "d" from a gas inlet manifold 34. The gas inlet manifold 34 includes a chamber 36 in which the plasma gases are mixed and a gas manifold plate 38 having a plurality of small openings through which the plasma precursor gases pass into the plasma region between the gas manifold plate 38 and the substrate support 32. The substrate support 32 corresponds to one of the parallel plates and the gas manifold plate 38 corresponds to the other of the parallel plates. The gas manifold plate 38 is connected to a high frequency source 40, through an impedance matching network 42. A low frequency power source 44 is also connected to the gas manifold plate 38 through an impedance matching network 46. A plasma precursor gas is passed via an inlet pipe 48 through the gas manifold plate 38. The chamber 30 is evacuated by means of an exhaust pump (not shown) through outlet 50, so as to control the pressure in the chamber (generally at 1-10 Torr). In this example, the high frequency power source 40 has a RF frequency of about 13.56 MHz, whereas the low frequency power source 44 has a frequency generally about 200-600 kHz. In addition, the low frequency power source 44 generates about 90-500 watts, and the high frequency power source 40 is set so that the total excitation power remains between about 200-1000 watts.
It has been found that plasma cleaning of a plasma enhanced CVD reactor chamber, such as depicted in FIG. 3, can be carried out in a shorter period of time and more thoroughly when both a high frequency power source 40 and a low frequency power source 44 are employed during the cleaning process. For example, it has been found that during a normal cleaning cycle, the last place in the chamber to be cleared of silicon nitride deposits is the gas manifold plate 38. Since this plate 38 is adjacent to the plasma during processing, any particles that flake off are carried by the plasma onto the substrate. Thus, a thorough cleaning of the gas manifold plate 38 is particularly important. The above-described dual mixed frequency excitation of the gas manifold plate resulted in a reduced cleaning time to completely remove silicon nitride from all surfaces in the plasma CVD reactor, including the periphery of the gas manifold plate. Specifically, the heretofore typical cleaning time of 120 seconds was reduced to between about 30-40 seconds.
Additionally, the thickness of the silicon nitride deposits is not usually uniform across the gas manifold plate 38. It is typically thicker near the periphery of the plate 38. It is believed the theorized expansion of the plasma within the chamber under a dual, mixed frequency excitation scheme advantageously increases the rate at which the peripheral, thicker deposits are removed. Thus, the dual, mixed frequency excitation also contributes to a more thorough cleaning of the chamber surfaces.
While the invention has been described in detail by specific reference to preferred embodiments, it is understood that variations and modifications thereof may be made without departing from the true spirit and scope of the invention. For example, more than two RF power sources could be employed to drive the RF excitation apparatus to generate the previously described mixed excitation field.

Claims (30)

What is claimed is:
1. A method for cleaning unwanted deposition from the interior surface of a vacuum chamber of a plasma reactor, the reactor having RF plasma excitation apparatus and gas inlet ports, the method comprising the steps of:
introducing an etchant gas through the inlet ports into the vacuum chamber; and
applying RF power via a RF signal to the RF plasma excitation apparatus so as to ignite and sustain a plasma within the chamber during cleaning; wherein
the RF signal has a frequency which is less than 1 MHz.
2. The method of claim 1 wherein the frequency of said RF power is within a range of about 50 kHz to about 800 kHz.
3. The method of claim 1 wherein the step of applying RF power comprises selecting an RF power level which produces an approximately maximum dissociation of the etchant gas in the plasma.
4. The method of claim 3 wherein the RF power level is within a range from about 100 watts to about 500 watts.
5. The method of claim 1 wherein said etchant gas comprises a fluorine compound.
6. The method of claim 5 wherein said etchant gas comprises a carbon-fluorine compound.
7. The method of claim 6 wherein the step of introducing the etchant gas comprises the step of minimizing the amount of the carbon-fluorine compound introduced to an amount just sufficient enough to effect a thorough cleaning deposited residue from the interior surfaces of the chamber.
8. The method of claim 5 wherein the fluorine compound comprises a nitrogen-fluorine compound.
9. The method of claim 8 wherein said nitrogen-fluorine compound comprises NF3.
10. The method of claim 5 wherein the fluorine compound comprises a sulfur-fluorine compound.
11. The method of claim 10, wherein said sulfur-fluorine compound comprises SF6.
12. The method of claim 1 wherein the etchant gas comprises a combination of fluorine compounds.
13. The method of claim 12 wherein the step of introducing the etchant gas comprises one of: (i) minimizing the amount of carbon-fluorine compounds in said combination of fluorine compounds, or (ii) foregoing the use of carbon-fluorine compounds in said combination of fluorine compounds.
14. The method of claim 12 wherein the combination of fluorine compounds comprises a nitrogen-fluorine compound.
15. The method of claim 14 wherein said nitrogen-fluorine compound comprises NF3.
16. The method of claim 12 wherein the combination of fluorine compounds comprises a sulfur-fluorine compound.
17. The method of claim 16 wherein said sulfur-fluorine compound comprises SF6.
18. The method of claim 1 wherein the RF plasma excitation apparatus comprises at least one of (i) a pair of electrodes, and (ii) a RF antenna, and wherein the step of applying the RF power comprises:
providing the RF signal to at least one of (i) a first one of the pair of electrodes, (ii) a second one of the pair of electrodes, and (iii) the RF antenna.
19. A method for cleaning unwanted deposition from the interior surface of a vacuum chamber of a plasma reactor, the reactor having RF plasma excitation apparatus and gas inlet ports, the method comprising the steps of:
introducing an etchant gas through the inlet ports into the vacuum chamber; and
applying RF power to the RF plasma excitation apparatus; wherein
said step of applying RF power comprises providing separate RF signals, each signal exhibiting a different frequency, the combination of which causes a mixed frequency RF excitation field to be generated by the RF plasma excitation apparatus for igniting and sustaining a plasma within the chamber during cleaning.
20. The method of claim 19 wherein the step of applying the RF power comprises:
providing a first RF signal exhibiting a higher frequency and a second RF signal exhibiting a lower frequency, said lower frequency being less than 1 MHz.
21. The method of claim 20 wherein the higher frequency is within a range of about 1 MHz to about 15 MHz, and the lower frequency is within a range of about 50 kHz to about 800 kHz.
22. The method of claim 20 wherein the step of providing a first RF signal exhibiting a higher frequency and a second RF signal exhibiting a lower frequency comprises selecting an RF power level for each signal which in combination produces an approximately maximum dissociation of the etchant gas in the plasma.
23. The method of claim 20 wherein the RF plasma excitation apparatus comprises at least one of (i) a pair of electrodes, and (ii) a RF antenna, and wherein the step of applying the RF power comprises:
providing the first and second RF signals to at least one of (i) a first one of the pair of electrodes, (ii) a second one of the pair of electrodes, and (iii) the RF antenna.
24. The method of claim 20 wherein the RF plasma excitation apparatus comprises a pair of electrodes, and the chamber is grounded, and wherein the step of applying the RF power comprises:
providing the first RF signal to a first one of the pair of electrodes and the second RF signal to a second one of the pair of electrodes.
25. The method of claim 20 wherein the RF plasma excitation apparatus comprises a pair of electrodes and a RF antenna, and wherein the step of applying the RF power comprises:
providing one of (i) the first RF signal to at least a one of the pair of electrodes and the second RF signal to the RF antenna, or (ii) providing the first RF signal to the RF antenna and the second RF signal to at least one of the pair of electrodes.
26. A method for cleaning unwanted deposition from the interior surface of a vacuum chamber of a plasma reactor, the reactor having RF plasma excitation apparatus and gas inlet ports, the method comprising the steps of:
introducing an etchant gas through the inlet ports into the vacuum chamber; and
applying RF power to the RF plasma excitation apparatus during cleaning; wherein
said step of applying RF power comprises providing a first and second RF signal, each signal exhibiting a different frequency, wherein the first RF signal is provided to ignite a plasma within the chamber and thereafter terminated, and the second RF signal is provided to sustain the plasma.
27. The method of claim 26 wherein the second RF signal exhibits a frequency which is less than 1 MHz.
28. The method of claim 26 wherein the first RF signal exhibits a higher frequency and the second RF signal exhibits a lower frequency, the lower frequency being within a range of about 50 kHz to about 800 kHz, and the higher frequency is within a range of about 1 MHz to about 15 MHz.
29. The method of claim 26 wherein the step of providing the second RF signal comprises selecting an RF power level of the second RF signal which produces an approximately maximum dissociation of the etchant gas in the plasma.
30. The method of claim 29 wherein the RF power level is within a range from about 100 watts to about 500 watts.
US08/786,604 1997-01-21 1997-01-21 Plasma cleaning of a CVD or etch reactor using a low or mixed frequency excitation field Expired - Lifetime US5882424A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US08/786,604 US5882424A (en) 1997-01-21 1997-01-21 Plasma cleaning of a CVD or etch reactor using a low or mixed frequency excitation field

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US08/786,604 US5882424A (en) 1997-01-21 1997-01-21 Plasma cleaning of a CVD or etch reactor using a low or mixed frequency excitation field

Publications (1)

Publication Number Publication Date
US5882424A true US5882424A (en) 1999-03-16

Family

ID=25139077

Family Applications (1)

Application Number Title Priority Date Filing Date
US08/786,604 Expired - Lifetime US5882424A (en) 1997-01-21 1997-01-21 Plasma cleaning of a CVD or etch reactor using a low or mixed frequency excitation field

Country Status (1)

Country Link
US (1) US5882424A (en)

Cited By (193)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2339553A (en) * 1998-04-28 2000-02-02 Balzers Hochvakuum Ag Dry or plasma etching with reduction in gas flow during reaction and use of SF4
US6242359B1 (en) * 1997-08-20 2001-06-05 Air Liquide America Corporation Plasma cleaning and etching methods using non-global-warming compounds
US6309978B1 (en) 1998-07-22 2001-10-30 Micron Technology, Inc. Beat frequency modulation for plasma generation
US6318381B1 (en) * 1999-07-13 2001-11-20 Micron Technology, Inc. Methods of cleaning vaporization surfaces
US6355557B2 (en) * 1998-07-22 2002-03-12 Applied Materials, Inc. Oxide plasma etching process with a controlled wineglass shape
US20020031617A1 (en) * 2000-09-12 2002-03-14 Masahiro Sumiya Plasma processing apparatus and method with controlled biasing functions
US6408860B1 (en) * 2000-09-21 2002-06-25 Trw Inc. Method for cleaning phosphorus from an MBE chamber
DE10102745A1 (en) * 2001-01-22 2002-08-01 Infineon Technologies Ag Chemical vapor deposition reactor has internal and external plasma generators to ionize and create free radicals in cleaning gases passed through
WO2002068712A2 (en) * 2001-02-23 2002-09-06 Applied Materials, Inc. Removal of etchant residues
WO2002081788A2 (en) * 2001-04-06 2002-10-17 Wafermasters, Incorporated Method for h2 recycling in semiconductor processing system
US20030049558A1 (en) * 2000-12-12 2003-03-13 Makoto Aoki Vacuum processing method, vacuum processing apparatus, semiconductor device manufacturing method and semiconductor device
US6564810B1 (en) * 2000-03-28 2003-05-20 Asm America Cleaning of semiconductor processing chambers
WO2003054911A2 (en) * 2001-12-13 2003-07-03 Tokyo Electron Limited Plasma process apparatus
US20030186516A1 (en) * 2002-04-01 2003-10-02 Matsushita Electric Industrial Co., Ltd. Method for fabricating semiconductor device
US6664737B1 (en) * 2002-06-21 2003-12-16 Axcelis Technologies, Inc. Dielectric barrier discharge apparatus and process for treating a substrate
US20040099281A1 (en) * 2002-11-22 2004-05-27 Applied Materials, Inc. Method for cleaning plasma enhanced chemical vapor deposition chamber using very high frequency energy
US20040144490A1 (en) * 2003-01-27 2004-07-29 Applied Materials, Inc. Method and apparatus for cleaning a CVD chamber
US20050051269A1 (en) * 2003-07-30 2005-03-10 Unaxis Balzers, Ltd. Method of manufacturing vacuum plasma treated workpieces and system for vacuum plasma treating workpieces
US20050069651A1 (en) * 2003-09-30 2005-03-31 Tokyo Electron Limited Plasma processing system
US20050221020A1 (en) * 2004-03-30 2005-10-06 Tokyo Electron Limited Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film
US20050241670A1 (en) * 2004-04-29 2005-11-03 Dong Chun C Method for cleaning a reactor using electron attachment
US20060240645A1 (en) * 2004-08-06 2006-10-26 Silicon Genesis Corporation Method and system for source switching and in-situ plasma bonding
US20080102645A1 (en) * 2006-10-31 2008-05-01 Applied Materials, Inc. Plasma for resist removal and facet control of underlying features
US20080119049A1 (en) * 2006-11-17 2008-05-22 Samsung Electronics Co., Ltd. Plasma etching method and apparatus
US20080289576A1 (en) * 2007-05-23 2008-11-27 Samsung Electronics Co., Ltd. Plasma based ion implantation system
US20090004873A1 (en) * 2007-06-26 2009-01-01 Intevac, Inc. Hybrid etch chamber with decoupled plasma controls
US20090139540A1 (en) * 2007-11-30 2009-06-04 Applied Materials, Inc. Repairing surface defects and cleaning residues from plasma chamber components
US20100126667A1 (en) * 2008-11-26 2010-05-27 Advanced Micro-Fabrication Equipment, Inc. Asia Capacitive cvd reactor and methods for plasma cvd process
US20100258530A1 (en) * 2003-03-04 2010-10-14 Hitachi Kokusai Electric Inc. Substrate processing apparatus and producing method of device
US8332831B1 (en) * 2000-08-07 2012-12-11 Altera Corporation Software-to-hardware compiler with symbol set inference analysis
US20140057447A1 (en) * 2012-08-02 2014-02-27 Applied Materials, Inc. Semiconductor processing with dc assisted rf power for improved control
US20140209243A1 (en) * 2013-01-25 2014-07-31 Samsung Electronics Co., Ltd. Plasma Equipment and Method of Dry-Cleaning the Same
US20150228463A1 (en) * 2014-02-11 2015-08-13 Applied Materials, Inc. Cleaning process for cleaning amorphous carbon deposition residuals using low rf bias frequency applications
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9153442B2 (en) 2013-03-15 2015-10-06 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9209012B2 (en) 2013-09-16 2015-12-08 Applied Materials, Inc. Selective etch of silicon nitride
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9236266B2 (en) 2011-08-01 2016-01-12 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US9299582B2 (en) 2013-11-12 2016-03-29 Applied Materials, Inc. Selective etch for metal-containing materials
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9355863B2 (en) 2012-12-18 2016-05-31 Applied Materials, Inc. Non-local plasma oxide etch
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9384997B2 (en) 2012-11-20 2016-07-05 Applied Materials, Inc. Dry-etch selectivity
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9412608B2 (en) 2012-11-30 2016-08-09 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9418858B2 (en) 2011-10-07 2016-08-16 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9437451B2 (en) 2012-09-18 2016-09-06 Applied Materials, Inc. Radical-component oxide etch
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9449845B2 (en) 2012-12-21 2016-09-20 Applied Materials, Inc. Selective titanium nitride etching
US9478432B2 (en) 2014-09-25 2016-10-25 Applied Materials, Inc. Silicon oxide selective removal
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9607856B2 (en) 2013-03-05 2017-03-28 Applied Materials, Inc. Selective titanium nitride removal
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9842744B2 (en) 2011-03-14 2017-12-12 Applied Materials, Inc. Methods for etch of SiN films
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US20180012768A1 (en) * 2016-07-07 2018-01-11 Kabushiki Kaisha Toshiba Plasma Processing Apparatus and Plasma Processing Method
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9887096B2 (en) 2012-09-17 2018-02-06 Applied Materials, Inc. Differential silicon oxide etch
US9885117B2 (en) 2014-03-31 2018-02-06 Applied Materials, Inc. Conditioned semiconductor system parts
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10062578B2 (en) 2011-03-14 2018-08-28 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062587B2 (en) 2012-07-18 2018-08-28 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US20180323045A1 (en) * 2017-05-02 2018-11-08 Tokyo Electron Limited Manufacturing methods to reduce surface particle impurities after a plasma process
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US10214816B2 (en) * 2010-03-25 2019-02-26 Novellus Systems, Inc. PECVD apparatus for in-situ deposition of film stacks
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10490418B2 (en) 2014-10-14 2019-11-26 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
CN111081524A (en) * 2019-12-31 2020-04-28 江苏鲁汶仪器有限公司 Rotatable Faraday cleaning device and plasma processing system
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
WO2021021531A1 (en) * 2019-08-01 2021-02-04 Lam Research Corporation Systems and methods for cleaning an edge ring pocket
US10916408B2 (en) 2019-01-22 2021-02-09 Applied Materials, Inc. Apparatus and method of forming plasma using a pulsed waveform
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US11024486B2 (en) 2013-02-08 2021-06-01 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11284500B2 (en) 2018-05-10 2022-03-22 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11462388B2 (en) 2020-07-31 2022-10-04 Applied Materials, Inc. Plasma processing assembly using pulsed-voltage and radio-frequency power
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
US11715632B2 (en) * 2016-05-12 2023-08-01 Beijing Naura Microelectronics Equipment Co., Ltd. Reaction chamber and semiconductor processing apparatus
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4464223A (en) * 1983-10-03 1984-08-07 Tegal Corp. Plasma reactor apparatus and method
US4579618A (en) * 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
WO1986006687A1 (en) * 1985-05-17 1986-11-20 Benzing David W In-situ cvd chamber cleaner
US4786352A (en) * 1986-09-12 1988-11-22 Benzing Technologies, Inc. Apparatus for in-situ chamber cleaning
US5421957A (en) * 1993-07-30 1995-06-06 Applied Materials, Inc. Low temperature etching in cold-wall CVD systems
US5454903A (en) * 1993-10-29 1995-10-03 Applied Materials, Inc. Plasma cleaning of a CVD or etch reactor using helium for plasma stabilization

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4464223A (en) * 1983-10-03 1984-08-07 Tegal Corp. Plasma reactor apparatus and method
US4464223B1 (en) * 1983-10-03 1991-04-09 Tegal Corp
US4579618A (en) * 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
WO1986006687A1 (en) * 1985-05-17 1986-11-20 Benzing David W In-situ cvd chamber cleaner
US4786352A (en) * 1986-09-12 1988-11-22 Benzing Technologies, Inc. Apparatus for in-situ chamber cleaning
US5421957A (en) * 1993-07-30 1995-06-06 Applied Materials, Inc. Low temperature etching in cold-wall CVD systems
US5454903A (en) * 1993-10-29 1995-10-03 Applied Materials, Inc. Plasma cleaning of a CVD or etch reactor using helium for plasma stabilization

Cited By (303)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6242359B1 (en) * 1997-08-20 2001-06-05 Air Liquide America Corporation Plasma cleaning and etching methods using non-global-warming compounds
GB2339553A (en) * 1998-04-28 2000-02-02 Balzers Hochvakuum Ag Dry or plasma etching with reduction in gas flow during reaction and use of SF4
GB2339553B (en) * 1998-04-28 2002-01-09 Balzers Hochvakuum Ag Process for dry etching and vacuum treatment reactor
US6127271A (en) * 1998-04-28 2000-10-03 Balzers Hochvakuum Ag Process for dry etching and vacuum treatment reactor
US6309978B1 (en) 1998-07-22 2001-10-30 Micron Technology, Inc. Beat frequency modulation for plasma generation
US6312556B1 (en) * 1998-07-22 2001-11-06 Micron Technology, Inc. Beat frequency modulation for plasma generation
US6355557B2 (en) * 1998-07-22 2002-03-12 Applied Materials, Inc. Oxide plasma etching process with a controlled wineglass shape
US6796313B2 (en) 1999-07-13 2004-09-28 Micron Technology, Inc. Methods of cleaning vaporization surfaces
US6318381B1 (en) * 1999-07-13 2001-11-20 Micron Technology, Inc. Methods of cleaning vaporization surfaces
US6626999B1 (en) 1999-07-13 2003-09-30 Micron Technology, Inc. Vapor forming devices
US6419994B1 (en) 1999-07-13 2002-07-16 Micron Technology, Inc. Methods of chemical vapor deposition
US6708700B2 (en) 2000-03-28 2004-03-23 Asm America Cleaning of semiconductor processing chambers
US6564810B1 (en) * 2000-03-28 2003-05-20 Asm America Cleaning of semiconductor processing chambers
US8930922B2 (en) 2000-08-07 2015-01-06 Altera Corporation Software-to-hardware compiler with symbol set inference analysis
US8332831B1 (en) * 2000-08-07 2012-12-11 Altera Corporation Software-to-hardware compiler with symbol set inference analysis
US20090165955A1 (en) * 2000-09-12 2009-07-02 Masahiro Sumiya Plasma processing apparatus and method with controlled biasing functions
US20050155711A1 (en) * 2000-09-12 2005-07-21 Masahiro Sumiya Plasma processing apparatus and method with controlled biasing functions
US6875366B2 (en) * 2000-09-12 2005-04-05 Hitachi, Ltd. Plasma processing apparatus and method with controlled biasing functions
US20020031617A1 (en) * 2000-09-12 2002-03-14 Masahiro Sumiya Plasma processing apparatus and method with controlled biasing functions
US6408860B1 (en) * 2000-09-21 2002-06-25 Trw Inc. Method for cleaning phosphorus from an MBE chamber
US20030049558A1 (en) * 2000-12-12 2003-03-13 Makoto Aoki Vacuum processing method, vacuum processing apparatus, semiconductor device manufacturing method and semiconductor device
US7051671B2 (en) 2000-12-12 2006-05-30 Canon Kabushiki Kaisha Vacuum processing apparatus in which high frequency powers having mutually different frequencies are used to generate plasma for processing an article
US6861373B2 (en) * 2000-12-12 2005-03-01 Canon Kabushiki Kaisha Vacuum processing method and semiconductor device manufacturing method in which high-frequency powers having mutually different frequencies are applied to at least one electrode
US20040232415A1 (en) * 2000-12-12 2004-11-25 Canon Kabushiki Kaisha Vacuum processing method, vacuum processing apparatus, semiconductor device manufacturing method and semiconductor device
DE10102745C2 (en) * 2001-01-22 2003-06-12 Infineon Technologies Ag Process for cleaning a CVD reactor
DE10102745A1 (en) * 2001-01-22 2002-08-01 Infineon Technologies Ag Chemical vapor deposition reactor has internal and external plasma generators to ionize and create free radicals in cleaning gases passed through
WO2002068712A2 (en) * 2001-02-23 2002-09-06 Applied Materials, Inc. Removal of etchant residues
US6852242B2 (en) 2001-02-23 2005-02-08 Zhi-Wen Sun Cleaning of multicompositional etchant residues
WO2002068712A3 (en) * 2001-02-23 2003-05-01 Applied Materials Inc Removal of etchant residues
WO2002081788A2 (en) * 2001-04-06 2002-10-17 Wafermasters, Incorporated Method for h2 recycling in semiconductor processing system
US6737361B2 (en) 2001-04-06 2004-05-18 Wafermaster, Inc Method for H2 Recycling in semiconductor processing system
WO2002081788A3 (en) * 2001-04-06 2003-10-16 Wafermasters Inc Method for h2 recycling in semiconductor processing system
US20040255863A1 (en) * 2001-12-13 2004-12-23 Tsutomu Higashiura Plasma process apparatus
WO2003054911A3 (en) * 2001-12-13 2003-10-30 Tokyo Electron Ltd Plasma process apparatus
WO2003054911A2 (en) * 2001-12-13 2003-07-03 Tokyo Electron Limited Plasma process apparatus
EP1605076A2 (en) * 2002-04-01 2005-12-14 Matsushita Electric Industries Co., Ltd. Method for preventing contamination during the fabrication of a semiconductor device
US7109095B2 (en) 2002-04-01 2006-09-19 Matsushita Electric Industrial Co., Ltd. Method for fabricating semiconductor device
US20030186516A1 (en) * 2002-04-01 2003-10-02 Matsushita Electric Industrial Co., Ltd. Method for fabricating semiconductor device
EP1351282A3 (en) * 2002-04-01 2004-07-28 Matsushita Electric Industrial Co., Ltd. Method for preventing contamination during the fabrication of a semiconductor device
EP1605076A3 (en) * 2002-04-01 2006-12-13 Matsushita Electric Industries Co., Ltd. Method for preventing contamination during the fabrication of a semiconductor device
US6664737B1 (en) * 2002-06-21 2003-12-16 Axcelis Technologies, Inc. Dielectric barrier discharge apparatus and process for treating a substrate
US6932092B2 (en) * 2002-11-22 2005-08-23 Applied Materials, Inc. Method for cleaning plasma enhanced chemical vapor deposition chamber using very high frequency energy
US20040099281A1 (en) * 2002-11-22 2004-05-27 Applied Materials, Inc. Method for cleaning plasma enhanced chemical vapor deposition chamber using very high frequency energy
US7654224B2 (en) 2003-01-27 2010-02-02 Applied Materials, Inc. Method and apparatus for cleaning a CVD chamber
WO2004067800A1 (en) * 2003-01-27 2004-08-12 Applied Materials Inc. Method and apparatus for cleaning a cvd chamber
US20060225767A1 (en) * 2003-01-27 2006-10-12 Maosheng Zhao Method and apparatus for cleaning a cvd chamber
US20060231205A1 (en) * 2003-01-27 2006-10-19 Maosheng Zhao Method and apparatus for cleaning a cvd chamber
US7465357B2 (en) 2003-01-27 2008-12-16 Applied Materials, Inc. Computer-readable medium that contains software for executing a method for cleaning a CVD chamber
US20040144490A1 (en) * 2003-01-27 2004-07-29 Applied Materials, Inc. Method and apparatus for cleaning a CVD chamber
US20090145360A1 (en) * 2003-01-27 2009-06-11 Maosheng Zhao Method and apparatus for cleaning a cvd chamber
US7500445B2 (en) 2003-01-27 2009-03-10 Applied Materials, Inc. Method and apparatus for cleaning a CVD chamber
US7464717B2 (en) 2003-01-27 2008-12-16 Applied Materials, Inc. Method for cleaning a CVD chamber
US20100323507A1 (en) * 2003-03-04 2010-12-23 Hitachi Kokusai Electric Inc. Substrate processing apparatus and producing method of device
US20100258530A1 (en) * 2003-03-04 2010-10-14 Hitachi Kokusai Electric Inc. Substrate processing apparatus and producing method of device
US7595096B2 (en) * 2003-07-30 2009-09-29 Oc Oerlikon Balzers Ag Method of manufacturing vacuum plasma treated workpieces
US20050051269A1 (en) * 2003-07-30 2005-03-10 Unaxis Balzers, Ltd. Method of manufacturing vacuum plasma treated workpieces and system for vacuum plasma treating workpieces
US20050069651A1 (en) * 2003-09-30 2005-03-31 Tokyo Electron Limited Plasma processing system
US20050221020A1 (en) * 2004-03-30 2005-10-06 Tokyo Electron Limited Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film
US20050241670A1 (en) * 2004-04-29 2005-11-03 Dong Chun C Method for cleaning a reactor using electron attachment
US20060240645A1 (en) * 2004-08-06 2006-10-26 Silicon Genesis Corporation Method and system for source switching and in-situ plasma bonding
US7758763B2 (en) 2006-10-31 2010-07-20 Applied Materials, Inc. Plasma for resist removal and facet control of underlying features
US20080102645A1 (en) * 2006-10-31 2008-05-01 Applied Materials, Inc. Plasma for resist removal and facet control of underlying features
US20080119049A1 (en) * 2006-11-17 2008-05-22 Samsung Electronics Co., Ltd. Plasma etching method and apparatus
US20080289576A1 (en) * 2007-05-23 2008-11-27 Samsung Electronics Co., Ltd. Plasma based ion implantation system
US20090004873A1 (en) * 2007-06-26 2009-01-01 Intevac, Inc. Hybrid etch chamber with decoupled plasma controls
EP2012342A3 (en) * 2007-06-26 2010-09-01 Intevac, Inc. Hybrid etch chamber with decoupled plasma controls
EP2012342A2 (en) * 2007-06-26 2009-01-07 Intevac, Inc. Hybrid etch chamber with decoupled plasma controls
US20090139540A1 (en) * 2007-11-30 2009-06-04 Applied Materials, Inc. Repairing surface defects and cleaning residues from plasma chamber components
US8118946B2 (en) 2007-11-30 2012-02-21 Wesley George Lau Cleaning process residues from substrate processing chamber components
US8297225B2 (en) 2008-11-26 2012-10-30 Advanced Micro-Fabrication Equipment, Inc. Asia Capacitive CVD reactor and methods for plasma CVD process
US20100126667A1 (en) * 2008-11-26 2010-05-27 Advanced Micro-Fabrication Equipment, Inc. Asia Capacitive cvd reactor and methods for plasma cvd process
US10214816B2 (en) * 2010-03-25 2019-02-26 Novellus Systems, Inc. PECVD apparatus for in-situ deposition of film stacks
US11746420B2 (en) 2010-03-25 2023-09-05 Novellus Systems, Inc. PECVD apparatus for in-situ deposition of film stacks
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US9754800B2 (en) 2010-05-27 2017-09-05 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10062578B2 (en) 2011-03-14 2018-08-28 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9842744B2 (en) 2011-03-14 2017-12-12 Applied Materials, Inc. Methods for etch of SiN films
US9236266B2 (en) 2011-08-01 2016-01-12 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US9418858B2 (en) 2011-10-07 2016-08-16 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US10062587B2 (en) 2012-07-18 2018-08-28 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
KR20150038564A (en) * 2012-08-02 2015-04-08 어플라이드 머티어리얼스, 인코포레이티드 Semiconductor processing with dc assisted rf power for improved control
KR102111919B1 (en) * 2012-08-02 2020-05-18 어플라이드 머티어리얼스, 인코포레이티드 Semiconductor processing with dc assisted rf power for improved control
TWI594319B (en) * 2012-08-02 2017-08-01 應用材料股份有限公司 Semiconductor processing with dc assisted rf power for improved control
US20140057447A1 (en) * 2012-08-02 2014-02-27 Applied Materials, Inc. Semiconductor processing with dc assisted rf power for improved control
CN104508804A (en) * 2012-08-02 2015-04-08 应用材料公司 Semiconductor processing with dc assisted rf power for improved control
US9373517B2 (en) * 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
CN104508804B (en) * 2012-08-02 2017-10-31 应用材料公司 The semiconductor processes of radio-frequency power are aided in improve the utilization direct current of control
US10032606B2 (en) 2012-08-02 2018-07-24 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9887096B2 (en) 2012-09-17 2018-02-06 Applied Materials, Inc. Differential silicon oxide etch
US9437451B2 (en) 2012-09-18 2016-09-06 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9978564B2 (en) 2012-09-21 2018-05-22 Applied Materials, Inc. Chemical control features in wafer process equipment
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US11264213B2 (en) 2012-09-21 2022-03-01 Applied Materials, Inc. Chemical control features in wafer process equipment
US10354843B2 (en) 2012-09-21 2019-07-16 Applied Materials, Inc. Chemical control features in wafer process equipment
US9384997B2 (en) 2012-11-20 2016-07-05 Applied Materials, Inc. Dry-etch selectivity
US9412608B2 (en) 2012-11-30 2016-08-09 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9355863B2 (en) 2012-12-18 2016-05-31 Applied Materials, Inc. Non-local plasma oxide etch
US9449845B2 (en) 2012-12-21 2016-09-20 Applied Materials, Inc. Selective titanium nitride etching
US20140209243A1 (en) * 2013-01-25 2014-07-31 Samsung Electronics Co., Ltd. Plasma Equipment and Method of Dry-Cleaning the Same
US11024486B2 (en) 2013-02-08 2021-06-01 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US10424485B2 (en) 2013-03-01 2019-09-24 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9607856B2 (en) 2013-03-05 2017-03-28 Applied Materials, Inc. Selective titanium nitride removal
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US9449850B2 (en) 2013-03-15 2016-09-20 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9659792B2 (en) 2013-03-15 2017-05-23 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9153442B2 (en) 2013-03-15 2015-10-06 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9704723B2 (en) 2013-03-15 2017-07-11 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9209012B2 (en) 2013-09-16 2015-12-08 Applied Materials, Inc. Selective etch of silicon nitride
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9299582B2 (en) 2013-11-12 2016-03-29 Applied Materials, Inc. Selective etch for metal-containing materials
US9472417B2 (en) 2013-11-12 2016-10-18 Applied Materials, Inc. Plasma-free metal etch
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9711366B2 (en) 2013-11-12 2017-07-18 Applied Materials, Inc. Selective etch for metal-containing materials
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9472412B2 (en) 2013-12-02 2016-10-18 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US20150228463A1 (en) * 2014-02-11 2015-08-13 Applied Materials, Inc. Cleaning process for cleaning amorphous carbon deposition residuals using low rf bias frequency applications
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9837249B2 (en) 2014-03-20 2017-12-05 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9564296B2 (en) 2014-03-20 2017-02-07 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9885117B2 (en) 2014-03-31 2018-02-06 Applied Materials, Inc. Conditioned semiconductor system parts
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US10465294B2 (en) 2014-05-28 2019-11-05 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9773695B2 (en) 2014-07-31 2017-09-26 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9837284B2 (en) 2014-09-25 2017-12-05 Applied Materials, Inc. Oxide etch selectivity enhancement
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9478432B2 (en) 2014-09-25 2016-10-25 Applied Materials, Inc. Silicon oxide selective removal
US10796922B2 (en) 2014-10-14 2020-10-06 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10490418B2 (en) 2014-10-14 2019-11-26 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10707061B2 (en) 2014-10-14 2020-07-07 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10468285B2 (en) 2015-02-03 2019-11-05 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10147620B2 (en) 2015-08-06 2018-12-04 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US11158527B2 (en) 2015-08-06 2021-10-26 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10468276B2 (en) 2015-08-06 2019-11-05 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10607867B2 (en) 2015-08-06 2020-03-31 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10424463B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10424464B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US11476093B2 (en) 2015-08-27 2022-10-18 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US11715632B2 (en) * 2016-05-12 2023-08-01 Beijing Naura Microelectronics Equipment Co., Ltd. Reaction chamber and semiconductor processing apparatus
US11735441B2 (en) 2016-05-19 2023-08-22 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US20180012768A1 (en) * 2016-07-07 2018-01-11 Kabushiki Kaisha Toshiba Plasma Processing Apparatus and Plasma Processing Method
US10672615B2 (en) * 2016-07-07 2020-06-02 Toshiba Memory Corporation Plasma processing apparatus and plasma processing method
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10224180B2 (en) 2016-10-04 2019-03-05 Applied Materials, Inc. Chamber with flow-through source
US10541113B2 (en) 2016-10-04 2020-01-21 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US11049698B2 (en) 2016-10-04 2021-06-29 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10319603B2 (en) 2016-10-07 2019-06-11 Applied Materials, Inc. Selective SiN lateral recess
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10186428B2 (en) 2016-11-11 2019-01-22 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10770346B2 (en) 2016-11-11 2020-09-08 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10600639B2 (en) 2016-11-14 2020-03-24 Applied Materials, Inc. SiN spacer profile patterning
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10903052B2 (en) 2017-02-03 2021-01-26 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10325923B2 (en) 2017-02-08 2019-06-18 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10529737B2 (en) 2017-02-08 2020-01-07 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US20180323045A1 (en) * 2017-05-02 2018-11-08 Tokyo Electron Limited Manufacturing methods to reduce surface particle impurities after a plasma process
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11915950B2 (en) 2017-05-17 2024-02-27 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11361939B2 (en) 2017-05-17 2022-06-14 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10593553B2 (en) 2017-08-04 2020-03-17 Applied Materials, Inc. Germanium etching systems and methods
US11101136B2 (en) 2017-08-07 2021-08-24 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10861676B2 (en) 2018-01-08 2020-12-08 Applied Materials, Inc. Metal recess for semiconductor structures
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10699921B2 (en) 2018-02-15 2020-06-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11004689B2 (en) 2018-03-12 2021-05-11 Applied Materials, Inc. Thermal silicon etch
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US11284500B2 (en) 2018-05-10 2022-03-22 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11699572B2 (en) 2019-01-22 2023-07-11 Applied Materials, Inc. Feedback loop for controlling a pulsed voltage waveform
US10923321B2 (en) 2019-01-22 2021-02-16 Applied Materials, Inc. Apparatus and method of generating a pulsed waveform
US10916408B2 (en) 2019-01-22 2021-02-09 Applied Materials, Inc. Apparatus and method of forming plasma using a pulsed waveform
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
CN114342037A (en) * 2019-08-01 2022-04-12 朗姆研究公司 System and method for cleaning edge ring recesses
US11935730B2 (en) 2019-08-01 2024-03-19 Lam Research Corporation Systems and methods for cleaning an edge ring pocket
CN114342037B (en) * 2019-08-01 2023-12-08 朗姆研究公司 System and method for cleaning edge ring recesses
WO2021021531A1 (en) * 2019-08-01 2021-02-04 Lam Research Corporation Systems and methods for cleaning an edge ring pocket
CN111081524A (en) * 2019-12-31 2020-04-28 江苏鲁汶仪器有限公司 Rotatable Faraday cleaning device and plasma processing system
WO2021134890A1 (en) * 2019-12-31 2021-07-08 江苏鲁汶仪器有限公司 Rotatable faraday cleaning apparatus and plasma processing system
US11462388B2 (en) 2020-07-31 2022-10-04 Applied Materials, Inc. Plasma processing assembly using pulsed-voltage and radio-frequency power
US11462389B2 (en) 2020-07-31 2022-10-04 Applied Materials, Inc. Pulsed-voltage hardware assembly for use in a plasma processing system
US11776789B2 (en) 2020-07-31 2023-10-03 Applied Materials, Inc. Plasma processing assembly using pulsed-voltage and radio-frequency power
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11887813B2 (en) 2021-06-23 2024-01-30 Applied Materials, Inc. Pulsed voltage source for plasma processing
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Similar Documents

Publication Publication Date Title
US5882424A (en) Plasma cleaning of a CVD or etch reactor using a low or mixed frequency excitation field
KR102158307B1 (en) Plasma treatment process to improve in-situ chamber cleaning efficiency in plasma processing chamber
US5454903A (en) Plasma cleaning of a CVD or etch reactor using helium for plasma stabilization
US8297225B2 (en) Capacitive CVD reactor and methods for plasma CVD process
JP4578314B2 (en) Deposition chamber cleaning device using high power remote origin
US5879575A (en) Self-cleaning plasma processing reactor
US5863339A (en) Chamber etching of plasma processing apparatus
EP1564794B1 (en) Method and device for generating uniform high- frequency plasma over large surface area
CN100411118C (en) High pressure wafer-less auto clean for etch applications
KR100743374B1 (en) Remote plasma cleaning method for processing chambers
US6923189B2 (en) Cleaning of CVD chambers using remote source with cxfyoz based chemistry
KR100855597B1 (en) Sulfur hexafluoride remote plasma source clean
US20060130873A1 (en) Plasma cleaning of deposition chamber residues using duo-step wafer-less auto clean method
US20050211265A1 (en) Method for cleaning a process chamber
EP0856070B1 (en) Process for cleaning a vacuum processing chamber including the gas injection port
US20150371828A1 (en) Low cost wide process range microwave remote plasma source with multiple emitters
US20080314408A1 (en) Plasma etching apparatus and chamber cleaning method using the same
US6564810B1 (en) Cleaning of semiconductor processing chambers
KR100505065B1 (en) Method for deposition chamber cleaning and apparatus for depositing capable of in-situ cleaning
JPH10280151A (en) Cleaning of cvd system
KR20210116679A (en) Method for cleaning the process chamber
US6545245B2 (en) Method for dry cleaning metal etching chamber
JP2001053008A (en) Cleaning of semiconductor manufacture equipment
JPH07335563A (en) Plasma cvd device
JP5896419B2 (en) Plasma processing apparatus and cleaning method thereof

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:TAYLOR, BRAD;SAHIN, TURGOT;DORNFEST, CHARLES;AND OTHERS;REEL/FRAME:008403/0541;SIGNING DATES FROM 19961206 TO 19970108

STCF Information on status: patent grant

Free format text: PATENTED CASE

FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

FPAY Fee payment

Year of fee payment: 12