US20150053929A1 - Vertical iii-v nanowire field-effect transistor using nanosphere lithography - Google Patents

Vertical iii-v nanowire field-effect transistor using nanosphere lithography Download PDF

Info

Publication number
US20150053929A1
US20150053929A1 US13/973,045 US201313973045A US2015053929A1 US 20150053929 A1 US20150053929 A1 US 20150053929A1 US 201313973045 A US201313973045 A US 201313973045A US 2015053929 A1 US2015053929 A1 US 2015053929A1
Authority
US
United States
Prior art keywords
dielectric layer
layer
semiconductor material
recited
iii
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US13/973,045
Inventor
Jack C. Lee
Fei Xue
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
University of Texas System
Original Assignee
University of Texas System
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by University of Texas System filed Critical University of Texas System
Priority to US13/973,045 priority Critical patent/US20150053929A1/en
Assigned to BOARD OF REGENTS, THE UNIVERSITY OF TEXAS SYSTEM reassignment BOARD OF REGENTS, THE UNIVERSITY OF TEXAS SYSTEM ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: LEE, JACK C., XUE, FEI
Publication of US20150053929A1 publication Critical patent/US20150053929A1/en
Priority to US14/837,258 priority patent/US9209271B1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66522Unipolar field-effect transistors with an insulated gate, i.e. MISFET with an active layer made of a group 13/15 material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02603Nanowires
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0676Nanowires or nanotubes oriented perpendicular or at an angle to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/068Nanowires or nanotubes comprising a junction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/413Nanosized electrodes, e.g. nanowire electrodes comprising one or a plurality of nanowires
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66446Unipolar field-effect transistors with an active layer made of a group 13/15 material, e.g. group 13/15 velocity modulation transistor [VMT], group 13/15 negative resistance FET [NERFET]
    • H01L29/66469Unipolar field-effect transistors with an active layer made of a group 13/15 material, e.g. group 13/15 velocity modulation transistor [VMT], group 13/15 negative resistance FET [NERFET] with one- or zero-dimensional channel, e.g. quantum wire field-effect transistors, in-plane gate transistors [IPG], single electron transistors [SET], Coulomb blockade transistors, striped channel transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66666Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7827Vertical transistors

Definitions

  • the present invention relates generally to Complementary Metal-Oxide-Semiconductor (CMOS) devices, and more particularly to a vertical III-V nanowire Field-Effect Transistor (FET) that uses nanosphere lithography in fabricating such a device, where such a structure and process improve electron mobility and electrostatic control as well as increase integration density and reduce power consumption and cost of fabrication.
  • CMOS Complementary Metal-Oxide-Semiconductor
  • FET Field-Effect Transistor
  • CMOS Complementary Metal-Oxide-Semiconductor
  • MOS Complementary Metal-Oxide-Semiconductor
  • the sources that contribute to off-state power consumption include junction leakage, gate induced drain leakage, subthreshold channel current, and gate tunnel currents. These become increasingly significant as the dimensions decrease.
  • the channel can be visualized as the “stream” through which charges (e.g., electrons, holes) flow from the source to the drain of the transistor) in the CMOS device becomes so short, such as on the order of 20 nm, the transistor is unable to be turned off because of undesirable leakage current between the source and the drain.
  • the channel can be visualized as the “stream” through which charges (e.g., electrons, holes) flow from the source to the drain of the transistor) in the CMOS device becomes so short, such as on the order of 20 nm, the transistor is unable to be turned off because of undesirable leakage current between the source and the drain.
  • new materials and device structures are needed to enable further performance improvements.
  • a semiconductor device comprises a drain contact.
  • the semiconductor device further comprises a plurality of nanopillars directly connected to the drain contact, where each of the plurality of nanopillars comprises a channel of the semiconductor device. Furthermore, each of the plurality of channels comprises undoped III-V semiconductor material.
  • the semiconductor device additionally comprises a gate dielectric layer surrounding the plurality of nanopillars. Additionally, the semiconductor device comprises a gate contact connected to a gate metal layer which is connected to the gate dielectric layer.
  • the semiconductor device further comprises a substrate connected to the plurality of nanopillars via a first layer of doped III-V semiconductor material, where the gate metal layer is isolated from the first layer of doped III-V semiconductor material by the gate dielectric layer.
  • the semiconductor device comprises a source contact directly connected to the substrate.
  • a method for fabricating a vertical III-V nanowire field-effect transistor comprises depositing a first layer of doped III-V semiconductor material on a substrate of III-V semiconductor material. The method further comprises depositing a layer of undoped III-V semiconductor material on top of the first layer of doped III-V semiconductor material. Additionally, the method comprises depositing a second layer of doped III-V semiconductor material on top of the layer of undoped III-V semiconductor material. Furthermore, the method comprises growing a first dielectric layer on top of the second layer of doped III-V semiconductor material. The method additionally comprises depositing self-assembled monolayers of nanospheres on the first dielectric layer.
  • the method comprises forming nanopillars using the nanospheres as a mask and the first dielectric layer as a hard mask to etch the second layer of doped III-V semiconductor material and the layer of undoped III-V semiconductor material using nanosphere lithography.
  • FIGS. 1A-1B are a flowchart of a method for fabricating a vertical III-V nanowire Field-Effect Transistor (FET) using nanosphere lithography in accordance with an embodiment of the present invention.
  • FET Field-Effect Transistor
  • FIGS. 2A-2I depict cross-sectional views of the FET during the fabrication steps described in FIG. 1 in accordance with an embodiment of the present invention.
  • CMOS Complementary Metal-Oxide-Semiconductor
  • the scaling of CMOS devices is approaching its physical limitations.
  • one significant factor limiting MOS scaling is off-state power consumption.
  • the sources that contribute to off-state power consumption include junction leakage, gate induced drain leakage, subthreshold channel current, and gate tunnel currents. These become increasingly significant as the dimensions decrease.
  • the length of the channel in the CMOS device becomes so short, such as on the order of 20 nm, the transistor is unable to be turned off because of undesirable leakage current between the source and the drain.
  • the channel can be visualized as the “stream” through which electrons or holes flow from the source to the drain of the n-channel and p-channel transistor, respectively.
  • new materials and device structures are needed to enable further performance improvements.
  • FIGS. 1A-1B are a flowchart of a method for fabricating such a vertical III-V nanowire FET.
  • FIGS. 2A-2I depict the cross-sectional views of the vertical III-V nanowire FET during the fabrication steps described in FIG. 1 .
  • FIGS. 1A-1B are a flowchart of a method 100 for fabricating a vertical III-V nanowire FET using nanosphere lithography in accordance with an embodiment of the present invention.
  • FIGS. 1A-1B will be discussed in conjunction with FIGS. 2A-2I , which depict the cross-sectional views of FET 200 during the fabrication steps described in FIGS. 1A-1B in accordance with an embodiment of the present invention.
  • a first layer 202 of doped III-V semiconductor material e.g., indium gallium arsenide (InGaAs)
  • substrate 201 of doped III-V semiconductor material e.g., indium phosphide (InP)
  • layer 202 is heavily doped for n-type doping (e.g., n+ InGaAs).
  • substrate 201 is heavily doped for n-type doping (e.g., n+InP).
  • a layer of undoped III-V semiconductor material 203 (e.g., InGaAs) is deposited on top of layer 202 as shown in FIG. 2A .
  • layer 203 corresponds to the material that will form the multiple vertical channels used in a bundle of nanowires or “nanopillars” as discussed further below.
  • the vertical length (i.e., the thickness) of layer 203 determines the length of these channels.
  • a second layer of doped III-V semiconductor material 204 (e.g., indium gallium arsenide (InGaAs)) is deposited on top of layer 203 as shown in FIG. 2A .
  • layer 204 is heavily doped for n-type doping (e.g., n+ InGaAs).
  • a dielectric layer 205 functioning as a “hard mask” material, such as silicon dioxide, is grown on the surface of layer 204 as shown in FIG. 2A .
  • a pattern of nanospheres will be transferred to dielectric layer 205 which will be used as a “hard” mask to form nanopillars.
  • step 105 self-assembled monolayers of nanospheres 206 A- 206 C (e.g., spheres of polystyrene) are deposited on layer 205 using nanosphere lithography, where nanospheres 206 A- 206 C are used as masks as shown in FIG. 2A .
  • nanosphere lithography as opposed to conventional photo lithography, the mask of conventional photo lithography is no longer needed thereby reducing the cost in fabricating semiconductor device 200 .
  • Nanospheres 206 A- 206 C may collectively or individually be referred to as nanospheres 206 or nanosphere 206 , respectively. While FIG.
  • FIG. 2A illustrates the use of three nanospheres 206 to form three nanopillars (as discussed below), the principles of the present invention are not to be limited to forming any particular number of nanopillars.
  • the diameter of the nanospheres 206 may then be further controlled via oxidation (e.g., oxygen plasma).
  • nanospheres 206 are then used as a mask and dielectric layer 205 is used as a hard mask to etch layers 204 - 205 in a manner to form a bundle of nanowires or “nanopillars” 207 A- 207 C as shown in FIG. 2B .
  • Nanopillars 207 A- 207 C may collectively or individually be referred to as nanopillars 207 or nanopillar 207 , respectively.
  • a dry etch is used to form nanopillars 207 .
  • the perimeter of nanopillars 207 controls the diameter of the channels 203 of nanopillars 207 .
  • the diameter of channels 203 in nanopillars 207 is approximately 150 nm.
  • the length (i.e., the vertical thickness) of channels 203 in nanopillars 207 is between 50 nm and 200 nm.
  • nanospheres 206 and dielectric layer 205 are dry etched to remove nanospheres 206 and dielectric layer 205 as illustrated in FIG. 2C .
  • a dielectric layer 208 e.g., aluminum oxide (Al 2 O 3 ) or hafnium oxide (HfO 2 )
  • Al 2 O 3 aluminum oxide
  • HfO 2 hafnium oxide
  • dielectric layer 208 is deposited using atomic layer deposition.
  • dielectric layer 208 serves as the gate dielectric layer for transistor 200 .
  • gate metal 209 is isolated from the first layer 202 of doped III-V semiconductor material by gate dielectric layer 208 as shown in FIG. 2C .
  • step 109 a further dielectric layer 210 is deposited over gate metal 209 as illustrated in FIG. 2C .
  • dielectric layer 210 is etched to expose gate metal 209 over nanopillars 207 as shown in FIG. 2D .
  • step 111 a portion of gate metal 209 and a portion of dielectric layer 208 are etched to expose the top portion of nanopillars 207 as shown in FIG. 2E .
  • step 112 the remaining portion of dielectric layer 210 is removed to expose a portion of gate metal 209 and dielectric layer 211 is deposited over the exposed nanopillars 207 and the exposed gate metal 209 as shown in FIG. 2F .
  • a photoresist layer 212 is deposited and patterned over dielectric layer 211 in a manner as shown in FIG. 2F .
  • photoresist layer 212 is patterned by lithography to open the drain area.
  • step 114 dielectric layer 211 is etched according to the pattern in photoresist layer 212 in order to form the drain contact 213 as shown in FIG. 2G . Drain contact 213 is directly connected to nanopillars 207 . In one embodiment, photoresist layer 212 is removed, as shown in FIG. 2G , using the lift-off process.
  • step 115 a portion of dielectric layer 211 is patterned and etched away to form the gate contact 214 as shown in FIG. 2H .
  • Gate contact 214 is connected to gate dielectric layer 208 , which surrounds nanopillars 207 as shown in FIG. 2H .
  • step 116 a source contact 215 is added to the bottom of substrate 201 as shown in FIG. 2I .
  • method 100 may include other and/or additional steps that, for clarity, are not depicted. Further, in some implementations, method 100 may be executed in a different order presented and that the order presented in the discussion of FIGS. 1A-1B is illustrative. Additionally, in some implementations, certain steps in method 100 may be executed in a substantially simultaneous manner or may be omitted.
  • the electrons possess lower effective mass and higher mobility thereby improving current drive capacity.
  • the FET of the present invention utilizes nanowires (nanopillars), such a structure (as opposed to planar devices) improves electrostatic control due to improved gate coupling which helps to reduce standby power consumption.
  • the vertical nanowire (nanopillar) structure of the present invention also increases the integration density.
  • the FET of the present invention is fabricated using nanosphere lithography which is a less costly than using the conventional photolithography process since the mask of the conventional photo lithography is no longer needed and costly convention photolithography techniques (e.g., electron beam lithography) are not used.

Abstract

A vertical III-V nanowire Field-Effect Transistor (FET). The FET includes multiple nanowires or nanopillars directly connected to a drain contact, where each of the nanopillars includes a channel of undoped III-V semiconductor material. The FET further includes a gate dielectric layer surrounding the plurality of nanopillars and a gate contact disposed on a gate metal which is connected to the gate dielectric layer. Additionally, the FET includes a substrate of doped III-V semiconductor material connected to the nanopillars via a layer of doped III-V semiconductor material. In addition, the FET contains a source contact directly connected to the bottom of the substrate. By having such a structure, electrostatic control and integration density is improved. Furthermore, by using III-V materials as opposed to silicon, the current drive capacity is improved. Additionally, the FET is fabricated using nanosphere lithography which is less costly than the conventional photo lithography process.

Description

    TECHNICAL FIELD
  • The present invention relates generally to Complementary Metal-Oxide-Semiconductor (CMOS) devices, and more particularly to a vertical III-V nanowire Field-Effect Transistor (FET) that uses nanosphere lithography in fabricating such a device, where such a structure and process improve electron mobility and electrostatic control as well as increase integration density and reduce power consumption and cost of fabrication.
  • BACKGROUND
  • Complementary Metal-Oxide-Semiconductor (CMOS) devices are being scaled down aggressively in each technology generation to achieve higher integration density. However, the scaling of CMOS devices is approaching its physical limitations. For example, one significant factor limiting MOS scaling is off-state power consumption. Within digital logic, the sources that contribute to off-state power consumption include junction leakage, gate induced drain leakage, subthreshold channel current, and gate tunnel currents. These become increasingly significant as the dimensions decrease. For instance, when the length of the channel (the channel can be visualized as the “stream” through which charges (e.g., electrons, holes) flow from the source to the drain of the transistor) in the CMOS device becomes so short, such as on the order of 20 nm, the transistor is unable to be turned off because of undesirable leakage current between the source and the drain. As a result, new materials and device structures are needed to enable further performance improvements.
  • BRIEF SUMMARY
  • In one embodiment of the present invention, a semiconductor device comprises a drain contact. The semiconductor device further comprises a plurality of nanopillars directly connected to the drain contact, where each of the plurality of nanopillars comprises a channel of the semiconductor device. Furthermore, each of the plurality of channels comprises undoped III-V semiconductor material. The semiconductor device additionally comprises a gate dielectric layer surrounding the plurality of nanopillars. Additionally, the semiconductor device comprises a gate contact connected to a gate metal layer which is connected to the gate dielectric layer. The semiconductor device further comprises a substrate connected to the plurality of nanopillars via a first layer of doped III-V semiconductor material, where the gate metal layer is isolated from the first layer of doped III-V semiconductor material by the gate dielectric layer. In addition, the semiconductor device comprises a source contact directly connected to the substrate.
  • In another embodiment of the present invention, a method for fabricating a vertical III-V nanowire field-effect transistor comprises depositing a first layer of doped III-V semiconductor material on a substrate of III-V semiconductor material. The method further comprises depositing a layer of undoped III-V semiconductor material on top of the first layer of doped III-V semiconductor material. Additionally, the method comprises depositing a second layer of doped III-V semiconductor material on top of the layer of undoped III-V semiconductor material. Furthermore, the method comprises growing a first dielectric layer on top of the second layer of doped III-V semiconductor material. The method additionally comprises depositing self-assembled monolayers of nanospheres on the first dielectric layer. In addition, the method comprises forming nanopillars using the nanospheres as a mask and the first dielectric layer as a hard mask to etch the second layer of doped III-V semiconductor material and the layer of undoped III-V semiconductor material using nanosphere lithography.
  • The foregoing has outlined rather generally the features and technical advantages of one or more embodiments of the present invention in order that the detailed description of the present invention that follows may be better understood. Additional features and advantages of the present invention will be described hereinafter which may form the subject of the claims of the present invention.
  • BRIEF DESCRIPTION OF THE SEVERAL VIEWS OF THE DRAWINGS
  • A better understanding of the present invention can be obtained when the following detailed description is considered in conjunction with the following drawings, in which:
  • FIGS. 1A-1B are a flowchart of a method for fabricating a vertical III-V nanowire Field-Effect Transistor (FET) using nanosphere lithography in accordance with an embodiment of the present invention; and
  • FIGS. 2A-2I depict cross-sectional views of the FET during the fabrication steps described in FIG. 1 in accordance with an embodiment of the present invention.
  • DETAILED DESCRIPTION
  • As discussed in the Background section, Complementary Metal-Oxide-Semiconductor (CMOS) devices are being scaled down aggressively in each technology generation to achieve higher integration density. However, the scaling of CMOS devices is approaching its physical limitations. For example, one significant factor limiting MOS scaling is off-state power consumption. Within digital logic, the sources that contribute to off-state power consumption include junction leakage, gate induced drain leakage, subthreshold channel current, and gate tunnel currents. These become increasingly significant as the dimensions decrease. For instance, when the length of the channel in the CMOS device becomes so short, such as on the order of 20 nm, the transistor is unable to be turned off because of undesirable leakage current between the source and the drain. The channel can be visualized as the “stream” through which electrons or holes flow from the source to the drain of the n-channel and p-channel transistor, respectively. As a result, new materials and device structures are needed to enable further performance improvements.
  • The principles of the present invention provide a process and structure for a novel vertical III-V nanowire Field-Effect Transistor (FET) that uses nanosphere lithography in fabricating such a device that results in improving the electron mobility and electrostatic control as well as increasing the integration density and reducing the power consumption and cost of fabrication as discussed below in connection with FIGS. 1A-1B and 2A-2I. FIGS. 1A-1B are a flowchart of a method for fabricating such a vertical III-V nanowire FET. FIGS. 2A-2I depict the cross-sectional views of the vertical III-V nanowire FET during the fabrication steps described in FIG. 1.
  • Referring to FIGS. 1A-1B, FIGS. 1A-1B are a flowchart of a method 100 for fabricating a vertical III-V nanowire FET using nanosphere lithography in accordance with an embodiment of the present invention. FIGS. 1A-1B will be discussed in conjunction with FIGS. 2A-2I, which depict the cross-sectional views of FET 200 during the fabrication steps described in FIGS. 1A-1B in accordance with an embodiment of the present invention.
  • Referring now to FIG. 1A, in conjunction with FIGS. 2A-2C, in step 101, a first layer 202 of doped III-V semiconductor material (e.g., indium gallium arsenide (InGaAs)) is deposited on top of substrate 201 of doped III-V semiconductor material (e.g., indium phosphide (InP)) as illustrated in FIG. 2A. In one embodiment, layer 202 is heavily doped for n-type doping (e.g., n+ InGaAs). In one embodiment, substrate 201 is heavily doped for n-type doping (e.g., n+InP).
  • In step 102, a layer of undoped III-V semiconductor material 203 (e.g., InGaAs) is deposited on top of layer 202 as shown in FIG. 2A. In one embodiment, layer 203 corresponds to the material that will form the multiple vertical channels used in a bundle of nanowires or “nanopillars” as discussed further below. The vertical length (i.e., the thickness) of layer 203 determines the length of these channels. By using III-V semiconductor material for the channels, electron mobility is improved in comparison to using silicon.
  • In step 103, a second layer of doped III-V semiconductor material 204 (e.g., indium gallium arsenide (InGaAs)) is deposited on top of layer 203 as shown in FIG. 2A. In one embodiment, layer 204 is heavily doped for n-type doping (e.g., n+ InGaAs).
  • In step 104, a dielectric layer 205, functioning as a “hard mask” material, such as silicon dioxide, is grown on the surface of layer 204 as shown in FIG. 2A. As will be discussed below, a pattern of nanospheres will be transferred to dielectric layer 205 which will be used as a “hard” mask to form nanopillars.
  • In step 105, self-assembled monolayers of nanospheres 206A-206C (e.g., spheres of polystyrene) are deposited on layer 205 using nanosphere lithography, where nanospheres 206A-206C are used as masks as shown in FIG. 2A. By using nanosphere lithography, as opposed to conventional photo lithography, the mask of conventional photo lithography is no longer needed thereby reducing the cost in fabricating semiconductor device 200. Nanospheres 206A-206C may collectively or individually be referred to as nanospheres 206 or nanosphere 206, respectively. While FIG. 2A illustrates the use of three nanospheres 206 to form three nanopillars (as discussed below), the principles of the present invention are not to be limited to forming any particular number of nanopillars. The diameter of the nanospheres 206 may then be further controlled via oxidation (e.g., oxygen plasma).
  • In step 106, nanospheres 206 are then used as a mask and dielectric layer 205 is used as a hard mask to etch layers 204-205 in a manner to form a bundle of nanowires or “nanopillars” 207A-207C as shown in FIG. 2B. Nanopillars 207A-207C may collectively or individually be referred to as nanopillars 207 or nanopillar 207, respectively. In one embodiment, a dry etch is used to form nanopillars 207. The perimeter of nanopillars 207 controls the diameter of the channels 203 of nanopillars 207. In one embodiment, the diameter of channels 203 in nanopillars 207 is approximately 150 nm. In one embodiment, the length (i.e., the vertical thickness) of channels 203 in nanopillars 207 is between 50 nm and 200 nm.
  • In step 107, nanospheres 206 and dielectric layer 205 are dry etched to remove nanospheres 206 and dielectric layer 205 as illustrated in FIG. 2C. In step 108, a dielectric layer 208 (e.g., aluminum oxide (Al2O3) or hafnium oxide (HfO2)) is deposited over nanopillars 207 and the remaining portion of layer 202 that is exposed (exposed following the dry etch of step 107) followed by depositing gate metal 209 (e.g., titanium nitride (TiN)) over dielectric layer 208 as illustrated in FIG. 2C. In one embodiment, dielectric layer 208 is deposited using atomic layer deposition. In one embodiment, dielectric layer 208 serves as the gate dielectric layer for transistor 200. In one embodiment, gate metal 209 is isolated from the first layer 202 of doped III-V semiconductor material by gate dielectric layer 208 as shown in FIG. 2C.
  • In step 109, a further dielectric layer 210 is deposited over gate metal 209 as illustrated in FIG. 2C.
  • Referring now to FIG. 1B, in conjunction with FIGS. 2D-2I, In step 110, dielectric layer 210 is etched to expose gate metal 209 over nanopillars 207 as shown in FIG. 2D.
  • In step 111, a portion of gate metal 209 and a portion of dielectric layer 208 are etched to expose the top portion of nanopillars 207 as shown in FIG. 2E.
  • In step 112, the remaining portion of dielectric layer 210 is removed to expose a portion of gate metal 209 and dielectric layer 211 is deposited over the exposed nanopillars 207 and the exposed gate metal 209 as shown in FIG. 2F.
  • In step 113, a photoresist layer 212 is deposited and patterned over dielectric layer 211 in a manner as shown in FIG. 2F. In one embodiment, photoresist layer 212 is patterned by lithography to open the drain area.
  • In step 114, dielectric layer 211 is etched according to the pattern in photoresist layer 212 in order to form the drain contact 213 as shown in FIG. 2G. Drain contact 213 is directly connected to nanopillars 207. In one embodiment, photoresist layer 212 is removed, as shown in FIG. 2G, using the lift-off process.
  • In step 115, a portion of dielectric layer 211 is patterned and etched away to form the gate contact 214 as shown in FIG. 2H. Gate contact 214 is connected to gate dielectric layer 208, which surrounds nanopillars 207 as shown in FIG. 2H.
  • In step 116, a source contact 215 is added to the bottom of substrate 201 as shown in FIG. 2I.
  • In some implementations, method 100 may include other and/or additional steps that, for clarity, are not depicted. Further, in some implementations, method 100 may be executed in a different order presented and that the order presented in the discussion of FIGS. 1A-1B is illustrative. Additionally, in some implementations, certain steps in method 100 may be executed in a substantially simultaneous manner or may be omitted.
  • As a result of fabricating a FET using III-V materials as opposed to silicon, the electrons possess lower effective mass and higher mobility thereby improving current drive capacity. Furthermore, since the FET of the present invention utilizes nanowires (nanopillars), such a structure (as opposed to planar devices) improves electrostatic control due to improved gate coupling which helps to reduce standby power consumption. Additionally, the vertical nanowire (nanopillar) structure of the present invention also increases the integration density. Also, as discussed above, the FET of the present invention is fabricated using nanosphere lithography which is a less costly than using the conventional photolithography process since the mask of the conventional photo lithography is no longer needed and costly convention photolithography techniques (e.g., electron beam lithography) are not used.
  • The descriptions of the various embodiments of the present invention have been presented for purposes of illustration, but are not intended to be exhaustive or limited to the embodiments disclosed. Many modifications and variations will be apparent to those of ordinary skill in the art without departing from the scope and spirit of the described embodiments. The terminology used herein was chosen to best explain the principles of the embodiments, the practical application or technical improvement over technologies found in the marketplace, or to enable others of ordinary skill in the art to understand the embodiments disclosed herein.

Claims (20)

1. A semiconductor device, comprising:
a drain contact;
a plurality of nanopillars directly connected to said drain contact, wherein each of said plurality of nanopillars comprises a channel of said semiconductor device, wherein each of said plurality of channels comprises undoped III-V semiconductor material;
a gate dielectric layer surrounding said plurality of nanopillars;
a gate contact connected to a gate metal layer which is connected to said gate dielectric layer;
a substrate connected to said plurality of nanopillars via a first layer of doped III-V semiconductor material, wherein said gate metal layer is isolated from said first layer of doped III-V semiconductor material by said gate dielectric layer; and
a source contact directly connected to said substrate.
2. The semiconductor device as recited in claim 1, wherein each of said plurality of nanopillars comprises a second layer of doped III-V semiconductor material.
3. The semiconductor device as recited in claim 2, wherein said first and second layers of doped III-V semiconductor material comprises doped indium gallium arsenide.
4. The semiconductor device as recited in claim 1, wherein said undoped III-V semiconductor material comprises undoped indium gallium arsenide.
5. The semiconductor device as recited in claim 1, wherein a perimeter of said plurality of nanopillars controls a diameter of said plurality of channels.
6. The semiconductor device as recited in claim 1, wherein a length of each of said plurality of channels is between 50 nm and 200 nm.
7. The semiconductor device as recited in claim 1, wherein a diameter of each of said plurality of channels is approximately 150 nm.
8. The semiconductor device as recited in claim 1, wherein said substrate comprises doped III-V semiconductor material.
9. The semiconductor device as recited in claim 8, wherein said substrate comprises indium phosphide.
10. The semiconductor device as recited in claim 1, wherein said gate metal comprises titanium nitride.
11. A method for fabricating a vertical III-V nanowire field-effect transistor, the method comprising:
depositing a first layer of doped III-V semiconductor material on a substrate of III-V semiconductor material;
depositing a layer of undoped III-V semiconductor material on top of said first layer of doped III-V semiconductor material;
depositing a second layer of doped III-V semiconductor material on top of said layer of undoped III-V semiconductor material;
growing a first dielectric layer on top of said second layer of doped III-V semiconductor material;
depositing self-assembled monolayers of nanospheres on said first dielectric layer; and
forming nanopillars using said nanospheres as a mask and said first dielectric layer as a hard mask to etch said second layer of doped III-V semiconductor material and said layer of undoped III-V semiconductor material using nanosphere lithography.
12. The method as recited in claim 11, wherein said first dielectric layer comprises silicon dioxide.
13. The method as recited in claim 11, wherein a diameter of said nanospheres is controlled via oxygen plasma.
14. The method as recited in claim 11 further comprising:
dry etching said nanospheres and said first dielectric layer to remove said nanospheres and said first dielectric layer.
15. The method as recited in claim 14 further comprising:
depositing a second dielectric layer over said nanopillars and a remaining portion of said first layer of doped III-V semiconductor material that is exposed following said dry etching.
16. The method as recited in claim 15 further comprising:
depositing a gate metal over said second dielectric layer; and
depositing a third dielectric layer over said gate metal.
17. The method as recited in claim 16 further comprising:
etching said third dielectric layer to expose said gate metal; and
etching a portion of said gate metal and a portion of said second dielectric layer to expose a top portion of said nanopillars.
18. The method as recited in claim 17 further comprising:
removing a remaining portion of said third dielectric layer to expose a portion of said gate metal;
depositing a fourth dielectric layer over said exposed nanopillars and said exposed gate metal; and
depositing a photoresist layer over a portion of said fourth dielectric layer.
19. The method as recited in claim 18 further comprising:
etching said fourth dielectric layer according to a pattern in said photoresist layer in order to form a drain contact; and
etching a portion of said fourth dielectric layer to form a gate contact.
20. The method as recited in claim 19 further comprising;
adding a source contact to a bottom of said substrate.
US13/973,045 2013-08-22 2013-08-22 Vertical iii-v nanowire field-effect transistor using nanosphere lithography Abandoned US20150053929A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US13/973,045 US20150053929A1 (en) 2013-08-22 2013-08-22 Vertical iii-v nanowire field-effect transistor using nanosphere lithography
US14/837,258 US9209271B1 (en) 2013-08-22 2015-08-27 Vertical III-V nanowire field-effect transistor using nanosphere lithography

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US13/973,045 US20150053929A1 (en) 2013-08-22 2013-08-22 Vertical iii-v nanowire field-effect transistor using nanosphere lithography

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US14/837,258 Division US9209271B1 (en) 2013-08-22 2015-08-27 Vertical III-V nanowire field-effect transistor using nanosphere lithography

Publications (1)

Publication Number Publication Date
US20150053929A1 true US20150053929A1 (en) 2015-02-26

Family

ID=52479535

Family Applications (2)

Application Number Title Priority Date Filing Date
US13/973,045 Abandoned US20150053929A1 (en) 2013-08-22 2013-08-22 Vertical iii-v nanowire field-effect transistor using nanosphere lithography
US14/837,258 Expired - Fee Related US9209271B1 (en) 2013-08-22 2015-08-27 Vertical III-V nanowire field-effect transistor using nanosphere lithography

Family Applications After (1)

Application Number Title Priority Date Filing Date
US14/837,258 Expired - Fee Related US9209271B1 (en) 2013-08-22 2015-08-27 Vertical III-V nanowire field-effect transistor using nanosphere lithography

Country Status (1)

Country Link
US (2) US20150053929A1 (en)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9209271B1 (en) * 2013-08-22 2015-12-08 Board Of Regents, The University Of Texas System Vertical III-V nanowire field-effect transistor using nanosphere lithography
US20160064541A1 (en) * 2014-08-29 2016-03-03 Taiwan Semiconductor Manufacturing Co., Ltd. Vertical transistor and method of manufacturing the same
EP3153463A1 (en) * 2015-10-08 2017-04-12 IMEC vzw Method for producing a pillar structure in a semiconductor layer
FR3044307A1 (en) * 2015-11-27 2017-06-02 Bosch Gmbh Robert METHOD FOR MANUFACTURING NANOSTRUCTURES IN MICROMECHANICAL COMPONENTS AND MICROMECHANICAL COMPONENTS OBTAINED
CN106847887A (en) * 2017-01-13 2017-06-13 桂林电子科技大学 A kind of III V races ring grid field effect transistor and preparation method thereof
US9893159B2 (en) * 2014-08-15 2018-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor, integrated circuit and method of fabricating the same
US9972545B2 (en) * 2015-10-30 2018-05-15 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for a field-effect transistor with dual vertical gates
US9985026B2 (en) * 2014-08-15 2018-05-29 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor, integrated circuit and method of fabricating the same
CN109216171A (en) * 2017-06-30 2019-01-15 新加坡国立大学 A method of reducing wide band gap semiconductor device ohmic contact resistance
CN114613844A (en) * 2022-03-14 2022-06-10 中国工程物理研究院电子工程研究所 Miniaturized array preparation method of nano air channel electronic device

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2017006405A1 (en) * 2015-07-03 2017-01-12 堺ディスプレイプロダクト株式会社 Method for dividing display member and method for manufacturing liquid crystal display device
KR102422240B1 (en) 2016-05-26 2022-07-18 삼성전자주식회사 Integrated circuit device and method of manufacturing the same
US10141232B2 (en) 2016-06-30 2018-11-27 International Business Machines Corporation Vertical CMOS devices with common gate stacks
US9859420B1 (en) 2016-08-18 2018-01-02 International Business Machines Corporation Tapered vertical FET having III-V channel
US10608114B2 (en) 2018-06-15 2020-03-31 International Business Machines Corporation Vertical nano-wire complimentary metal-oxide-semiconductor transistor with cylindrical III-V compound and germanium channel

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020036318A1 (en) * 2000-09-26 2002-03-28 International Rectifier Corp. Reversed source-drain mosgated device
US20060273389A1 (en) * 2005-05-23 2006-12-07 International Business Machines Corporation Vertical FET with nanowire channels and a silicided bottom contact
US20100102380A1 (en) * 2006-09-18 2010-04-29 Qunano Ab Method of producing precision vertical and horizontal layers in a vertical semiconductor structure
US20110253982A1 (en) * 2008-10-28 2011-10-20 The Regents Of The University Of California Vertical group iii-v nanowires on si, heterostructures, flexible arrays and fabrication
US20120145990A1 (en) * 2007-10-26 2012-06-14 Qunano Ab Nanowire growth on dissimilar material
US20130240983A1 (en) * 2010-11-26 2013-09-19 Centre National De La Recherche Scientifique (C.N.R.S.) Process for fabricating a field-effect transistor device implemented on a network of vertical nanowires, the resulting transistor device, an electronic device comprising such transistor devices and a processor comprising at least one such device
US20130307513A1 (en) * 2011-12-19 2013-11-21 Han Wui Then High voltage field effect transistors
US20140008606A1 (en) * 2011-03-02 2014-01-09 King Abdullah University Of Science And Technology Cylindrical-shaped nanotube field effect transistor
US20140166981A1 (en) * 2012-12-18 2014-06-19 Brian S. Doyle Vertical nanowire transistor with axially engineered semiconductor and gate metallization
US20140209864A1 (en) * 2013-01-28 2014-07-31 International Business Machines Corporation Nanowire Capacitor for Bidirectional Operation

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4407695A (en) * 1981-12-31 1983-10-04 Exxon Research And Engineering Co. Natural lithographic fabrication of microstructures over large areas
US5676853A (en) * 1996-05-21 1997-10-14 Micron Display Technology, Inc. Mask for forming features on a semiconductor substrate and a method for forming the mask
US6495296B1 (en) * 1999-02-17 2002-12-17 Micron Technology, Inc. Method for limiting particle aggregation in a mask deposited by a colloidal suspension
US20100175749A1 (en) * 2008-03-24 2010-07-15 Tsutsumi Eishi Solar cell and method for manufacturing metal electrode layer to be used in the solar cell
US8445188B2 (en) * 2008-09-17 2013-05-21 National Science Foundation Process for formation of highly uniform arrays of nano-holes and nano-pillars
US9831361B2 (en) * 2012-12-14 2017-11-28 Robert Bosch Gmbh Method of fabricating nanocone texture on glass and transparent conductors
US20150053929A1 (en) * 2013-08-22 2015-02-26 Board Of Regents. The University Of Texas System Vertical iii-v nanowire field-effect transistor using nanosphere lithography

Patent Citations (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020036318A1 (en) * 2000-09-26 2002-03-28 International Rectifier Corp. Reversed source-drain mosgated device
US6437390B1 (en) * 2000-09-26 2002-08-20 International Rectifier Corporation Reversed source-drain mosgated device
US20060273389A1 (en) * 2005-05-23 2006-12-07 International Business Machines Corporation Vertical FET with nanowire channels and a silicided bottom contact
US7230286B2 (en) * 2005-05-23 2007-06-12 International Business Machines Corporation Vertical FET with nanowire channels and a silicided bottom contact
US7446025B2 (en) * 2005-05-23 2008-11-04 International Business Machines Corporation Method of forming vertical FET with nanowire channels and a silicided bottom contact
US20080293246A1 (en) * 2005-05-23 2008-11-27 International Business Machines Corporation Vertical fet with nanowire channels and a silicided bottom contact
US8178403B2 (en) * 2006-09-18 2012-05-15 Qunano Ab Method of producing precision vertical and horizontal layers in a vertical semiconductor structure
US20100102380A1 (en) * 2006-09-18 2010-04-29 Qunano Ab Method of producing precision vertical and horizontal layers in a vertical semiconductor structure
US20120211727A1 (en) * 2006-09-18 2012-08-23 Qunano Ab Method of Producing Precision Vertical and Horizontal Layers in a Vertical Semiconductor Structure
US8551834B2 (en) * 2006-09-18 2013-10-08 Qunano Ab Method of producing precision vertical and horizontal layers in a vertical semiconductor structure
US20140103423A1 (en) * 2006-09-18 2014-04-17 Qunano Ab Method of producing precision vertical and horizontal layers in a vertical semiconductor structure
US20120145990A1 (en) * 2007-10-26 2012-06-14 Qunano Ab Nanowire growth on dissimilar material
US20110253982A1 (en) * 2008-10-28 2011-10-20 The Regents Of The University Of California Vertical group iii-v nanowires on si, heterostructures, flexible arrays and fabrication
US20130240983A1 (en) * 2010-11-26 2013-09-19 Centre National De La Recherche Scientifique (C.N.R.S.) Process for fabricating a field-effect transistor device implemented on a network of vertical nanowires, the resulting transistor device, an electronic device comprising such transistor devices and a processor comprising at least one such device
US20140008606A1 (en) * 2011-03-02 2014-01-09 King Abdullah University Of Science And Technology Cylindrical-shaped nanotube field effect transistor
US20130307513A1 (en) * 2011-12-19 2013-11-21 Han Wui Then High voltage field effect transistors
US20140166981A1 (en) * 2012-12-18 2014-06-19 Brian S. Doyle Vertical nanowire transistor with axially engineered semiconductor and gate metallization
US8890119B2 (en) * 2012-12-18 2014-11-18 Intel Corporation Vertical nanowire transistor with axially engineered semiconductor and gate metallization
US20140209864A1 (en) * 2013-01-28 2014-07-31 International Business Machines Corporation Nanowire Capacitor for Bidirectional Operation

Non-Patent Citations (6)

* Cited by examiner, † Cited by third party
Title
Bryllert et al., Vertical High-Mobility Wrap-Gated InAs Nanowire Transistor, Vol. 27, No. 5, 2006, pages 323-325 *
Goldberger et al., Silicon Vertically Integrated Nanowire Field Effect Transistors, Nano Letters, Vol. 6, No. 5, 2006, pages 973-977 *
Gu et al., 20-80nm Channel Length InGaAs Gate-all-around Nanowire MOSFETs with EOT=1.2nm and Lowest SS=63mV/dec, IEEE, IEDM12, 2012, pages 633-636 *
Larrieu et al., Vertical nanowire array-based field effect transistors for ultimate scaling, Nanoscale, 5, 2013, pages 2437-2441 *
Thelander et al., Development of a Vertical Wrap-Gated InAs FET, IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 55, NO. 11, 2008, pages 3030-3036 *
Tomioka et al., A III-V nanowire channel on silicon for high-performance vertical transistors, Nature, Vol. 488, 2012, pages 189-193 *

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9209271B1 (en) * 2013-08-22 2015-12-08 Board Of Regents, The University Of Texas System Vertical III-V nanowire field-effect transistor using nanosphere lithography
US10672769B2 (en) 2014-08-15 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method of fabricating a transistor having a drain pad with capping and silicide layers
US9893159B2 (en) * 2014-08-15 2018-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor, integrated circuit and method of fabricating the same
US9985026B2 (en) * 2014-08-15 2018-05-29 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor, integrated circuit and method of fabricating the same
US20160064541A1 (en) * 2014-08-29 2016-03-03 Taiwan Semiconductor Manufacturing Co., Ltd. Vertical transistor and method of manufacturing the same
US9911848B2 (en) * 2014-08-29 2018-03-06 Taiwan Semiconductor Manufacturing Co., Ltd. Vertical transistor and method of manufacturing the same
EP3153463A1 (en) * 2015-10-08 2017-04-12 IMEC vzw Method for producing a pillar structure in a semiconductor layer
US10825682B2 (en) 2015-10-08 2020-11-03 Imec Vzw Method for producing a pillar structure in a semiconductor layer
US9972545B2 (en) * 2015-10-30 2018-05-15 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for a field-effect transistor with dual vertical gates
FR3044307A1 (en) * 2015-11-27 2017-06-02 Bosch Gmbh Robert METHOD FOR MANUFACTURING NANOSTRUCTURES IN MICROMECHANICAL COMPONENTS AND MICROMECHANICAL COMPONENTS OBTAINED
CN106847887A (en) * 2017-01-13 2017-06-13 桂林电子科技大学 A kind of III V races ring grid field effect transistor and preparation method thereof
CN109216171A (en) * 2017-06-30 2019-01-15 新加坡国立大学 A method of reducing wide band gap semiconductor device ohmic contact resistance
CN114613844A (en) * 2022-03-14 2022-06-10 中国工程物理研究院电子工程研究所 Miniaturized array preparation method of nano air channel electronic device

Also Published As

Publication number Publication date
US20150364572A1 (en) 2015-12-17
US9209271B1 (en) 2015-12-08

Similar Documents

Publication Publication Date Title
US9209271B1 (en) Vertical III-V nanowire field-effect transistor using nanosphere lithography
US20110018065A1 (en) Method for manufacturing semiconductor device and semiconductor device
US9337309B1 (en) Reducing direct source-to-drain tunneling in field effect transistors with low effective mass channels
TWI613830B (en) Tunneling field effect transistor and methods of making such a transistor
US20130264544A1 (en) Nanowire field-effect device with multiple gates
US9048330B2 (en) Three-dimensional gate-wrap-around field-effect transistor
US20150236092A1 (en) Semiconductor structures and methods for multi-level work function and multi-valued channel doping of nanowire transistors to improve drive current
Berg et al. Self-aligned, gate-last process for vertical InAs nanowire MOSFETs on Si
US20150228775A1 (en) Semiconductor structures and methods for multi-dimension of nanowire diameter to improve drive current
US10361284B2 (en) Method for vertical gate-last process
TW201727892A (en) Method and structure for III-V nanowire tunnel FETs
CN110957363A (en) Semiconductor device with a plurality of semiconductor chips
CN103022135B (en) A kind of Group III-V semiconductor nanowire crystal tube device and preparation method thereof
WO2016029711A1 (en) Tunnel field effect transistor and manufacturing method thereof
US11621346B2 (en) Vertical metal oxide semiconductor field effect transistor (MOSFET) and a method of forming the same
Jönsson et al. Balanced drive currents in 10–20 nm diameter nanowire All-III-V CMOS on Si
TWI628703B (en) Gate-all-around complementary nanowire device with iii-v quantum well transistor, germanium junctionless transistor and method for making the same
US11201246B2 (en) Field-effect transistor structure and fabrication method
Fan et al. New observation on gate-induced drain leakage in Silicon nanowire transistors with Epi-Free CMOS compatible technology on SOI substrate
US10249744B2 (en) Tunnel field-effect transistor and method for manufacturing tunnel field-effect transistor
WO2017088186A1 (en) Tunneling field-effect transistor and manufacturing method therefor
US11621340B2 (en) Field-effect transistor structure and fabrication method
Liu et al. Transport properties in silicon nanowire transistors with atomically flat interfaces
CN104779169B (en) A kind of manufacture method of double work voltage FinFET structure device
US20190097047A1 (en) Method of forming target layer surrounding vertical nanostructure

Legal Events

Date Code Title Description
AS Assignment

Owner name: BOARD OF REGENTS, THE UNIVERSITY OF TEXAS SYSTEM,

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:LEE, JACK C.;XUE, FEI;SIGNING DATES FROM 20130819 TO 20130821;REEL/FRAME:031060/0373

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION