US20140175054A1 - Independent radiant gas preheating for precursor disassociation control and gas reaction kinetics in low temperature cvd systems - Google Patents

Independent radiant gas preheating for precursor disassociation control and gas reaction kinetics in low temperature cvd systems Download PDF

Info

Publication number
US20140175054A1
US20140175054A1 US14/195,423 US201414195423A US2014175054A1 US 20140175054 A1 US20140175054 A1 US 20140175054A1 US 201414195423 A US201414195423 A US 201414195423A US 2014175054 A1 US2014175054 A1 US 2014175054A1
Authority
US
United States
Prior art keywords
gas
distribution assembly
gas distribution
radiant energy
plenums
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US14/195,423
Other versions
US20170362702A9 (en
Inventor
David Keith Carlson
Satheesh Kuppurao
Howard Beckford
Herman Diniz
Kailash Kiran Patalay
Brian Hayes Burrows
Jeffery Ronald CAMPBELL
Zuoming Zhu
Xiaowei Li
Errol Antonio Sanchez
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US14/195,423 priority Critical patent/US20170362702A9/en
Publication of US20140175054A1 publication Critical patent/US20140175054A1/en
Publication of US20170362702A9 publication Critical patent/US20170362702A9/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/0318Processes

Definitions

  • Embodiments of the present invention generally relate to preheating gases for a semiconductor fabrication process. More specifically, to preheating gases used in deposition and etch reactions on a semiconductor substrate, such as an epitaxial deposition process or other chemical vapor deposition process.
  • Epitaxial growth of silicon and/or germanium-containing films has become increasingly important due to new applications for advanced logic and DRAM devices, among other devices.
  • a key requirement for these applications is a lower temperature process so that device features will not be damaged during fabrication.
  • the lower temperature process is also important for future markets where the feature sizes are in the range of 45 nm to 65 nm, and avoidance of the diffusion of adjacent materials becomes critical.
  • Lower process temperatures may also be required for both substrate cleaning prior to growth of the silicon and/or germanium-containing epitaxial film and during selective or blanket growth of the epitaxial film.
  • selective growth it is generally meant that the film grows on a substrate which includes more than one material on the substrate surface, wherein the film selectively grows on a surface of a first material of said substrate, with minimal to no growth on a surface of a second material of said substrate.
  • This lower temperature processing is not only important to forming a properly functioning device, but it minimizes or prevents the relaxation of metastable strain layers, helps to prevent or minimize dopant diffusion, and helps to prevent segregation of dopant within the epitaxial film structure. Suppression of facet formation and short channel effects, which is enabled by low temperature processing (low thermal budget processing), is a significant factor for obtaining high performance devices.
  • CVD reduced pressure chemical vapor deposition
  • RPCVD reduced pressure chemical vapor deposition
  • LPCVD low pressure CVD
  • the typical reduced pressure process such as below about 200 Torr, is carried out at temperatures above about 700Ā° C., typically above 750Ā° C., to get an acceptable film growth rate.
  • the precursor compounds for film deposition are silicon and/or germanium containing compounds, such as silanes, germanes, combinations thereof or derivatives thereof.
  • these precursor compounds are combined with additional reagents, such as chlorine (Cl 2 ), hydrogen chloride (HCl), and optionally hydrogen bromide (HBr), by way of example.
  • additional reagents such as chlorine (Cl 2 ), hydrogen chloride (HCl), and optionally hydrogen bromide (HBr), by way of example.
  • a carbon-containing silane precursor compound for example methylsilane (CH 3 SiH 3 ), may be used as a dopant.
  • inorganic compounds such as diborane (B 2 H 6 ), arsine (AsH 3 ), and phosphine (PH 3 ), by way of example, may also be used as dopants.
  • precursors are injected into a processing region in a chamber by a gas distribution assembly, and the precursors are energized above the surface of a substrate in the chamber by irradiation of the precursors in the processing region, which is typically low wavelength radiation, such as in the ultraviolet and/or infrared spectrum.
  • Plasma generation may also be used to dissociate the reactants.
  • the substrate temperature is typically elevated to assist in adsorption of reactive species and/or desorption of process byproducts, and it is desirable to minimize the delta between the precursor temperature in the processing region and the substrate temperature in order to optimize the energization of the precursors and enhance the deposition or desorption process.
  • the precursor temperature may be elevated to a desired temperature at or before introduction to the gas distribution assembly, but the precursor temperature may be lowered by thermal losses in flowing through the gas distribution assembly and/or along the flow path to the processing region above the substrate.
  • Embodiments described herein relate to an apparatus and methods for delivering a process gas to a processing region within a chamber.
  • a method of delivering a preheated precursor gas to a processing region in a chamber includes providing a precursor gas to a gas distribution assembly in communication with the processing region, heating the precursor gas at the point of introduction in the gas distribution assembly using a radiant energy source, and maintaining at least a portion of the heat provided to the precursor gas along a flow path defined between the point of introduction and the processing region.
  • a gas distribution assembly in another embodiment, includes an injection block having at least one inlet to deliver a precursor gas to a plurality of plenums from at least two gas sources, a perforated plate bounding at least one side of each of the plurality of plenums, at least one radiant energy source positioned within each of the plurality of plenums to provide energy to the precursor gas from one or both of the at least two gas sources and flow an energized gas though openings in the perforated plate and into a chamber, and a coolant source in communication with the at least one radiant energy source, wherein the radiant energy sources are independently controlled in each of the plurality of plenums.
  • a gas distribution assembly in another embodiment, includes an injection block having at least one inlet to deliver a precursor gas to a plurality of plenums from at least two gas sources, a perforated plate bounding at least one side of each of the plurality of plenums, at least one radiant energy source positioned within each of the plurality of plenums to provide energy to the precursor gas from one or both of the at least two gas sources and flow an energized gas though openings in the perforated plate and into a chamber, and a variable power source coupled to each of the radiant energy sources positioned within each of the plurality of plenums.
  • FIG. 1 is a schematic cross-sectional view of one embodiment of a deposition chamber.
  • FIG. 2 is a schematic top view of a portion of the deposition chamber shown in FIG. 1 .
  • FIG. 3 is a schematic side view of one embodiment of a gas distribution assembly.
  • FIG. 4 is an isometric schematic view of another embodiment of a gas distribution assembly.
  • FIG. 5 is an isometric schematic view of another embodiment of a gas distribution assembly.
  • FIG. 6 is an isometric schematic view of another embodiment of a gas distribution assembly.
  • FIG. 1 is a schematic cross-sectional view of a deposition chamber 100 configured for epitaxial deposition, which may be part of a CENTURAĀ® integrated processing system available from Applied Materials, Inc., of Santa Clara, Calif.
  • the deposition chamber 100 includes housing structure 101 made of a process resistant material, such as aluminum or stainless steel, for example 316 L stainless steel.
  • the housing structure 101 encloses various functioning elements of the process chamber 100 , such as a quartz chamber 130 , which includes an upper chamber 105 , and a lower chamber 124 , in which a processing volume 118 is contained.
  • Reactive species are provided to the quartz chamber 130 by a gas distribution assembly 150 , and processing byproducts are removed from processing volume 118 by an outlet 138 , which is typically in communication with a vacuum source (not shown).
  • a substrate support 117 is adapted to receive a substrate 114 that is transferred to the processing volume 118 .
  • the substrate support 117 is disposed along a longitudinal axis 102 of the deposition chamber 100 .
  • the substrate support may be made of a ceramic material or a graphite material coated with a silicon material, such as silicon carbide, or other process resistant material. Reactive species from precursor reactant materials are applied to surface 116 of the substrate 114 , and byproducts may be subsequently removed from surface 116 .
  • Heating of the substrate 114 and/or the processing volume 118 may be provided by radiation sources, such as upper lamp modules 110 A and lower lamp modules 1108 .
  • the upper lamp modules 110 A and lower lamp modules 1108 are infrared (IR) lamps.
  • Non-thermal energy or radiation from lamp modules 110 A and 1108 travels through upper quartz window 104 of upper quartz chamber 105 , and through the lower quartz portion 103 of lower quartz chamber 124 .
  • Cooling gases for upper quartz chamber 105 if needed, enter through an inlet 112 and exit through an outlet 113 .
  • Precursor reactant materials, as well as diluent, purge and vent gases for the chamber 100 enter through gas distribution assembly 150 and exit through outlet 138 .
  • the low wavelength radiation in the processing volume 118 which is used to energize reactive species and assist in adsorption of reactants and desorption of process byproducts from the surface 116 of substrate 114 , typically ranges from about 0.8 ā‡ m to about 1.2 ā‡ m, for example, between about 0.95 ā‡ m to about 1.05 ā‡ m, with combinations of various wavelengths being provided, depending, for example, on the composition of the film which is being epitaxially grown.
  • the lamp modules 110 A and 1108 may be ultraviolet (UV) light sources.
  • the UV light source is an excimer lamp.
  • UV light sources may be used in combination with IR light sources in one or both of the upper quartz chamber 105 and lower quartz chamber 124 .
  • UV radiation sources used in combination with IR radiation sources can be found in U.S. patent application Ser. No. 10/866,471, filed Jun. 10, 2004, which published on Dec. 15, 2005, as United States patent publication No. 2005/0277272, which is incorporated by reference in its entirety.
  • the component gases enter the processing volume 118 via gas distribution assembly 150 . Gas flows from the gas distribution assembly 150 and exits through port 138 as shown generally at 122 . Combinations of component gases, which are used to clean/passivate a substrate surface, or to form the silicon and/or germanium-containing film that is being epitaxially grown, are typically mixed prior to entry into the processing volume.
  • the overall pressure in the processing volume 118 may be adjusted by a valve (not shown) on the outlet port 138 .
  • At least a portion of the interior surface of the processing volume 118 is covered by a liner 131 .
  • the liner 131 comprises a quartz material that is opaque. In this manner, the chamber wall is insulated from the heat in the processing volume 118 .
  • the temperature of surfaces in the processing volume 118 may be controlled within a temperature range of about 200Ā° C. to about 600Ā° C., or greater, by the flow of a cooling gas, which enters through a port 112 and exits through port 113 , in combination with radiation from upper lamp modules 110 A positioned above upper quartz window 104 .
  • the temperature in the lower quartz chamber 124 may be controlled within a temperature range of about 200Ā° C. to about 600Ā° C. or greater, by adjusting the speed of a blower unit which is not shown, and by radiation from the lower lamp modules 1108 disposed below lower quartz chamber 124 .
  • the pressure in the processing volume 118 may be between about 0.1 Torr to about 600 Torr, such as between about 5 Torr to about 30 Torr.
  • the temperature on the substrate 114 surface 116 may be controlled by power adjustment to the lower lamp modules 1108 in lower quartz chamber 124 , or by power adjustment to both the upper lamp modules 110 A overlying upper quartz chamber 104 , and the lower lamp modules 1108 in lower quartz chamber 124 .
  • the power density in the processing volume 118 may be between about 40 W/cm 2 to about 400 W/cm 2 , such as about 80 W/cm 2 to about 120 W/cm 2 .
  • the gas distribution assembly 150 is disposed normal to, or in a radial direction 106 relative to, the longitudinal axis 102 of the chamber 100 or substrate 114 . In this orientation, the gas distribution assembly 150 is adapted to flow process gases in a radial direction 106 across, or parallel to, the surface 116 of the substrate 114 .
  • the process gases are preheated at the point of introduction to the chamber 100 to initiate preheating of the gases prior to introduction to the processing volume 118 , and/or to break specific bonds in the gases. In this manner, surface reaction kinetics may be modified independently from the thermal temperature of the substrate 114 .
  • FIG. 2 is a schematic top view of a portion of a deposition chamber 100 similar the chamber shown in FIG. 1 , with the exception of the substrate 114 not being shown.
  • a gas distribution assembly 150 is shown coupled to the housing structure 101 .
  • the gas distribution assembly 150 includes an injection block 210 coupled to one or more gas sources 140 A and 140 B.
  • the gas distribution assembly 150 also includes a non-thermal heating assembly 220 , which includes a plurality of radiant heat sources, such as IR lamps 225 A- 225 F disposed at least partially in the injection block 210 .
  • the injection block 210 also includes one or more plenums 224 N disposed upstream of the openings 158 of a perforated plate 154 , such as inner plenum 224 2 and outer plenums 224 1 and 224 3 , and the IR lamps 225 A- 225 F are disposed at least partially in the plenums 224 N .
  • the gas distribution assembly 150 may include more or less IR lamps.
  • the IR lamps 225 A- 225 F may include halogen type lamps, or rapid thermal processing (RTP) lamps with a wattage between about 300 watts to about 1200 watts, depending on the intensity of the radiation needed for the particular process, and/or the number of IR lamps used with the gas distribution assembly 150 .
  • the IR lamps 225 A- 225 F are RTP style lamps having a wattage between about 500 watts to about 750 watts, for example between about 500 watts to about 550 watts with about an 80 volt power application.
  • the power density provided by each of the IR lamps 225 A- 225 F may be between about 25 W/cm 2 to about 40 W/cm 2 in the plenums 224 N .
  • the IR lamps 225 A- 225 F provide a variable temperature in each plenum 224 N of about 50Ā° C. to about 250Ā° C.
  • precursors to form Si and SiGe blanket or selective films are provided to the gas distribution assembly 150 from the one or more gas sources 140 A and 140 B.
  • the gas sources 140 A, 140 B may be coupled the gas distribution assembly 150 in a manner configured to facilitate introduction zones within the gas distribution assembly 150 , such as an outer zone that is shown as outer plenums 224 1 and 224 3 , and an inner zone, shown as inner plenum 224 2 .
  • the gas sources 140 A, 140 B may include valves (not shown) to control the rate of introduction into the plenums 224 N .
  • the plenums 224 N may be in communication with one gas source, or other gas sources may be added to create more introduction zones.
  • the gas sources 140 A, 140 B may include silicon precursors such as silanes, including silane (SiH 4 ), disilane (Si 2 H 6 ,), dichlorosilane (SiH 2 Cl 2 ), hexachlorodisilane (Si 2 Cl 6 ), dibromosilane (SiH 2 Br 2 ), higher order silanes, derivatives thereof, and combinations thereof.
  • the gas sources 140 A, 140 B may also include germanium containing precursors, such as germane (GeH 4 ), digermane (Ge 2 H 6 ), germanium tetrachloride (GeCl 4 ), dichlorogermane (GeH 2 Cl 2 ), derivatives thereof, and combinations thereof.
  • the silicon and/or germanium containing precursors may be used in combination with hydrogen chloride (HCl), chlorine gas (Cl 2 ), hydrogen bromide (HBr), and combinations thereof.
  • the gas sources 140 A, 140 B may include one or more of the silicon and germanium containing precursors in one or both of the gas sources 140 A, 140 B.
  • the gas source 140 A which may be in communication with the outer plenums 224 1 and 224 3 , may include precursor materials, such as hydrogen gas (H 2 ) or chlorine gas (Cl 2 ), while gas source 140 B may include silicon and/or germanium containing precursors, derivatives thereof, or combinations thereof.
  • the precursor materials from the gas sources 140 A, 140 B are delivered to the plenums 224 N and the non-thermal energy from the IR lamps 225 A- 225 F illuminates the precursor materials with IR energy in the plenums 224 N at the point of introduction.
  • the wavelength of the non-thermal energy resonates and excites the precursor materials by taking advantage of the vibrational stretch mode of the precursor materials, and the energy is absorbed into the precursor materials, which preheats the precursor materials prior to entry into the processing volume.
  • the injection block 210 which contains the IR lamps 225 A- 225 F, is made of a material with high reflectivity, such as stainless steel, which may also include a polished surface to increase reflectivity.
  • the reflective quality of the material for the injection block 210 may also act as an insulator to minimize heating of the injection block, thus increasing safety to personnel that may be in close proximity to the injection block 210 .
  • the injection block 210 comprises stainless steel and the interior surfaces of the plenums 224 N are polished.
  • the injection block 210 comprises aluminum and the interior surfaces of the plenums 224 N are polished.
  • the precursor materials enter the processing volume 118 through openings 158 in the perforated plate 154 in this excited state, which in one embodiment is a quartz material, having the openings 158 formed therethrough.
  • the perforated plate is transparent to IR energy, and may be made of a clear quartz material.
  • the perforated plate 154 may be any material that is transparent to IR energy and is resistant to process chemistry and other process parameters.
  • the energized precursor materials flow toward the processing volume 118 through a plurality of holes 158 in the perforated plate 154 , and through a plurality of channels 152 N .
  • a portion of the photons and non-thermal energy from the IR lamps 225 A- 225 F also passes through the holes 158 , the perforated plate 154 , and channels 152 N , facilitated by the high reflective material and/or surface of the injection block 210 , thereby illuminating the flow path of the precursor materials (shown as arrow 325 in FIG. 3 ). In this manner, the vibrational energy of the precursor materials may be maintained from the point of introduction to the processing volume 118 along the flow path.
  • Intensity of the IR wavelengths in the plurality of IR lamps 225 A- 225 F may be increased or decreased depending on the process.
  • intensity of the IR lamps may be controlled by filter elements 405 ( FIG. 4 ), and window 610 ( FIG. 6 ).
  • a sheath 315 ( FIG. 3 ) may be disposed over at least a portion of the IR lamps 225 A- 225 F, and the sheath may be configured as a filter element to control the intensity of the lamps.
  • the filter elements may be a sleeve, sheet, or lens adapted to modulate bandwidth by selective transmission of specific wavelengths.
  • the filter elements may be used on at least one of the IR lamps 225 A- 225 F or all of the IR lamps 225 A- 225 F. Alternatively, different filter elements may be used on different IR lamps 225 A- 225 F.
  • the outer plenums 224 1 and 224 3 may receive a first level of intensity by using a first filter configured to absorb or block specific spectra, while the inner plenum 224 2 receives a second level of intensity by using a second filter configured to absorb or block a different specific spectra.
  • the IR intensity in the multiple zones defined by the plenums 224 N may be individually controlled by leads 226 A- 226 F coupled to a power source 205 and a controller.
  • the outer plenums 224 1 and 224 3 may receive a first level of intensity
  • the inner plenum 224 2 receives a second level of intensity by variation of signals provided to the IR lamps 225 A- 225 F.
  • each IR lamp 225 A- 225 F may be controlled separately by variation of signals provided by the controller.
  • the intensity of the IR lamps 225 A- 225 F may be controlled in an open-loop mode, or a closed-loop mode.
  • the precursor materials enter the processing volume 118 in a preheated or energized state, which may lessen the adsorption or desorption time frame or disassociation time, which, in turn, increases throughput.
  • FIG. 3 is a schematic side view of one embodiment of a gas distribution assembly 150 as shown in FIGS. 1 and 2 .
  • An aperture 305 is formed in the injection block 210 to receive a portion of an IR lamp 225 C, which is at least partially inserted into the plenum 224 2 .
  • Precursor materials are supplied to the plenum 224 2 by a port 320 disposed in the injection block 210 .
  • the aperture 305 may be sized slightly larger than the IR lamp 225 C to allow space for a sheath 315 adapted to encase a portion of the IR lamp 225 C.
  • the sheath 315 is made of a material transparent to IR energy, such as quartz, magnesium fluoride, calcium fluoride, sapphire, as examples.
  • the sheath 315 may be adapted as a filter element to modulate bandwidth by selective transmission of specific wavelengths.
  • Temperature sensing devices (not shown), such as thermocouples, may be disposed in the injection block 210 to monitor the sheath temperature and/or the temperature in the plenum 224 2 .
  • the aperture 305 also includes a larger diameter portion at the end opposite the plenum 224 2 to receive a high temperature seal 323 , for example an o-ring made of a polymeric material adapted to withstand elevated temperatures, such as a TeflonĀ® material, polyethernitrile, polyetheretherketone (PEEK), polyaryletherketone (PAEK), among others.
  • a high temperature seal 323 for example an o-ring made of a polymeric material adapted to withstand elevated temperatures, such as a TeflonĀ® material, polyethernitrile, polyetheretherketone (PEEK), polyaryletherketone (PAEK), among others.
  • the IR lamps 225 A- 225 F are coupled to a cooling device 310 to cool the IR lamps 225 A- 225 F.
  • the cooling device 310 includes a conduit, such as a tubular member 156 having an inlet port 260 A and an outlet port 260 B, and is adapted to provide a coolant to a plurality of IR lamps 225 A- 225 F.
  • the cooling device may be housing coupled to a single IR lamp.
  • the cooling device 310 may comprise a cooling fluid, such as a liquid or gas from a coolant source 311 that circulates through the tubular member 156 to facilitate heat transfer from the IR lamps 225 A- 225 F.
  • the tubular member 156 also includes apertures 306 adapted to receive a portion of the IR lamps 225 A- 225 F. At least one of the apertures includes a fitting 308 , such as a stainless steel VCO fitting, adapted to receive a portion of the IR lamp and seal the tubular member 156 .
  • the cooling fluid from the coolant source 311 is nitrogen gas, which is circulated through the tubular member 156 .
  • precursor materials from gas source 140 B are introduced to the plenum 224 2 by the port 320 , and the precursor materials are radiantly heated by the IR lamp 225 C at this point of introduction.
  • the lower partial pressure in the processing volume 118 (not shown in this view) creates a flow path 325 through the opening 158 and the channel 152 N .
  • the precursor materials are energized in the plenum 224 2 and remain energized along the flow path 325 by the non-thermal energy reflected and/or passing into the channel 152 N .
  • this non-thermal energy minimizes or eliminates the need for resistive or convective heating elements in or near the precursor introduction point, which may improve safety of the use of the chamber, and minimizes the need for extended cooling systems for the chamber.
  • FIGS. 4-6 are isometric schematic views of various embodiment of a gas distribution assembly 150 that may be coupled with the chamber 100 of FIG. 1 .
  • the gas distribution assembly 150 includes an injection block 210 having at least one IR lamp 425 in communication with a gas source, such as gas source 140 A and/or 140 B coupled to ports 320 . While not shown, each port is in communication with a plenum 224 N disposed within the gas injection block 210 .
  • each IR lamp 425 is individually coupled to the injection block 210 by a housing 410 that provides electrical connections (not shown) and cooling capabilities.
  • each housing 410 includes a port 415 that may be coupled to the coolant source 311 ( FIG. 3 ). In one application, each port 415 functions as an inlet and an outlet for cooling fluid.
  • a plurality of IR lamps 425 are disposed in a radial direction to the chamber 100 ( FIG. 1 ).
  • each IR lamp 425 is disposed normal to a gas injection path as defined by the directional orientation of the ports 320 .
  • one or more IR lamps 425 may include a filter element 405 adapted to modulate bandwidth by selective transmission of specific wavelengths from the IR lamp 425 .
  • the filter element 405 may be a sheath, a plate, a sheet, or any article or device adapted block specific wavelengths.
  • a plurality of IR lamps 425 are disposed in a parallel orientation relative to the longitudinal axis of the chamber 100 ( FIG. 1 ).
  • each IR lamp 425 is disposed substantially parallel to a gas injection path as defined by the directional orientation of the ports 320 .
  • one or more IR lamps 425 may include a filter element ( FIG. 4 ) adapted to modulate bandwidth by selective transmission of specific wavelengths from the IR lamp 425 .
  • a single IR lamp 425 is disposed in a radial direction to the chamber 100 ( FIG. 1 ).
  • the IR lamp 425 is disposed normal to a gas injection path as defined by the directional orientation of the ports 320 .
  • the gas injection block 210 may include a plate 610 positioned between the IR lamp 425 and plenums 224 N (not shown in this view).
  • the plate 610 may be configured as a window made of a material that is transparent to IR light.
  • the plate 610 may be configured as a filter element adapted to modulate bandwidth by selective transmission of specific wavelengths from the IR lamp 425 .
  • the plate 610 may be adapted as a filter element having multiple zones 615 A, 615 B adapted block specific wavelengths in each zone.
  • a blanket SiGe film was formed on a 300 mm wafer in the chamber 100 using the gas distribution assembly 150 as shown in FIG. 2 .
  • the chamber was provided with a pressure of about 10 Torr and a surface temperature in the processing region 118 of about 750Ā° C. with a power density of about 45 W/cm 2 .
  • Dichlorosilane and germane was introduced to the processing region 118 from the gas distribution assembly 150 at about 0.5% and 0.01%, respectively.
  • Non-thermal energy from the IR lamps 225 A- 225 F operating at a power of about 30 watts produced a temperature measured at the sheath 315 of about 138Ā° C. This produced a noticeable decrease in film growth rate and an increase in the percentage of germanium in the film.
  • a selective SiGe film was formed on a 300 mm wafer in the chamber 100 using the gas distribution assembly 150 as shown in FIG. 2 .
  • the chamber was provided with a pressure of about 10 Torr and a surface temperature in the processing region 118 of about 750Ā° C. with a power density of about 45 W/cm 2 .
  • Dichlorosilane and germane was introduced to the processing region 118 from the gas distribution assembly 150 at about 0.5% and 0.01%, respectively.
  • Hydrogen chloride was also provided at about 0.5%.
  • Non-thermal energy from the IR lamps 225 A- 225 F operating at a power of about 30 watts produced a temperature measured at the sheath 315 of about 138Ā° C. This produced a significant decrease in film growth rate and an improved film profile.
  • a selective SiGe film was formed on a 300 mm wafer in the chamber 100 using the gas distribution assembly 150 as shown in FIG. 2 .
  • the chamber was provided with a pressure of about 10 Torr and a surface temperature in the processing region 118 of about 750Ā° C. with a power density of about 45 W/cm 2 .
  • Silane and hydrogen chloride was introduced to the processing region 118 from the gas distribution assembly 150 at about 0.25% and 1.125%, respectively.
  • Non-thermal energy from the IR lamps 225 A- 225 F operating at a power of about 25 watts produced a temperature measured at the sheath 315 of about 110Ā° C. This produced a noticeable increase in percentage of germanium in the film and a decrease in film growth rate.
  • a selective SiGe film was formed on a 300 mm wafer in the chamber 100 using the gas distribution assembly 150 as shown in FIG. 2 .
  • the chamber was provided with a pressure of about 10 Torr and a surface temperature in the processing region 118 of about 750Ā° C. with a power density of about 45 W/cm 2 .
  • Silane and germane was introduced to the processing region 118 from the gas distribution assembly 150 at 0.25% and 1.225%, respectively.
  • Hydrogen chloride was also provided at about 0.575%.
  • Non-thermal energy from the IR lamps 225 A- 225 F operating at a power of about 25 watts produced a temperature measured at the sheath 315 of about 110Ā° C. This produced a significant decrease in film growth rate (about 56.5 ā‡ /minute) and an increase in the percentage of germanium in the film (about 0.25%).

Abstract

In one embodiment, a gas distribution assembly includes an injection block having at least one inlet to deliver a precursor gas to a plurality of plenums from at least two gas sources, a perforated plate bounding at least one side of each of the plurality of plenums, at least one radiant energy source positioned within each of the plurality of plenums to provide energy to the precursor gas from one or both of the at least two gas sources and flow an energized gas though openings in the perforated plate and into a chamber, and a variable power source coupled to each of the radiant energy sources positioned within each of the plurality of plenums.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application is a continuation of U.S. patent application Ser. No. 13/175,499 (Attorney Docket No. 11249USD01), filed Jul. 1, 2011, and issued as U.S. Pat. No. 8,663,390 on Mar. 4, 2014, which is a divisional of U.S. patent application Ser. No. 11/937,388 (Attorney Docket No. 11249), filed Nov. 8, 2007, and issued as U.S. Pat. No. 7,976,634 on Jul. 12, 2011, which claims benefit of U.S. Provisional patent application Ser. No. 60/866,799 (Attorney Docket No. 11249L), filed Nov. 21, 2006, all of which are incorporated herein by reference.
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • Embodiments of the present invention generally relate to preheating gases for a semiconductor fabrication process. More specifically, to preheating gases used in deposition and etch reactions on a semiconductor substrate, such as an epitaxial deposition process or other chemical vapor deposition process.
  • 2. Description of the Related Art
  • Epitaxial growth of silicon and/or germanium-containing films has become increasingly important due to new applications for advanced logic and DRAM devices, among other devices. A key requirement for these applications is a lower temperature process so that device features will not be damaged during fabrication. The lower temperature process is also important for future markets where the feature sizes are in the range of 45 nm to 65 nm, and avoidance of the diffusion of adjacent materials becomes critical. Lower process temperatures may also be required for both substrate cleaning prior to growth of the silicon and/or germanium-containing epitaxial film and during selective or blanket growth of the epitaxial film. By selective growth, it is generally meant that the film grows on a substrate which includes more than one material on the substrate surface, wherein the film selectively grows on a surface of a first material of said substrate, with minimal to no growth on a surface of a second material of said substrate.
  • Selective and blanket (non-selectively grown) epitaxial films containing silicon and/or germanium, and strained embodiments of such epitaxial films, which are grown at temperatures of less than about 700Ā° C., are required for many current semiconductor applications. Further, it may be desirable to have the removal of native oxide and hydrocarbons prior to formation of the epitaxial film accomplished at temperatures in the range of about 650Ā° C. or less, although higher temperatures may be tolerated when the removal time period is shortened.
  • This lower temperature processing is not only important to forming a properly functioning device, but it minimizes or prevents the relaxation of metastable strain layers, helps to prevent or minimize dopant diffusion, and helps to prevent segregation of dopant within the epitaxial film structure. Suppression of facet formation and short channel effects, which is enabled by low temperature processing (low thermal budget processing), is a significant factor for obtaining high performance devices.
  • Current techniques for selective and blanket epitaxial growth of doped and undoped silicon (Si), germanium (Ge), SiGe, and carbon containing films, are typically carried out using reduced pressure chemical vapor deposition (CVD), which is also referred to as RPCVD or low pressure CVD (LPCVD). The typical reduced pressure process, such as below about 200 Torr, is carried out at temperatures above about 700Ā° C., typically above 750Ā° C., to get an acceptable film growth rate. Generally, the precursor compounds for film deposition are silicon and/or germanium containing compounds, such as silanes, germanes, combinations thereof or derivatives thereof. Generally, for selective deposition processes, these precursor compounds are combined with additional reagents, such as chlorine (Cl2), hydrogen chloride (HCl), and optionally hydrogen bromide (HBr), by way of example. A carbon-containing silane precursor compound, for example methylsilane (CH3SiH3), may be used as a dopant. In the alternative, inorganic compounds, such as diborane (B2H6), arsine (AsH3), and phosphine (PH3), by way of example, may also be used as dopants.
  • In a typical LPCVD process to deposit an epitaxial layer on a substrate, precursors are injected into a processing region in a chamber by a gas distribution assembly, and the precursors are energized above the surface of a substrate in the chamber by irradiation of the precursors in the processing region, which is typically low wavelength radiation, such as in the ultraviolet and/or infrared spectrum. Plasma generation may also be used to dissociate the reactants. The substrate temperature is typically elevated to assist in adsorption of reactive species and/or desorption of process byproducts, and it is desirable to minimize the delta between the precursor temperature in the processing region and the substrate temperature in order to optimize the energization of the precursors and enhance the deposition or desorption process.
  • To enable a more efficient dissociation process, it is desirable to preheat the precursors prior to delivery to the processing region to enable faster and more efficient dissociation of the precursors above the substrate. Various methods to heat the precursors have been employed, but challenges remain in stabilizing the preheat temperature prior to energization above the substrate surface. For example, the precursor temperature may be elevated to a desired temperature at or before introduction to the gas distribution assembly, but the precursor temperature may be lowered by thermal losses in flowing through the gas distribution assembly and/or along the flow path to the processing region above the substrate.
  • Therefore, there is a need in the art for an apparatus and method to minimize the temperature range delta between the introduction temperature of precursors and the processing region, and an apparatus and method of preheating precursors at the gas introduction point that also minimizes heat loss prior to dissociation of the precursor.
  • SUMMARY OF THE INVENTION
  • Embodiments described herein relate to an apparatus and methods for delivering a process gas to a processing region within a chamber.
  • In one embodiment, a method of delivering a preheated precursor gas to a processing region in a chamber is provided. The method includes providing a precursor gas to a gas distribution assembly in communication with the processing region, heating the precursor gas at the point of introduction in the gas distribution assembly using a radiant energy source, and maintaining at least a portion of the heat provided to the precursor gas along a flow path defined between the point of introduction and the processing region.
  • In another embodiment, a gas distribution assembly is provided. The gas distribution assembly includes an injection block having at least one inlet to deliver a precursor gas to a plurality of plenums from at least two gas sources, a perforated plate bounding at least one side of each of the plurality of plenums, at least one radiant energy source positioned within each of the plurality of plenums to provide energy to the precursor gas from one or both of the at least two gas sources and flow an energized gas though openings in the perforated plate and into a chamber, and a coolant source in communication with the at least one radiant energy source, wherein the radiant energy sources are independently controlled in each of the plurality of plenums.
  • In another embodiment, a gas distribution assembly is provided. The gas distribution assembly includes an injection block having at least one inlet to deliver a precursor gas to a plurality of plenums from at least two gas sources, a perforated plate bounding at least one side of each of the plurality of plenums, at least one radiant energy source positioned within each of the plurality of plenums to provide energy to the precursor gas from one or both of the at least two gas sources and flow an energized gas though openings in the perforated plate and into a chamber, and a variable power source coupled to each of the radiant energy sources positioned within each of the plurality of plenums.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • So that the manner in which the above recited features of the present invention can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to embodiments, some of which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
  • FIG. 1 is a schematic cross-sectional view of one embodiment of a deposition chamber.
  • FIG. 2 is a schematic top view of a portion of the deposition chamber shown in FIG. 1.
  • FIG. 3 is a schematic side view of one embodiment of a gas distribution assembly.
  • FIG. 4 is an isometric schematic view of another embodiment of a gas distribution assembly.
  • FIG. 5 is an isometric schematic view of another embodiment of a gas distribution assembly.
  • FIG. 6 is an isometric schematic view of another embodiment of a gas distribution assembly.
  • To facilitate understanding, identical reference numerals have been used, wherever possible, to designate identical elements that are common to the figures. It is also contemplated that elements disclosed in one embodiment may be beneficially utilized on other embodiments without specific recitation.
  • DETAILED DESCRIPTION
  • FIG. 1 is a schematic cross-sectional view of a deposition chamber 100 configured for epitaxial deposition, which may be part of a CENTURAĀ® integrated processing system available from Applied Materials, Inc., of Santa Clara, Calif. The deposition chamber 100 includes housing structure 101 made of a process resistant material, such as aluminum or stainless steel, for example 316 L stainless steel. The housing structure 101 encloses various functioning elements of the process chamber 100, such as a quartz chamber 130, which includes an upper chamber 105, and a lower chamber 124, in which a processing volume 118 is contained. Reactive species are provided to the quartz chamber 130 by a gas distribution assembly 150, and processing byproducts are removed from processing volume 118 by an outlet 138, which is typically in communication with a vacuum source (not shown).
  • A substrate support 117 is adapted to receive a substrate 114 that is transferred to the processing volume 118. The substrate support 117 is disposed along a longitudinal axis 102 of the deposition chamber 100. The substrate support may be made of a ceramic material or a graphite material coated with a silicon material, such as silicon carbide, or other process resistant material. Reactive species from precursor reactant materials are applied to surface 116 of the substrate 114, and byproducts may be subsequently removed from surface 116. Heating of the substrate 114 and/or the processing volume 118 may be provided by radiation sources, such as upper lamp modules 110A and lower lamp modules 1108.
  • In one embodiment, the upper lamp modules 110A and lower lamp modules 1108 are infrared (IR) lamps. Non-thermal energy or radiation from lamp modules 110A and 1108 travels through upper quartz window 104 of upper quartz chamber 105, and through the lower quartz portion 103 of lower quartz chamber 124. Cooling gases for upper quartz chamber 105, if needed, enter through an inlet 112 and exit through an outlet 113. Precursor reactant materials, as well as diluent, purge and vent gases for the chamber 100, enter through gas distribution assembly 150 and exit through outlet 138.
  • The low wavelength radiation in the processing volume 118, which is used to energize reactive species and assist in adsorption of reactants and desorption of process byproducts from the surface 116 of substrate 114, typically ranges from about 0.8 Ī¼m to about 1.2 Ī¼m, for example, between about 0.95 Ī¼m to about 1.05 Ī¼m, with combinations of various wavelengths being provided, depending, for example, on the composition of the film which is being epitaxially grown. In another embodiment, the lamp modules 110A and 1108 may be ultraviolet (UV) light sources. In one embodiment, the UV light source, is an excimer lamp. In another embodiment, UV light sources may be used in combination with IR light sources in one or both of the upper quartz chamber 105 and lower quartz chamber 124. An example of UV radiation sources used in combination with IR radiation sources can be found in U.S. patent application Ser. No. 10/866,471, filed Jun. 10, 2004, which published on Dec. 15, 2005, as United States patent publication No. 2005/0277272, which is incorporated by reference in its entirety.
  • The component gases enter the processing volume 118 via gas distribution assembly 150. Gas flows from the gas distribution assembly 150 and exits through port 138 as shown generally at 122. Combinations of component gases, which are used to clean/passivate a substrate surface, or to form the silicon and/or germanium-containing film that is being epitaxially grown, are typically mixed prior to entry into the processing volume. The overall pressure in the processing volume 118 may be adjusted by a valve (not shown) on the outlet port 138. At least a portion of the interior surface of the processing volume 118 is covered by a liner 131. In one embodiment, the liner 131 comprises a quartz material that is opaque. In this manner, the chamber wall is insulated from the heat in the processing volume 118.
  • The temperature of surfaces in the processing volume 118 may be controlled within a temperature range of about 200Ā° C. to about 600Ā° C., or greater, by the flow of a cooling gas, which enters through a port 112 and exits through port 113, in combination with radiation from upper lamp modules 110A positioned above upper quartz window 104. The temperature in the lower quartz chamber 124 may be controlled within a temperature range of about 200Ā° C. to about 600Ā° C. or greater, by adjusting the speed of a blower unit which is not shown, and by radiation from the lower lamp modules 1108 disposed below lower quartz chamber 124. The pressure in the processing volume 118 may be between about 0.1 Torr to about 600 Torr, such as between about 5 Torr to about 30 Torr.
  • The temperature on the substrate 114 surface 116 may be controlled by power adjustment to the lower lamp modules 1108 in lower quartz chamber 124, or by power adjustment to both the upper lamp modules 110A overlying upper quartz chamber 104, and the lower lamp modules 1108 in lower quartz chamber 124. The power density in the processing volume 118 may be between about 40 W/cm2 to about 400 W/cm2, such as about 80 W/cm2 to about 120 W/cm2.
  • In one aspect, the gas distribution assembly 150 is disposed normal to, or in a radial direction 106 relative to, the longitudinal axis 102 of the chamber 100 or substrate 114. In this orientation, the gas distribution assembly 150 is adapted to flow process gases in a radial direction 106 across, or parallel to, the surface 116 of the substrate 114. In one application, the process gases are preheated at the point of introduction to the chamber 100 to initiate preheating of the gases prior to introduction to the processing volume 118, and/or to break specific bonds in the gases. In this manner, surface reaction kinetics may be modified independently from the thermal temperature of the substrate 114.
  • FIG. 2 is a schematic top view of a portion of a deposition chamber 100 similar the chamber shown in FIG. 1, with the exception of the substrate 114 not being shown. A gas distribution assembly 150 is shown coupled to the housing structure 101. The gas distribution assembly 150 includes an injection block 210 coupled to one or more gas sources 140A and 140B. The gas distribution assembly 150 also includes a non-thermal heating assembly 220, which includes a plurality of radiant heat sources, such as IR lamps 225A-225F disposed at least partially in the injection block 210. The injection block 210 also includes one or more plenums 224 N disposed upstream of the openings 158 of a perforated plate 154, such as inner plenum 224 2 and outer plenums 224 1 and 224 3, and the IR lamps 225A-225F are disposed at least partially in the plenums 224 N.
  • Although six IR lamps are shown, the gas distribution assembly 150 may include more or less IR lamps. The IR lamps 225A-225F may include halogen type lamps, or rapid thermal processing (RTP) lamps with a wattage between about 300 watts to about 1200 watts, depending on the intensity of the radiation needed for the particular process, and/or the number of IR lamps used with the gas distribution assembly 150. In the embodiment shown, the IR lamps 225A-225F are RTP style lamps having a wattage between about 500 watts to about 750 watts, for example between about 500 watts to about 550 watts with about an 80 volt power application. In one application, the power density provided by each of the IR lamps 225A-225F may be between about 25 W/cm2 to about 40 W/cm2 in the plenums 224 N. In one embodiment, the IR lamps 225A-225F provide a variable temperature in each plenum 224 N of about 50Ā° C. to about 250Ā° C.
  • In operation, precursors to form Si and SiGe blanket or selective films are provided to the gas distribution assembly 150 from the one or more gas sources 140A and 140B. The gas sources 140A, 140B may be coupled the gas distribution assembly 150 in a manner configured to facilitate introduction zones within the gas distribution assembly 150, such as an outer zone that is shown as outer plenums 224 1 and 224 3, and an inner zone, shown as inner plenum 224 2. The gas sources 140A, 140B may include valves (not shown) to control the rate of introduction into the plenums 224 N. Alternatively, the plenums 224 N may be in communication with one gas source, or other gas sources may be added to create more introduction zones.
  • The gas sources 140A, 140B may include silicon precursors such as silanes, including silane (SiH4), disilane (Si2H6,), dichlorosilane (SiH2Cl2), hexachlorodisilane (Si2Cl6), dibromosilane (SiH2Br2), higher order silanes, derivatives thereof, and combinations thereof. The gas sources 140A, 140B may also include germanium containing precursors, such as germane (GeH4), digermane (Ge2H6), germanium tetrachloride (GeCl4), dichlorogermane (GeH2Cl2), derivatives thereof, and combinations thereof. The silicon and/or germanium containing precursors may be used in combination with hydrogen chloride (HCl), chlorine gas (Cl2), hydrogen bromide (HBr), and combinations thereof. The gas sources 140A, 140B may include one or more of the silicon and germanium containing precursors in one or both of the gas sources 140A, 140B. For example, the gas source 140A, which may be in communication with the outer plenums 224 1 and 224 3, may include precursor materials, such as hydrogen gas (H2) or chlorine gas (Cl2), while gas source 140B may include silicon and/or germanium containing precursors, derivatives thereof, or combinations thereof.
  • The precursor materials from the gas sources 140A, 140B are delivered to the plenums 224 N and the non-thermal energy from the IR lamps 225A-225F illuminates the precursor materials with IR energy in the plenums 224 N at the point of introduction. The wavelength of the non-thermal energy resonates and excites the precursor materials by taking advantage of the vibrational stretch mode of the precursor materials, and the energy is absorbed into the precursor materials, which preheats the precursor materials prior to entry into the processing volume. The injection block 210, which contains the IR lamps 225A-225F, is made of a material with high reflectivity, such as stainless steel, which may also include a polished surface to increase reflectivity. The reflective quality of the material for the injection block 210 may also act as an insulator to minimize heating of the injection block, thus increasing safety to personnel that may be in close proximity to the injection block 210. In one embodiment, the injection block 210 comprises stainless steel and the interior surfaces of the plenums 224 N are polished. In another embodiment, the injection block 210 comprises aluminum and the interior surfaces of the plenums 224 N are polished.
  • The precursor materials enter the processing volume 118 through openings 158 in the perforated plate 154 in this excited state, which in one embodiment is a quartz material, having the openings 158 formed therethrough. In this embodiment, the perforated plate is transparent to IR energy, and may be made of a clear quartz material. In other embodiments, the perforated plate 154 may be any material that is transparent to IR energy and is resistant to process chemistry and other process parameters. The energized precursor materials flow toward the processing volume 118 through a plurality of holes 158 in the perforated plate 154, and through a plurality of channels 152 N. A portion of the photons and non-thermal energy from the IR lamps 225A-225F also passes through the holes 158, the perforated plate 154, and channels 152 N, facilitated by the high reflective material and/or surface of the injection block 210, thereby illuminating the flow path of the precursor materials (shown as arrow 325 in FIG. 3). In this manner, the vibrational energy of the precursor materials may be maintained from the point of introduction to the processing volume 118 along the flow path.
  • Intensity of the IR wavelengths in the plurality of IR lamps 225A-225F may be increased or decreased depending on the process. In one application, intensity of the IR lamps may be controlled by filter elements 405 (FIG. 4), and window 610 (FIG. 6). In another embodiment, a sheath 315 (FIG. 3) may be disposed over at least a portion of the IR lamps 225A-225F, and the sheath may be configured as a filter element to control the intensity of the lamps. In one example, the filter elements may be a sleeve, sheet, or lens adapted to modulate bandwidth by selective transmission of specific wavelengths. The filter elements may be used on at least one of the IR lamps 225A-225F or all of the IR lamps 225A-225F. Alternatively, different filter elements may be used on different IR lamps 225A-225F. In one example, the outer plenums 224 1 and 224 3 may receive a first level of intensity by using a first filter configured to absorb or block specific spectra, while the inner plenum 224 2 receives a second level of intensity by using a second filter configured to absorb or block a different specific spectra.
  • In another application that may be used alone or in combination with filters, the IR intensity in the multiple zones defined by the plenums 224 N may be individually controlled by leads 226A-226F coupled to a power source 205 and a controller. For example, the outer plenums 224 1 and 224 3 may receive a first level of intensity, while the inner plenum 224 2 receives a second level of intensity by variation of signals provided to the IR lamps 225A-225F. Alternatively, each IR lamp 225A-225F may be controlled separately by variation of signals provided by the controller. The intensity of the IR lamps 225A-225F may be controlled in an open-loop mode, or a closed-loop mode. Thus, the precursor materials enter the processing volume 118 in a preheated or energized state, which may lessen the adsorption or desorption time frame or disassociation time, which, in turn, increases throughput.
  • FIG. 3 is a schematic side view of one embodiment of a gas distribution assembly 150 as shown in FIGS. 1 and 2. An aperture 305 is formed in the injection block 210 to receive a portion of an IR lamp 225C, which is at least partially inserted into the plenum 224 2. Precursor materials are supplied to the plenum 224 2 by a port 320 disposed in the injection block 210. The aperture 305 may be sized slightly larger than the IR lamp 225C to allow space for a sheath 315 adapted to encase a portion of the IR lamp 225C. In one embodiment, the sheath 315 is made of a material transparent to IR energy, such as quartz, magnesium fluoride, calcium fluoride, sapphire, as examples. In another embodiment, the sheath 315 may be adapted as a filter element to modulate bandwidth by selective transmission of specific wavelengths. Temperature sensing devices (not shown), such as thermocouples, may be disposed in the injection block 210 to monitor the sheath temperature and/or the temperature in the plenum 224 2. The aperture 305 also includes a larger diameter portion at the end opposite the plenum 224 2 to receive a high temperature seal 323, for example an o-ring made of a polymeric material adapted to withstand elevated temperatures, such as a TeflonĀ® material, polyethernitrile, polyetheretherketone (PEEK), polyaryletherketone (PAEK), among others.
  • Referring to FIGS. 2 and 3, the IR lamps 225A-225F are coupled to a cooling device 310 to cool the IR lamps 225A-225F. In one application, the cooling device 310 includes a conduit, such as a tubular member 156 having an inlet port 260A and an outlet port 260B, and is adapted to provide a coolant to a plurality of IR lamps 225A-225F. In other embodiments (not shown in FIGS. 2 and 3), the cooling device may be housing coupled to a single IR lamp. The cooling device 310 may comprise a cooling fluid, such as a liquid or gas from a coolant source 311 that circulates through the tubular member 156 to facilitate heat transfer from the IR lamps 225A-225F. The tubular member 156 also includes apertures 306 adapted to receive a portion of the IR lamps 225A-225F. At least one of the apertures includes a fitting 308, such as a stainless steel VCO fitting, adapted to receive a portion of the IR lamp and seal the tubular member 156. In one embodiment, the cooling fluid from the coolant source 311 is nitrogen gas, which is circulated through the tubular member 156.
  • In operation, in reference to FIG. 3, precursor materials from gas source 140B are introduced to the plenum 224 2 by the port 320, and the precursor materials are radiantly heated by the IR lamp 225C at this point of introduction. The lower partial pressure in the processing volume 118 (not shown in this view) creates a flow path 325 through the opening 158 and the channel 152 N. The precursor materials are energized in the plenum 224 2 and remain energized along the flow path 325 by the non-thermal energy reflected and/or passing into the channel 152 N. Thus, preheating of the precursor materials, and maintenance of the energized precursor materials, is enhanced. Using this non-thermal energy minimizes or eliminates the need for resistive or convective heating elements in or near the precursor introduction point, which may improve safety of the use of the chamber, and minimizes the need for extended cooling systems for the chamber.
  • FIGS. 4-6 are isometric schematic views of various embodiment of a gas distribution assembly 150 that may be coupled with the chamber 100 of FIG. 1. The gas distribution assembly 150 includes an injection block 210 having at least one IR lamp 425 in communication with a gas source, such as gas source 140A and/or 140B coupled to ports 320. While not shown, each port is in communication with a plenum 224 N disposed within the gas injection block 210. In the embodiments depicted in FIGS. 4-6, each IR lamp 425 is individually coupled to the injection block 210 by a housing 410 that provides electrical connections (not shown) and cooling capabilities. In one embodiment, each housing 410 includes a port 415 that may be coupled to the coolant source 311 (FIG. 3). In one application, each port 415 functions as an inlet and an outlet for cooling fluid.
  • In the embodiment shown in FIG. 4, a plurality of IR lamps 425 are disposed in a radial direction to the chamber 100 (FIG. 1). In this embodiment, each IR lamp 425 is disposed normal to a gas injection path as defined by the directional orientation of the ports 320. Additionally, one or more IR lamps 425 may include a filter element 405 adapted to modulate bandwidth by selective transmission of specific wavelengths from the IR lamp 425. The filter element 405 may be a sheath, a plate, a sheet, or any article or device adapted block specific wavelengths.
  • In the embodiment shown in FIG. 5, a plurality of IR lamps 425 are disposed in a parallel orientation relative to the longitudinal axis of the chamber 100 (FIG. 1). In this embodiment, each IR lamp 425 is disposed substantially parallel to a gas injection path as defined by the directional orientation of the ports 320. While not shown, one or more IR lamps 425 may include a filter element (FIG. 4) adapted to modulate bandwidth by selective transmission of specific wavelengths from the IR lamp 425.
  • In the embodiment shown in FIG. 6, a single IR lamp 425 is disposed in a radial direction to the chamber 100 (FIG. 1). In this embodiment, the IR lamp 425 is disposed normal to a gas injection path as defined by the directional orientation of the ports 320. Additionally, the gas injection block 210 may include a plate 610 positioned between the IR lamp 425 and plenums 224 N (not shown in this view). In one embodiment, the plate 610 may be configured as a window made of a material that is transparent to IR light. In another embodiment, the plate 610 may be configured as a filter element adapted to modulate bandwidth by selective transmission of specific wavelengths from the IR lamp 425. In yet another embodiment, the plate 610 may be adapted as a filter element having multiple zones 615A, 615B adapted block specific wavelengths in each zone.
  • EXAMPLES
  • In one example, a blanket SiGe film was formed on a 300 mm wafer in the chamber 100 using the gas distribution assembly 150 as shown in FIG. 2. The chamber was provided with a pressure of about 10 Torr and a surface temperature in the processing region 118 of about 750Ā° C. with a power density of about 45 W/cm2. Dichlorosilane and germane was introduced to the processing region 118 from the gas distribution assembly 150 at about 0.5% and 0.01%, respectively. Non-thermal energy from the IR lamps 225A-225F operating at a power of about 30 watts produced a temperature measured at the sheath 315 of about 138Ā° C. This produced a noticeable decrease in film growth rate and an increase in the percentage of germanium in the film.
  • In another example, a selective SiGe film was formed on a 300 mm wafer in the chamber 100 using the gas distribution assembly 150 as shown in FIG. 2. The chamber was provided with a pressure of about 10 Torr and a surface temperature in the processing region 118 of about 750Ā° C. with a power density of about 45 W/cm2. Dichlorosilane and germane was introduced to the processing region 118 from the gas distribution assembly 150 at about 0.5% and 0.01%, respectively. Hydrogen chloride was also provided at about 0.5%. Non-thermal energy from the IR lamps 225A-225F operating at a power of about 30 watts produced a temperature measured at the sheath 315 of about 138Ā° C. This produced a significant decrease in film growth rate and an improved film profile.
  • In another example, a selective SiGe film was formed on a 300 mm wafer in the chamber 100 using the gas distribution assembly 150 as shown in FIG. 2. The chamber was provided with a pressure of about 10 Torr and a surface temperature in the processing region 118 of about 750Ā° C. with a power density of about 45 W/cm2. Silane and hydrogen chloride was introduced to the processing region 118 from the gas distribution assembly 150 at about 0.25% and 1.125%, respectively. Non-thermal energy from the IR lamps 225A-225F operating at a power of about 25 watts produced a temperature measured at the sheath 315 of about 110Ā° C. This produced a noticeable increase in percentage of germanium in the film and a decrease in film growth rate.
  • In another example, a selective SiGe film was formed on a 300 mm wafer in the chamber 100 using the gas distribution assembly 150 as shown in FIG. 2. The chamber was provided with a pressure of about 10 Torr and a surface temperature in the processing region 118 of about 750Ā° C. with a power density of about 45 W/cm2. Silane and germane was introduced to the processing region 118 from the gas distribution assembly 150 at 0.25% and 1.225%, respectively. Hydrogen chloride was also provided at about 0.575%. Non-thermal energy from the IR lamps 225A-225F operating at a power of about 25 watts produced a temperature measured at the sheath 315 of about 110Ā° C. This produced a significant decrease in film growth rate (about 56.5 ā„«/minute) and an increase in the percentage of germanium in the film (about 0.25%).
  • While the foregoing is directed to embodiments of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims (20)

1. A method of delivering a preheated precursor gas to a processing region in a chamber, comprising:
providing a precursor gas to a gas distribution assembly in communication with the processing region;
heating the precursor gas at the point of introduction in the gas distribution assembly using a radiant energy source; and
maintaining at least a portion of the heat provided to the precursor gas along a flow path defined between the point of introduction and the processing region.
2. The method of claim 1, further comprising:
providing heat to the flow path.
3. The method of claim 1, wherein the radiant energy source is infrared light.
4. The method of claim 1, wherein the flow path is substantially normal to a longitudinal axis of the chamber and the radiant energy source is at least one infrared lamp disposed substantially parallel to the flow path.
5. The method of claim 1, wherein the flow path is substantially normal to a longitudinal axis of the chamber and the radiant energy source is at least one infrared lamp disposed substantially normal to the flow path.
6. The method of claim 1, wherein the point of introduction comprises one or more introduction zones and the intensity of the radiant energy source to the one or more introduction zones is independently controlled by a variable power source.
7. The method of claim 1, wherein the point of introduction comprises one or more introduction zones and the intensity of the radiant energy source to the one or more introduction zones is independently controlled by a filter element.
8. A gas distribution assembly comprising:
an injection block having at least one inlet to deliver a precursor gas to a plurality of plenums from at least two gas sources;
a perforated plate bounding at least one side of each of the plurality of plenums;
at least one radiant energy source positioned within each of the plurality of plenums to provide energy to the precursor gas from one or both of the at least two gas sources and flow an energized gas though openings in the perforated plate and into a chamber; and
a coolant source in communication with the at least one radiant energy source, wherein the radiant energy sources are independently controlled in each of the plurality of plenums.
9. The gas distribution assembly of claim 8, further comprising:
a sheath coupled to the at least one radiant energy source.
10. The gas distribution assembly of claim 8, further comprising:
a variable power source coupled to the at least one radiant energy source.
11. The gas distribution assembly of claim 8, wherein each of the at least one radiant energy sources comprise an infrared lamp.
12. The gas distribution assembly of claim 8, wherein the perforated plate comprises a material that is transparent to radiant energy.
13. The gas distribution assembly of claim 8, wherein at least a portion of the plurality of plenums comprise an inner zone and an outer zone and energy to each zone is independently controlled.
14. The gas distribution assembly of claim 8, wherein the energized gas is directed to flow into the chamber in a direction that is normal to a longitudinal axis of the chamber.
15. The gas distribution assembly of claim 8, wherein the gas distribution assembly is coupled to the chamber normal to a longitudinal axis of the chamber.
16. A gas distribution assembly comprising:
an injection block having at least one inlet to deliver a precursor gas to a plurality of plenums from at least two gas sources;
a perforated plate bounding at least one side of each of the plurality of plenums;
at least one radiant energy source positioned within each of the plurality of plenums to provide energy to the precursor gas from one or both of the at least two gas sources and flow an energized gas though openings in the perforated plate and into a chamber; and
a variable power source coupled to each of the radiant energy sources positioned within each of the plurality of plenums.
17. The gas distribution assembly of claim 16, wherein each of the radiant energy sources is an infrared lamp.
18. The gas distribution assembly of claim 16, wherein at least a portion of the plurality of plenums comprise an inner zone and an outer zone and energy to the radiant energy sources in each zone is independently controlled.
19. The gas distribution assembly of claim 16, wherein the gas distribution assembly comprises a quartz material that is transparent to infrared light.
20. The gas distribution assembly of claim 16, wherein the perforated plate comprises a transparent material that is positioned downstream of the plurality of plenums.
US14/195,423 2006-11-21 2014-03-03 Independent radiant gas preheating for precursor disassociation control and gas reaction kinetics in low temperature cvd systems Abandoned US20170362702A9 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US14/195,423 US20170362702A9 (en) 2006-11-21 2014-03-03 Independent radiant gas preheating for precursor disassociation control and gas reaction kinetics in low temperature cvd systems

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US86679906P 2006-11-21 2006-11-21
US11/937,388 US7976634B2 (en) 2006-11-21 2007-11-08 Independent radiant gas preheating for precursor disassociation control and gas reaction kinetics in low temperature CVD systems
US13/175,499 US8663390B2 (en) 2006-11-21 2011-07-01 Independent radiant gas preheating for precursor disassociation control and gas reaction kinetics in low temperature CVD systems
US14/195,423 US20170362702A9 (en) 2006-11-21 2014-03-03 Independent radiant gas preheating for precursor disassociation control and gas reaction kinetics in low temperature cvd systems

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US13/175,499 Continuation US8663390B2 (en) 2006-11-21 2011-07-01 Independent radiant gas preheating for precursor disassociation control and gas reaction kinetics in low temperature CVD systems

Publications (2)

Publication Number Publication Date
US20140175054A1 true US20140175054A1 (en) 2014-06-26
US20170362702A9 US20170362702A9 (en) 2017-12-21

Family

ID=39430471

Family Applications (3)

Application Number Title Priority Date Filing Date
US11/937,388 Expired - Fee Related US7976634B2 (en) 2006-11-21 2007-11-08 Independent radiant gas preheating for precursor disassociation control and gas reaction kinetics in low temperature CVD systems
US13/175,499 Active 2028-04-07 US8663390B2 (en) 2006-11-21 2011-07-01 Independent radiant gas preheating for precursor disassociation control and gas reaction kinetics in low temperature CVD systems
US14/195,423 Abandoned US20170362702A9 (en) 2006-11-21 2014-03-03 Independent radiant gas preheating for precursor disassociation control and gas reaction kinetics in low temperature cvd systems

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US11/937,388 Expired - Fee Related US7976634B2 (en) 2006-11-21 2007-11-08 Independent radiant gas preheating for precursor disassociation control and gas reaction kinetics in low temperature CVD systems
US13/175,499 Active 2028-04-07 US8663390B2 (en) 2006-11-21 2011-07-01 Independent radiant gas preheating for precursor disassociation control and gas reaction kinetics in low temperature CVD systems

Country Status (5)

Country Link
US (3) US7976634B2 (en)
JP (1) JP5005038B2 (en)
KR (1) KR101419382B1 (en)
TW (1) TWI383120B (en)
WO (1) WO2008063980A2 (en)

Cited By (342)

* Cited by examiner, ā€  Cited by third party
Publication number Priority date Publication date Assignee Title
US20100307415A1 (en) * 2009-04-06 2010-12-09 Eric Shero Semiconductor processing reactor and components thereof
US20140265090A1 (en) * 2013-03-14 2014-09-18 Applied Materials, Inc. Substrate support bushing
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogenā€”oxygen species
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9017481B1 (en) * 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9029253B2 (en) 2012-05-02 2015-05-12 Asm Ip Holding B.V. Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9177784B2 (en) 2012-05-07 2015-11-03 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9682398B2 (en) 2012-03-30 2017-06-20 Applied Materials, Inc. Substrate processing system having susceptorless substrate support with enhanced substrate heating control
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9891521B2 (en) 2014-11-19 2018-02-13 Asm Ip Holding B.V. Method for depositing thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899405B2 (en) 2014-12-22 2018-02-20 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
US10262859B2 (en) 2016-03-24 2019-04-16 Asm Ip Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US20230066087A1 (en) * 2021-09-01 2023-03-02 Applied Materials, Inc. Quartz susceptor for accurate non-contact temperature measurement
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
WO2024039602A1 (en) * 2022-08-17 2024-02-22 Lam Research Corporation Multichannel heated gas delivery system
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus

Families Citing this family (43)

* Cited by examiner, ā€  Cited by third party
Publication number Priority date Publication date Assignee Title
SG171683A1 (en) 2006-05-12 2011-06-29 Advanced Tech Materials Low temperature deposition of phase change memory materials
WO2008057616A2 (en) 2006-11-02 2008-05-15 Advanced Technology Materials, Inc. Antimony and germanium complexes useful for cvd/ald of metal thin films
US7976634B2 (en) * 2006-11-21 2011-07-12 Applied Materials, Inc. Independent radiant gas preheating for precursor disassociation control and gas reaction kinetics in low temperature CVD systems
US7897495B2 (en) * 2006-12-12 2011-03-01 Applied Materials, Inc. Formation of epitaxial layer containing silicon and carbon
US9064960B2 (en) * 2007-01-31 2015-06-23 Applied Materials, Inc. Selective epitaxy process control
US20090087561A1 (en) * 2007-09-28 2009-04-02 Advanced Technology Materials, Inc. Metal and metalloid silylamides, ketimates, tetraalkylguanidinates and dianionic guanidinates useful for cvd/ald of thin films
KR101458953B1 (en) 2007-10-11 2014-11-07 ģ‚¼ģ„±ģ „ģžģ£¼ģ‹ķšŒģ‚¬ Method of forming phase change material layer using Ge(ā…”) source, and method of fabricating phase change memory device
US8834968B2 (en) 2007-10-11 2014-09-16 Samsung Electronics Co., Ltd. Method of forming phase change material layer using Ge(II) source, and method of fabricating phase change memory device
US20100279011A1 (en) * 2007-10-31 2010-11-04 Advanced Technology Materials, Inc. Novel bismuth precursors for cvd/ald of thin films
US20090215225A1 (en) 2008-02-24 2009-08-27 Advanced Technology Materials, Inc. Tellurium compounds useful for deposition of tellurium containing materials
WO2009134989A2 (en) * 2008-05-02 2009-11-05 Advanced Technology Materials, Inc. Antimony compounds useful for deposition of antimony-containing materials
WO2009152108A2 (en) * 2008-06-10 2009-12-17 Advanced Technology Materials, Inc. GeSbTe MATERIAL INCLUDING SUPERFLOW LAYER(S), AND USE OF Ge TO PREVENT INTERACTION OF Te FROM SbXTeY AND GeXTeY RESULTING IN HIGH Te CONTENT AND FILM CRISTALLINITY
WO2010065874A2 (en) 2008-12-05 2010-06-10 Atmi High concentration nitrogen-containing germanium telluride based memory devices and processes of making
US20100140222A1 (en) * 2008-12-10 2010-06-10 Sun Jennifer Y Filled polymer composition for etch chamber component
WO2010135702A2 (en) 2009-05-22 2010-11-25 Advanced Technology Materials, Inc. Low temperature gst process
US8410468B2 (en) * 2009-07-02 2013-04-02 Advanced Technology Materials, Inc. Hollow GST structure with dielectric fill
US20110124182A1 (en) * 2009-11-20 2011-05-26 Advanced Techology Materials, Inc. System for the delivery of germanium-based precursor
TW201122148A (en) * 2009-12-24 2011-07-01 Hon Hai Prec Ind Co Ltd Chemical vapor deposition device
JP4854794B2 (en) * 2010-03-18 2012-01-18 äø‰äŗ•é€ čˆ¹ę Ŗ式会ē¤¾ Thin film forming equipment
WO2011119175A1 (en) 2010-03-26 2011-09-29 Advanced Technology Materials, Inc. Germanium antimony telluride materials and devices incorporating same
TWI551718B (en) * 2010-04-30 2016-10-01 ꇉē”Øęę–™č‚”ä»½ęœ‰é™å…¬åø Vertical inline cvd system
US9190609B2 (en) 2010-05-21 2015-11-17 Entegris, Inc. Germanium antimony telluride materials and devices incorporating same
JP5837178B2 (en) * 2011-03-22 2015-12-24 ć‚¢ćƒ—ćƒ©ć‚¤ćƒ‰ 惞惆ćƒŖć‚¢ćƒ«ć‚ŗ ć‚¤ćƒ³ć‚³ćƒ¼ćƒćƒ¬ć‚¤ćƒ†ćƒƒćƒ‰ļ¼”ļ½ļ½ļ½Œļ½‰ļ½…ļ½„ ļ¼­ļ½ļ½”ļ½…ļ½’ļ½‰ļ½ļ½Œļ½“ļ¼Œļ¼©ļ½Žļ½ƒļ½ļ½’ļ½ļ½ļ½’ļ½ļ½”ļ½…ļ½„ Liner assembly for chemical vapor deposition chambers
US9499905B2 (en) * 2011-07-22 2016-11-22 Applied Materials, Inc. Methods and apparatus for the deposition of materials on a substrate
US9644285B2 (en) 2011-08-22 2017-05-09 Soitec Direct liquid injection for halide vapor phase epitaxy systems and methods
US20130052806A1 (en) * 2011-08-22 2013-02-28 Soitec Deposition systems having access gates at desirable locations, and related methods
DE102011083245B4 (en) * 2011-09-22 2019-04-25 Siltronic Ag Method and device for depositing an epitaxial layer of silicon on a semiconductor wafer of monocrystalline silicon by vapor deposition in a process chamber
JP6254098B2 (en) * 2012-02-13 2017-12-27 ć‚¢ćƒ—ćƒ©ć‚¤ćƒ‰ 惞惆ćƒŖć‚¢ćƒ«ć‚ŗ ć‚¤ćƒ³ć‚³ćƒ¼ćƒćƒ¬ć‚¤ćƒ†ćƒƒćƒ‰ļ¼”ļ½ļ½ļ½Œļ½‰ļ½…ļ½„ ļ¼­ļ½ļ½”ļ½…ļ½’ļ½‰ļ½ļ½Œļ½“ļ¼Œļ¼©ļ½Žļ½ƒļ½ļ½’ļ½ļ½ļ½’ļ½ļ½”ļ½…ļ½„ Method and apparatus for selective oxidation of substrates
US20140116336A1 (en) * 2012-10-26 2014-05-01 Applied Materials, Inc. Substrate process chamber exhaust
WO2014070682A1 (en) 2012-10-30 2014-05-08 Advaned Technology Materials, Inc. Double self-aligned phase change memory device structure
US8772055B1 (en) 2013-01-16 2014-07-08 Applied Materials, Inc. Multizone control of lamps in a conical lamphead using pyrometers
US10405375B2 (en) * 2013-03-11 2019-09-03 Applied Materials, Inc. Lamphead PCB with flexible standoffs
US10403521B2 (en) 2013-03-13 2019-09-03 Applied Materials, Inc. Modular substrate heater for efficient thermal cycling
US9499909B2 (en) * 2013-03-15 2016-11-22 Applied Materials, Inc. Methods for photo-excitation of precursors in epitaxial processes using a rotary scanning unit
CN107833848B (en) 2013-04-30 2021-12-07 åŗ”ē”Øęę–™å…¬åø Gas flow control gasket with spatially distributed gas channels
SG10201709699RA (en) * 2013-05-23 2017-12-28 Applied Materials Inc A coated liner assembly for a semiconductor processing chamber
US11414759B2 (en) * 2013-11-29 2022-08-16 Taiwan Semiconductor Manufacturing Co., Ltd Mechanisms for supplying process gas into wafer process apparatus
US10053777B2 (en) * 2014-03-19 2018-08-21 Applied Materials, Inc. Thermal processing chamber
KR20210025702A (en) * 2018-08-03 2021-03-09 ģ–“ķ”Œė¼ģ“ė“œ ėØøķ‹°ģ–“ė¦¬ģ–¼ģŠ¤, ģøģ½”ķ¬ė ˆģ“ķ‹°ė“œ Multi-zone lamp control and individual lamp control at the lamp head
WO2020033097A1 (en) * 2018-08-06 2020-02-13 Applied Materials, Inc. Liner for processing chamber
US11032945B2 (en) * 2019-07-12 2021-06-08 Applied Materials, Inc. Heat shield assembly for an epitaxy chamber
FI128855B (en) * 2019-09-24 2021-01-29 Picosun Oy Fluid distributing device for a thin-film deposition apparatus, related apparatus and methods
CN113565839B (en) * 2021-06-10 2022-08-16 北äŗ¬äŗ¤é€šå¤§å­¦ Device and method for enhancing fluid flowing and mixing in closed cavity by utilizing natural convection

Citations (7)

* Cited by examiner, ā€  Cited by third party
Publication number Priority date Publication date Assignee Title
US4346339A (en) * 1980-10-29 1982-08-24 Sperry Corporation Apparatus for automatic regulation of AC power
US5155336A (en) * 1990-01-19 1992-10-13 Applied Materials, Inc. Rapid thermal heating apparatus and method
US5482739A (en) * 1993-07-30 1996-01-09 Applied Materials, Inc. Silicon nitride deposition
US5916369A (en) * 1995-06-07 1999-06-29 Applied Materials, Inc. Gas inlets for wafer processing chamber
US6281511B1 (en) * 1997-02-10 2001-08-28 Micron Technology Apparatus for forming materials
US20040050326A1 (en) * 2002-09-12 2004-03-18 Thilderkvist Karin Anna Lena Apparatus and method for automatically controlling gas flow in a substrate processing system
US20050231719A1 (en) * 1998-09-28 2005-10-20 Allan Rosencwaig Optical inspection equipment for semiconductor wafers with precleaning

Family Cites Families (85)

* Cited by examiner, ā€  Cited by third party
Publication number Priority date Publication date Assignee Title
US4047496A (en) * 1974-05-31 1977-09-13 Applied Materials, Inc. Epitaxial radiation heated reactor
US4081313A (en) * 1975-01-24 1978-03-28 Applied Materials, Inc. Process for preparing semiconductor wafers with substantially no crystallographic slip
JPS61279120A (en) * 1985-06-05 1986-12-09 Matsushita Electric Ind Co Ltd Vapor growth method
JPH0693452B2 (en) * 1986-01-29 1994-11-16 ę Ŗ式会ē¤¾ę—„ē«‹č£½ä½œę‰€ Single-wafer thin film forming method and thin film forming apparatus
US5244501A (en) * 1986-07-26 1993-09-14 Nihon Shinku Gijutsu Kabushiki Kaisha Apparatus for chemical vapor deposition
US4913929A (en) * 1987-04-21 1990-04-03 The Board Of Trustees Of The Leland Stanford Junior University Thermal/microwave remote plasma multiprocessing reactor and method of use
US5221556A (en) * 1987-06-24 1993-06-22 Epsilon Technology, Inc. Gas injectors for reaction chambers in CVD systems
JPS6468476A (en) * 1987-09-07 1989-03-14 Nec Corp Photoexcitation vapor chemical growth device
JPH0191423A (en) * 1987-10-02 1989-04-11 Hitachi Ltd Surface treating device
DE3923390A1 (en) * 1988-07-14 1990-01-25 Canon Kk DEVICE FOR FORMING A LARGE Vaporized VAPOR FILM USING AT LEAST TWO SEPARATELY DETERMINED ACTIVATED GASES
US5252366A (en) * 1990-01-24 1993-10-12 The United States Of America As Represented By The Secretary Of The Air Force Chemical vapor deposition method using an actively cooled effuser to coat a substrate having a heated surface layer
US5108792A (en) * 1990-03-09 1992-04-28 Applied Materials, Inc. Double-dome reactor for semiconductor processing
US5005519A (en) * 1990-03-14 1991-04-09 Fusion Systems Corporation Reaction chamber having non-clouded window
US5310260A (en) * 1990-04-10 1994-05-10 Luxtron Corporation Non-contact optical techniques for measuring surface conditions
US5179677A (en) * 1990-08-16 1993-01-12 Applied Materials, Inc. Apparatus and method for substrate heating utilizing various infrared means to achieve uniform intensity
US5269847A (en) * 1990-08-23 1993-12-14 Applied Materials, Inc. Variable rate distribution gas flow reaction chamber
US5252132A (en) * 1990-11-22 1993-10-12 Mitsubishi Denki Kabushiki Kaisha Apparatus for producing semiconductor film
US5215588A (en) * 1992-01-17 1993-06-01 Amtech Systems, Inc. Photo-CVD system
JPH05295549A (en) * 1992-04-20 1993-11-09 Hitachi Ltd Heat treatment device
US5305417A (en) * 1993-03-26 1994-04-19 Texas Instruments Incorporated Apparatus and method for determining wafer temperature using pyrometry
US6500734B2 (en) * 1993-07-30 2002-12-31 Applied Materials, Inc. Gas inlets for wafer processing chamber
US5650082A (en) * 1993-10-29 1997-07-22 Applied Materials, Inc. Profiled substrate heating
US5493987A (en) * 1994-05-16 1996-02-27 Ag Associates, Inc. Chemical vapor deposition reactor and method
JP3761918B2 (en) * 1994-09-13 2006-03-29 ę Ŗ式会ē¤¾ę±čŠ Manufacturing method of semiconductor device
TW283250B (en) * 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
US6113702A (en) * 1995-09-01 2000-09-05 Asm America, Inc. Wafer support system
US5892886A (en) * 1996-02-02 1999-04-06 Micron Technology, Inc. Apparatus for uniform gas and radiant heat dispersion for solid state fabrication processes
TW315493B (en) * 1996-02-28 1997-09-11 Tokyo Electron Co Ltd Heating apparatus and heat treatment apparatus
US6108490A (en) * 1996-07-11 2000-08-22 Cvc, Inc. Multizone illuminator for rapid thermal processing with improved spatial resolution
US5937142A (en) * 1996-07-11 1999-08-10 Cvc Products, Inc. Multi-zone illuminator for rapid thermal processing
US5781693A (en) * 1996-07-24 1998-07-14 Applied Materials, Inc. Gas introduction showerhead for an RTP chamber with upper and lower transparent plates and gas flow therebetween
US5900177A (en) * 1997-06-11 1999-05-04 Eaton Corporation Furnace sidewall temperature control system
US6159866A (en) * 1998-03-02 2000-12-12 Applied Materials, Inc. Method for insitu vapor generation for forming an oxide on a substrate
US5960158A (en) * 1997-07-11 1999-09-28 Ag Associates Apparatus and method for filtering light in a thermal processing chamber
US6037273A (en) * 1997-07-11 2000-03-14 Applied Materials, Inc. Method and apparatus for insitu vapor generation
US6352594B2 (en) * 1997-08-11 2002-03-05 Torrex Method and apparatus for improved chemical vapor deposition processes using tunable temperature controlled gas injectors
US20030049372A1 (en) * 1997-08-11 2003-03-13 Cook Robert C. High rate deposition at low pressures in a small batch reactor
US6352593B1 (en) * 1997-08-11 2002-03-05 Torrex Equipment Corp. Mini-batch process chamber
US6527865B1 (en) * 1997-09-11 2003-03-04 Applied Materials, Inc. Temperature controlled gas feedthrough
JP2000114196A (en) * 1998-08-06 2000-04-21 Ushio Inc Cooling structure of light projecting heating apparatus
JP2000138168A (en) * 1998-10-29 2000-05-16 Shin Etsu Handotai Co Ltd Semiconductor wafer and vapor growth device
TWI248108B (en) * 1998-11-13 2006-01-21 Applied Materials Inc Gas distribution system for a CVD processing chamber
US6771895B2 (en) * 1999-01-06 2004-08-03 Mattson Technology, Inc. Heating device for heating semiconductor wafers in thermal processing chambers
US6122440A (en) * 1999-01-27 2000-09-19 Regents Of The University Of Minnesota Optical heating device for rapid thermal processing (RTP) system
US6281141B1 (en) * 1999-02-08 2001-08-28 Steag Rtp Systems, Inc. Process for forming thin dielectric layers in semiconductor devices
US6572974B1 (en) * 1999-12-06 2003-06-03 The Regents Of The University Of Michigan Modification of infrared reflectivity using silicon dioxide thin films derived from silsesquioxane resins
AU2001249212A1 (en) * 2000-03-15 2001-09-24 Tufts University Controlling surface chemistry on solid substrates
US7196283B2 (en) * 2000-03-17 2007-03-27 Applied Materials, Inc. Plasma reactor overhead source power electrode with low arcing tendency, cylindrical gas outlets and shaped surface
EP1139404A1 (en) * 2000-03-31 2001-10-04 Applied Materials, Inc. Low thermal budget solution for PMD application using SACVD layer
US6891131B2 (en) * 2000-04-20 2005-05-10 Tokyo Electron Limited Thermal processing system
US6566630B2 (en) * 2000-04-21 2003-05-20 Tokyo Electron Limited Thermal processing apparatus for introducing gas between a target object and a cooling unit for cooling the target object
KR100406173B1 (en) * 2000-06-13 2003-11-19 ģ£¼ģ‹ķšŒģ‚¬ ķ•˜ģ“ė‹‰ģŠ¤ė°˜ė„ģ²“ Heater Block Having Catalyst Injection means
US7223676B2 (en) * 2002-06-05 2007-05-29 Applied Materials, Inc. Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer
US6614181B1 (en) * 2000-08-23 2003-09-02 Applied Materials, Inc. UV radiation source for densification of CVD carbon-doped silicon oxide films
US6476362B1 (en) * 2000-09-12 2002-11-05 Applied Materials, Inc. Lamp array for thermal processing chamber
US6482739B2 (en) * 2001-02-21 2002-11-19 United Microelectronics Corp. Method for decreasing the resistivity of the gate and the leaky junction of the source/drain
US20030037879A1 (en) * 2001-08-24 2003-02-27 Applied Materials, Inc. Top gas feed lid for semiconductor processing chamber
JP4228569B2 (en) * 2001-11-28 2009-02-25 ć‚»ć‚¤ć‚³ćƒ¼ć‚Øćƒ—ć‚½ćƒ³ę Ŗ式会ē¤¾ Method for manufacturing substrate for electronic device and method for manufacturing electronic device
US6576565B1 (en) * 2002-02-14 2003-06-10 Infineon Technologies, Ag RTCVD process and reactor for improved conformality and step-coverage
US6932871B2 (en) * 2002-04-16 2005-08-23 Applied Materials, Inc. Multi-station deposition apparatus and method
JP3861036B2 (en) * 2002-08-09 2006-12-20 äø‰č±é‡å·„ę„­ę Ŗ式会ē¤¾ Plasma CVD equipment
US6900133B2 (en) * 2002-09-18 2005-05-31 Applied Materials, Inc Method of etching variable depth features in a crystalline substrate
KR100862658B1 (en) * 2002-11-15 2008-10-10 ģ‚¼ģ„±ģ „ģžģ£¼ģ‹ķšŒģ‚¬ Gas injection apparatus for semiconductor processing system
US6972228B2 (en) * 2003-03-12 2005-12-06 Intel Corporation Method of forming an element of a microelectronic circuit
US7118781B1 (en) * 2003-04-16 2006-10-10 Cree, Inc. Methods for controlling formation of deposits in a deposition system and deposition methods including the same
US7107820B2 (en) * 2003-05-02 2006-09-19 Praxair S.T. Technology, Inc. Integrated gas supply and leak detection system
JP3972126B2 (en) 2004-05-28 2007-09-05 ē‹¬ē«‹č”Œę”æę³•äŗŗē”£ę„­ęŠ€č”“ē·åˆē ”ē©¶ę‰€ Ultraviolet generation source, ultraviolet irradiation processing apparatus and semiconductor manufacturing apparatus
US20080092812A1 (en) * 2004-06-10 2008-04-24 Mcdiarmid James Methods and Apparatuses for Depositing Uniform Layers
US7396743B2 (en) * 2004-06-10 2008-07-08 Singh Kaushal K Low temperature epitaxial growth of silicon-containing films using UV radiation
JP5519105B2 (en) * 2004-08-02 2014-06-11 ćƒ“ćƒ¼ć‚³ćƒ»ć‚¤ćƒ³ć‚¹ćƒˆć‚„ćƒ«ćƒ”ćƒ³ćƒ„ćƒ»ć‚¤ćƒ³ć‚³ćƒ¼ćƒćƒ¬ć‚¤ćƒ†ćƒƒćƒ‰ Chemical vapor deposition method and gas supply system for chemical vapor deposition reactor
DE102004039443B4 (en) * 2004-08-13 2023-05-25 Beijing E-Town Semiconductor Technology, Co., Ltd. Process for the thermal treatment of disc-shaped substrates
US7332445B2 (en) * 2004-09-28 2008-02-19 Air Products And Chemicals, Inc. Porous low dielectric constant compositions and methods for making and using same
KR20060059305A (en) * 2004-11-26 2006-06-01 ģ‚¼ģ„±ģ „ģžģ£¼ģ‹ķšŒģ‚¬ Semiconductor processing equipment
US7722737B2 (en) * 2004-11-29 2010-05-25 Applied Materials, Inc. Gas distribution system for improved transient phase deposition
US7687383B2 (en) * 2005-02-04 2010-03-30 Asm America, Inc. Methods of depositing electrically active doped crystalline Si-containing films
US7972441B2 (en) * 2005-04-05 2011-07-05 Applied Materials, Inc. Thermal oxidation of silicon using ozone
KR100621777B1 (en) * 2005-05-04 2006-09-15 ģ‚¼ģ„±ģ „ģžģ£¼ģ‹ķšŒģ‚¬ Substrate heat processing apparatus
US7794667B2 (en) * 2005-10-19 2010-09-14 Moore Epitaxial, Inc. Gas ring and method of processing substrates
US7709391B2 (en) * 2006-01-20 2010-05-04 Applied Materials, Inc. Methods for in-situ generation of reactive etch and growth specie in film formation processes
US7976634B2 (en) * 2006-11-21 2011-07-12 Applied Materials, Inc. Independent radiant gas preheating for precursor disassociation control and gas reaction kinetics in low temperature CVD systems
US8298338B2 (en) * 2007-12-26 2012-10-30 Samsung Electronics Co., Ltd. Chemical vapor deposition apparatus
KR101004822B1 (en) * 2008-04-18 2010-12-28 ģ‚¼ģ„±ģ—˜ģ“ė”” ģ£¼ģ‹ķšŒģ‚¬ Apparatus for chemical vapor deposition
KR100982987B1 (en) * 2008-04-18 2010-09-17 ģ‚¼ģ„±ģ—˜ģ“ė”” ģ£¼ģ‹ķšŒģ‚¬ Apparatus for chemical vapor deposition
US8249436B2 (en) * 2008-05-02 2012-08-21 Applied Materials, Inc. System for non radial temperature control for rotating substrates
KR100998011B1 (en) * 2008-05-22 2010-12-03 ģ‚¼ģ„±ģ—˜ģ“ė”” ģ£¼ģ‹ķšŒģ‚¬ Chemical vapor deposition apparatus

Patent Citations (7)

* Cited by examiner, ā€  Cited by third party
Publication number Priority date Publication date Assignee Title
US4346339A (en) * 1980-10-29 1982-08-24 Sperry Corporation Apparatus for automatic regulation of AC power
US5155336A (en) * 1990-01-19 1992-10-13 Applied Materials, Inc. Rapid thermal heating apparatus and method
US5482739A (en) * 1993-07-30 1996-01-09 Applied Materials, Inc. Silicon nitride deposition
US5916369A (en) * 1995-06-07 1999-06-29 Applied Materials, Inc. Gas inlets for wafer processing chamber
US6281511B1 (en) * 1997-02-10 2001-08-28 Micron Technology Apparatus for forming materials
US20050231719A1 (en) * 1998-09-28 2005-10-20 Allan Rosencwaig Optical inspection equipment for semiconductor wafers with precleaning
US20040050326A1 (en) * 2002-09-12 2004-03-18 Thilderkvist Karin Anna Lena Apparatus and method for automatically controlling gas flow in a substrate processing system

Cited By (449)

* Cited by examiner, ā€  Cited by third party
Publication number Priority date Publication date Assignee Title
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US20100307415A1 (en) * 2009-04-06 2010-12-09 Eric Shero Semiconductor processing reactor and components thereof
US10844486B2 (en) 2009-04-06 2020-11-24 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US10480072B2 (en) 2009-04-06 2019-11-19 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogenā€”oxygen species
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) * 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US9892908B2 (en) 2011-10-28 2018-02-13 Asm America, Inc. Process feed management for semiconductor substrate processing
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9340874B2 (en) 2011-11-23 2016-05-17 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US10376916B2 (en) 2012-03-30 2019-08-13 Applied Materials, Inc Substrate processing system having susceptorless substrate support with enhanced substrate heating control
US10610884B2 (en) 2012-03-30 2020-04-07 Applied Materials, Inc. Substrate processing system having susceptorless substrate support with enhanced substrate heating control
US9682398B2 (en) 2012-03-30 2017-06-20 Applied Materials, Inc. Substrate processing system having susceptorless substrate support with enhanced substrate heating control
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9384987B2 (en) 2012-04-04 2016-07-05 Asm Ip Holding B.V. Metal oxide protective layer for a semiconductor device
US9029253B2 (en) 2012-05-02 2015-05-12 Asm Ip Holding B.V. Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same
US9177784B2 (en) 2012-05-07 2015-11-03 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9299595B2 (en) 2012-06-27 2016-03-29 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10566223B2 (en) 2012-08-28 2020-02-18 Asm Ip Holdings B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9605342B2 (en) 2012-09-12 2017-03-28 Asm Ip Holding B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10023960B2 (en) 2012-09-12 2018-07-17 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9228259B2 (en) 2013-02-01 2016-01-05 Asm Ip Holding B.V. Method for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US10340125B2 (en) 2013-03-08 2019-07-02 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10366864B2 (en) 2013-03-08 2019-07-30 Asm Ip Holding B.V. Method and system for in-situ formation of intermediate reactive species
US9991153B2 (en) * 2013-03-14 2018-06-05 Applied Materials, Inc. Substrate support bushing
US20140265090A1 (en) * 2013-03-14 2014-09-18 Applied Materials, Inc. Substrate support bushing
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9790595B2 (en) 2013-07-12 2017-10-17 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9412564B2 (en) 2013-07-22 2016-08-09 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US10361201B2 (en) 2013-09-27 2019-07-23 Asm Ip Holding B.V. Semiconductor structure and device formed using selective epitaxial process
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10561975B2 (en) 2014-10-07 2020-02-18 Asm Ip Holdings B.V. Variable conductance gas distribution apparatus and method
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9891521B2 (en) 2014-11-19 2018-02-13 Asm Ip Holding B.V. Method for depositing thin film
US10438965B2 (en) 2014-12-22 2019-10-08 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US9899405B2 (en) 2014-12-22 2018-02-20 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10312129B2 (en) 2015-09-29 2019-06-04 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11956977B2 (en) 2015-12-29 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10720322B2 (en) 2016-02-19 2020-07-21 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top surface
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US10262859B2 (en) 2016-03-24 2019-04-16 Asm Ip Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US10541173B2 (en) 2016-07-08 2020-01-21 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10943771B2 (en) 2016-10-26 2021-03-09 Asm Ip Holding B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10644025B2 (en) 2016-11-07 2020-05-05 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10622375B2 (en) 2016-11-07 2020-04-14 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10784102B2 (en) 2016-12-22 2020-09-22 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10468262B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by a cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10950432B2 (en) 2017-04-25 2021-03-16 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10672636B2 (en) 2017-08-09 2020-06-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10734223B2 (en) 2017-10-10 2020-08-04 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD913980S1 (en) 2018-02-01 2021-03-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11837483B2 (en) 2018-06-04 2023-12-05 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11952658B2 (en) 2018-06-27 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755923B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11959171B2 (en) 2019-01-17 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11453946B2 (en) 2019-06-06 2022-09-27 Asm Ip Holding B.V. Gas-phase reactor system including a gas detector
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
US20230066087A1 (en) * 2021-09-01 2023-03-02 Applied Materials, Inc. Quartz susceptor for accurate non-contact temperature measurement
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11967488B2 (en) 2022-05-16 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
WO2024039602A1 (en) * 2022-08-17 2024-02-22 Lam Research Corporation Multichannel heated gas delivery system
US11972944B2 (en) 2022-10-21 2024-04-30 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11970766B2 (en) 2023-01-17 2024-04-30 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus

Also Published As

Publication number Publication date
US8663390B2 (en) 2014-03-04
WO2008063980A3 (en) 2008-08-07
JP2010510670A (en) 2010-04-02
US20080210163A1 (en) 2008-09-04
KR101419382B1 (en) 2014-07-14
US7976634B2 (en) 2011-07-12
KR20090089882A (en) 2009-08-24
TW200835892A (en) 2008-09-01
TWI383120B (en) 2013-01-21
US20170362702A9 (en) 2017-12-21
JP5005038B2 (en) 2012-08-22
US20110259432A1 (en) 2011-10-27
WO2008063980A2 (en) 2008-05-29

Similar Documents

Publication Publication Date Title
US8663390B2 (en) Independent radiant gas preheating for precursor disassociation control and gas reaction kinetics in low temperature CVD systems
US9695508B2 (en) Liner assembly for chemical vapor deposition chamber
US7262116B2 (en) Low temperature epitaxial growth of silicon-containing films using close proximity UV radiation
US9449859B2 (en) Multi-gas centrally cooled showerhead design
US20190062904A1 (en) Integrated epitaxy system high temperature contaminant removal
JP7029522B2 (en) Integrated epitaxy and pre-cleaning system
CN101535530A (en) Independent radiant gas preheating for precursor disassociation control and gas reaction kinetics in low temperature CVD systems

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:CARLSON, DAVID KEITH;KUPPURAO, SATHEESH;BECKFORD, HOWARD;AND OTHERS;SIGNING DATES FROM 20080130 TO 20140308;REEL/FRAME:032388/0570

STPP Information on status: patent application and granting procedure in general

Free format text: ADVISORY ACTION MAILED

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION