US20140061919A1 - Electroplated Metallic Interconnects And Products - Google Patents

Electroplated Metallic Interconnects And Products Download PDF

Info

Publication number
US20140061919A1
US20140061919A1 US14/077,058 US201314077058A US2014061919A1 US 20140061919 A1 US20140061919 A1 US 20140061919A1 US 201314077058 A US201314077058 A US 201314077058A US 2014061919 A1 US2014061919 A1 US 2014061919A1
Authority
US
United States
Prior art keywords
seed layer
opening
cvd
sidewalls
conformal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US14/077,058
Inventor
Uri Cohen
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US09/410,898 external-priority patent/US6136707A/en
Priority claimed from US09/563,733 external-priority patent/US6610151B1/en
Priority claimed from US10/328,629 external-priority patent/US6924226B2/en
Application filed by Individual filed Critical Individual
Priority to US14/077,058 priority Critical patent/US20140061919A1/en
Publication of US20140061919A1 publication Critical patent/US20140061919A1/en
Priority to US14/619,334 priority patent/US10096547B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53242Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a noble metal, e.g. gold
    • H01L23/53252Additional layers associated with noble-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1068Formation and after-treatment of conductors
    • H01L2221/1073Barrier, adhesion or liner layers
    • H01L2221/1084Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L2221/1089Stacks of seed layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Definitions

  • the present invention pertains to the field of electroplating metals or alloys on a workpiece (or substrate) having openings upon its surface.
  • filling trenches and/or vias formed on a wafer by electroplating copper metal to form semiconductor device interconnects requires that a metallization layer (often referred to in the art as a seed layer or a base layer) be formed over the wafer surface.
  • the seed layer is required: (a) to provide a low-resistance electrical path (to enables uniform electroplating over the wafer surface); (b) to adhere well to the wafer surface (usually to an oxide-containing a dielectric film such as SiO 2 , SiO X , or SiO X N Y ); and (c) to be compatible with subsequent electroplating copper upon its surface.
  • the requirement of providing a low-resistance electrical path is fulfilled by choosing the seed layer to be comprised of an adequately thick, low-resistivity material.
  • the requirement of adhering well to the wafer surface is typically fulfilled by disposing an intermediary barrier (or adhesion) metallic layer having a strong affinity for oxygen atoms under the seed layer.
  • the barrier metallic layer is formed prior to the seed layer to provide good adhesion: (a) to the oxide surface underneath it (the barrier layer provides good adhesion to the oxide surface by sharing oxygen atoms) and (b) to the seed layer above it (the barrier metallic layer provides good adhesion to the seed layer by metal to metal bonds).
  • the barrier layer is often also referred to as an “adhesion layer” or a “liner”.
  • the barrier layer also serves to mitigate copper out-diffusion directly into the device, or indirectly (through an insulating or a dielectric layer) into the device.
  • the barrier layer is usually chosen from the refractory metals or their alloys, such as for example, Ta, TaN X , Cr, CrN X , Ti, TiN X , W, WN X , TaSi X N Y , TiSi X N Y , WSi X N Y , and other alloys containing one or more of these materials.
  • the requirement of being compatible with electroplating copper is fulfilled by choosing a seed layer that does not react spontaneously (i.e., by displacement) with copper electrolyte used during the electroplating. This is satisfied by requiring that the seed layer does not comprise a metal or alloy that is less noble than copper.
  • a seed layer comprises a copper layer that is deposited by a “dry” technique, such as by physical vapor deposition (“PVD”), including but not limited to sputtering, ion plating, or evaporation, or by chemical vapor deposition (“CVD”).
  • PVD physical vapor deposition
  • CVD chemical vapor deposition
  • the seed layer may also be deposited by a “wet” electroless plating process.
  • the copper seed layer thickness is typically in a range of about 300 ⁇ to about 2,000 ⁇ on the field (i.e., the top surface of the wafer outside trenches and via openings).
  • the barrier layer is typically deposited to a thickness of about 50 ⁇ to about 500 ⁇ (on the field) by either a PVD or a CVD technique.
  • the PVD techniques include, for example and without limitation, techniques such as evaporation, ion plating, and various sputtering techniques.
  • Sputtering techniques include, for example and without limitation, techniques such as DC and/or RF plasma sputtering, bias sputtering, collimated sputtering, magnetron sputtering, Hollow Cathode Magnetron (HCM) sputtering, Self Ionized Plasma (SIP) sputtering, or Ionized Metal Plasma (IMP) sputtering.
  • HCM Hollow Cathode Magnetron
  • SIP Self Ionized Plasma
  • IMP Ionized Metal Plasma
  • PVD techniques such as ion plating
  • ion plating may produce, under certain conditions, a relatively more conformal deposition.
  • CVD techniques include, for example and without limitation, thermal CVD, Plasma Enhanced CVD (“PECVD”), Low Pressure CVD (“LPCVD”), High Pressure CVD (“HPCVD”), Atomic Layer CVD (“ALCVD”), and Metallo Organic CVD (“MOCVD”).
  • PECVD Plasma Enhanced CVD
  • LPCVD Low Pressure CVD
  • HPCVD High Pressure CVD
  • ACVD Atomic Layer CVD
  • MOCVD Metallo Organic CVD
  • Atomic Layer Deposition or Alternating Layer Deposition is generally considered to be a CVD (ALCVD) technique, or a particular variant thereof. However, it is sometimes referred to as a class by its own. Similar to other CVD techniques, the ALD techniques include particular variants such as, for example, Thermal ALD, Low Pressure ALD (LPALD) and Radical Assisted or Plasma Enhanced ALD (PEALD). In general, the ALD techniques produce more conformal deposits, at lower deposition temperatures, than other CVD techniques. However, the ALD techniques are generally much slower than the other CVD techniques.
  • ALD refers to (and includes) all variants of the ALD techniques, such as, for example and without limitation, Thermal ALD or ALCVD, Low Pressure ALD (LPALD) and Radical Assisted or Plasma Enhanced ALD (PEALD).
  • LPALD Low Pressure ALD
  • PEALD Radical Assisted or Plasma Enhanced ALD
  • Another precursor is Cu(II) hexafluoroacetylacetonate.
  • the latter can be reacted with hydrogen gas to obtain high purity copper.
  • the CVD (and ALD) and the electroless techniques produce conformal deposition, with substantially uniform thickness over the entire surface, including over the field and the bottom and sidewall surfaces of the openings.
  • some of the CVD (and ALD) techniques such as PECVD or PEALD can be made to deposit less conformal or non-conformal layers.
  • AR Aspect ratio
  • D depth
  • W width
  • AR D/W
  • commercial copper electrolytes contain additives that adsorb and locally inhibit (or suppress) growth outside the openings (i.e., on the field). Further, growth inhibition inside the openings is decreased from that achieved outside the openings due to slow replenishment of the additives inside the openings as compared with replenishment of the additives on the field. As a result, the deposition rate inside the openings is faster than outside, thereby facilitating void-free copper fill.
  • Other well known reasons for voids in copper electrofill include discontinuous (or incomplete coverage of) seed layers inside the openings, and pinching-off of opening walls (for example, by overhangs of the top corners) prior to plating.
  • the openings may consist of vias, trenches, or patterned photoresist.
  • an insulating or a dielectric layer is pattern-etched to form openings therein.
  • a barrier (or an adhesion) metallic layer and a seed layer are deposited over the insulating layer to metallize its field (the surface surrounding openings), as well as the sidewalls and bottom surfaces of the openings.
  • copper electroplating is performed over the entire metallized surface, including the top surface (the field) surrounding the openings, and inside the patterned openings.
  • the seed layer inside the openings must completely cover the bottom and the sidewall surfaces inside the openings without discontinuities, or else there will be voids in the copper electrofill.
  • the seed layer must not be so thick on the sidewalls that it pinches-off or seals the very narrow openings and should not overhang the top corners of the openings. It should leave enough room inside the small openings for a successive electrofilling step.
  • the seed layer In contrast to these requirements with respect to the openings, the seed layer must be sufficiently thick on the top surface (the field) to provide a low-resistive electrical path that facilitates uniform plating across the surface of the wafer. That is, the seed layer must be sufficiently thick (for example, a Cu seed layer thickness is preferably at least about 1,000 ⁇ ) on the field to avoid radial non-uniformity across the wafer caused by a voltage (or IR) drop between a contact at the edge of the wafer to the center of the wafer. Any voltage drop (and resulting non-uniformity therefrom) becomes more severe with increasing wafer size (200 mm to 300 mm), and as the resistance of the seed layer increases due to insufficient thickness and/or high resistivity.
  • a Cu seed layer thickness is preferably at least about 1,000 ⁇
  • a copper seed layer to a thickness of about 1,000 ⁇ to about 2,000 ⁇ on the top surface (field) by a PVD technique.
  • the typical conformal seed layer thickness of about 300 ⁇ to about 800 ⁇ (on the field), deposited by the CVD or electroless techniques, may not be sufficient.
  • the non-conformal PVD techniques while providing adequate thickness on the field, fail to provide continuous and complete sidewall and/or bottom coverage inside very narrow openings with large AR. They also result in substantial overhangs at the top corners of the openings.
  • the conformal techniques (such as CVD, ALD, or electroless), on the other hand, while providing continuous and complete sidewalls and bottom coverage of the seed layer inside very narrow openings, pinch-off or seal the small openings when used at thicknesses required on the field for a low-resistance electrical path. As a result, typical conformal seed layers are too thin on the field and too thick inside the very narrow openings.
  • Embodiments of the present invention advantageously satisfy the above-identified need in the art and provide multiple seed layer structures used to produce void-free copper or silver interconnect by electrochemical filling of small openings having high aspect ratios.
  • One embodiment of the present invention is a device which includes at least a portion of a void-free electroplated metallic interconnect embedded in an opening, said opening having sidewalls, said sidewalls include at least one dielectric layer, wherein the opening has an aspect ratio in a range from 7:1 to 20:1, and wherein the portion of the electroplated metallic interconnect includes a material selected from a group consisting of Cu, Ag, and alloys including at least one of these metals.
  • FIG. 1 shows a cross-sectional view of an inventive structure formed in accordance with one embodiment of the present invention wherein a first, substantially conformal seed layer is deposited over a barrier layer, followed by a second, substantially non-conformal seed layer deposited over the first, conformal seed layer;
  • FIG. 2 shows a cross-sectional view of the inventive structure of FIG. 1 after removing excess plated copper or silver overlying an opening and the field, as well as removing the seed layers and barrier layer overlying the field surrounding the opening;
  • FIG. 3 shows a cross-sectional view of an inventive structure formed in accordance with an alternative embodiment of the present invention wherein a first, substantially non-conformal seed layer is deposited over a barrier layer, followed by a second, substantially conformal seed layer deposited over the first, non-conformal seed layer;
  • FIG. 4 shows a cross-sectional view of the inventive structure of FIG. 3 after removing excess plated copper or silver overlying an opening and the field, as well as removing the seed layers and barrier layer overlying the field surrounding the opening;
  • FIG. 5 shows a scanning electron microscope (“SEM”) photograph of a cleaved cross-section (with a tilt angle of 30°) of a trench (the trench is ⁇ 0.10 ⁇ m wide, ⁇ 1.4 ⁇ m deep, and has an aspect ratio of ⁇ 14:1) having seed layers formed in accordance with one embodiment of the present invention
  • FIG. 6 shows an SEM photograph of the trench shown in FIG. 5 without a tilt, and with a larger enlargement
  • FIG. 7 shows a schematic (not to scale) top view of a cluster tool apparatus, and a frontal view of its controller (e.g. computer), in accordance with other embodiments of the invention.
  • controller e.g. computer
  • FIG. 1 shows a cross-sectional view of an inventive structure formed in accordance with one embodiment of the present invention wherein a substantially conformal seed layer is deposited over a barrier layer, followed by a substantially non-conformal seed layer deposited over the substantially conformal seed layer.
  • a substantially conformal seed layer is a layer whose thickness on the sidewalls of an opening (at about mid-depth) is about 25-100% of its thickness on the field.
  • a “substantially non-conformal seed layer” is defined herein as a layer whose thickness on the sidewalls of an opening (at about mid-depth) is less than about 25% of its thickness on the field.
  • the conformal seed layer provides step coverage inside the openings 16
  • the non-conformal seed layer provides a low resistance electrical path over the top surface (field 14 ) surrounding the openings to enable uniform plating across the substrate (or wafer).
  • sidewall or step coverage means continuous sidewall coverage
  • conformal and non-conformal seed layers, respectively mean substantially conformal and substantially non-conformal seed layers, respectively.
  • the thickness of the combined seed layers be at least about 1,000 ⁇ on the field.
  • the combined thickness of the seed layers on the sidewalls should be thin enough to avoid pinching-off or sealing the openings and should leave enough room inside the openings for a successive electrofilling step.
  • Narrow openings require minimization of the conformal seed layer thickness.
  • the conformal seed layer must be sufficiently thick to ensure adequate sidewall coverage and, on the other hand, it must not be too thick, to pinch-off the opening.
  • the thickness of the non-conformal seed layer (on the field) may need to exceed the thickness of the conformal seed layer (on the field).
  • the thickness of the non-conformal seed layer may, or may not, exceed the thickness of the conformal seed layer (on the field).
  • barrier layer 18 is deposited over the entire surface of wafer 10 , including over patterned insulating layer 12 (having had opening 16 patterned therein in accordance with any one of a number of methods that are well known to those of ordinary skill in the art), using a conformal Chemical Vapor Deposition (“CVD”) technique.
  • CVD Chemical Vapor Deposition
  • barrier layer includes examples wherein: (a) the barrier layer acts both as an adhesion layer and as a barrier layer; (b) a barrier layer separate from an adhesion layer is used; and (c) a multiplicity of layers is used, some acting as adhesion layers, some acting as barrier layers, or some acting as both.
  • wafer is used, this also includes the term substrate as it is used in the art.
  • present invention is described in the context of opening 16 , in practice, a multiplicity of openings are patterned and filled in accordance with the present invention.
  • barrier layer 18 ensures substantially complete and continuous coverage of sidewall surfaces inside opening 16 .
  • barrier layer 18 may also be deposited using a Physical Vapor Deposition (“PVD”) technique that provides substantially continuous sidewall coverage.
  • PVD Physical Vapor Deposition
  • barrier layer 18 may comprise, for example and without limitation, a material selected from Ta, TaN X , Cr, CrN X , Ti, TiN X , W, WN X , TaSi X N Y , TiSi X N Y , WSi X N Y , and other alloys containing one or more of these materials.
  • the thickness of barrier layer 18 can be in a range of about 30 ⁇ to about 500 ⁇ , and more preferably in a range of about 50 ⁇ to about 300 ⁇ . Since barrier layer 18 occupies a certain fraction of interconnects formed in accordance with the present invention, and since barrier layer 18 has a relatively large resistivity, its thickness should be minimized. However, the thickness of barrier layer 18 should be sufficiently large to mitigate copper out-diffusion and to provide continuous sidewall coverage inside opening 16 . Many CVD techniques and PVD techniques are well known to those of ordinary skill in the art for forming barrier layer 18 .
  • substantially conformal seed layer 20 is deposited over barrier layer 18 .
  • Conformal seed layer 20 can be preferably deposited by using a CVD or an ALD technique, but it can also be deposited by using an electroless technique or any other substantially conformal deposition technique.
  • CVD techniques and electroless techniques are well known to those of ordinary skill in the art for forming conformal seed layer 20 .
  • the CVD techniques may include thermal CVD, Plasma Enhanced CVD (“PECVD”), Low Pressure CVD (“LPCVD”), High Pressure CVD (“HPCVD”), Atomic Layer CVD (ALCVD), and Metallo Organic CVD (“MOCVD”).
  • Atomic Layer Deposition (ALD) is generally considered to be a CVD technique, or a particular variant thereof.
  • the term “ALD” refers to (and includes) all variants of the ALD techniques, such as, for example and without limitation, ALCVD, Low Pressure ALD (LPALD) and Plasma Enhanced ALD (PEALD).
  • the thickness of conformal seed layer 20 can be in a range of about 20 ⁇ to about 500 ⁇ , preferably in a range of about 50 ⁇ to about 500 ⁇ , and more preferably in a range of about 100 ⁇ to about 300 ⁇ .
  • substantially non-conformal seed layer 22 is deposited over conformal seed layer 20 .
  • Non-conformal seed layer 22 can be preferably obtained using a PVD technique.
  • Many PVD techniques are well known to those of ordinary skill in the art for forming non-conformal seed layer 22 .
  • the PVD techniques include evaporation, ion plating, and various sputtering techniques.
  • Sputtering techniques may include, for example and without limitation, techniques such as DC and/or RF plasma sputtering, bias sputtering, collimated sputtering, magnetron sputtering, Hollow Cathode Magnetron (HCM) sputtering, Self Ionized Plasma (SIP) sputtering, or Ionized Metal Plasma (IMP) sputtering.
  • the thickness of non-conformal seed layer 22 can be in a range of about 100 ⁇ to about 3,000 ⁇ , and more preferably in a range of about 500 ⁇ to about 2,000 ⁇ (on the field).
  • the conformal and non-conformal seed layers may comprise the same material, or they may comprise different materials.
  • copper is commonly used as a seed layer, a highly conductive silver (Ag) layer can also be used.
  • Ag has lower resistivity than that of Cu and, therefore, can be formed with a smaller thickness than that required when using Cu.
  • conformal seed layer 20 and non-conformal seed layer 22 may comprise, for example, a material selected from Cu, Ag, or alloys comprising one or more of these metals.
  • the thickness of the CVD layers is substantially uniform over the entire surface (i.e., conformal), including over field 14 , and over bottom and sidewall surfaces inside opening 16 .
  • conformal CVD layers are thicker over the field than inside the openings.
  • CVD Cu seed layers inside openings it is quite common for CVD Cu seed layers inside openings to have a thickness of about 80% of that over the field.
  • the thickness of a typical CVD barrier layer inside the openings may only be ⁇ 50% of that over the field.
  • even the best CVD layers exhibit some overhangs at the top corners of the openings.
  • one deposits, by a CVD technique, a barrier layer comprised of about 200 ⁇ of TaN X or WN X , then one deposits, by a CVD technique, a conformal seed layer comprised of about 300 ⁇ of Cu, finally one deposits, by a PVD technique, a non-conformal seed layer comprised of about 900 ⁇ of Cu (as measured on the field).
  • the inventive “two-step” seed layer deposition ensures a continuous seed layer having excellent step coverage, and a low-resistance electrical path on the field to ensure uniform copper plating across the wafer.
  • the combined thickness of the copper seed layers inside the openings is only about 300 ⁇ , due to the very short distance to the field (on the order of about 1 ⁇ m), the voltage drop from the field to the inside of the openings is negligible.
  • the combined thickness of the “two-step” seed layers inside the openings is adequate for copper plating therein.
  • the combined thickness of the “two-step” seed layers inside the openings can be further decreased (to a range from about 20 ⁇ to about 200 ⁇ , and preferably to a range from about 100 ⁇ to about 200 ⁇ ) to enable void-free copper filling of even narrower openings (for example, below 0.10-0.13 ⁇ m).
  • the combined thicknesses of the barrier and seed layers at the sidewalls of the openings is about 400 ⁇ on each side, thus occupying about 800 ⁇ of the 1,800 ⁇ opening. This leaves enough room ( ⁇ 1,000 ⁇ ), without sealing or pinching-off of the top corners, to facilitate electroplating inside the openings.
  • substantially non-conformal seed layer 22 is thicker than substantially conformal seed layer 20 on the field 14 , thereby providing most of the field conduction.
  • substrate 10 is placed in a copper electroplating bath, and electroplating is carried out in accordance with any one of a number of methods that are well known to those of ordinary skill in the art to deposit a thickness of copper sufficient to fill patterned opening 16 , with some excess, and to cover field 14 surrounding opening 16 .
  • excess plated copper overlying opening 16 and overlying field 14 are removed using any one of a number of techniques that are well known to those of ordinary skill in the art, for example, using a mechanical polishing or a chemical mechanical polishing (CMP) technique.
  • CMP chemical mechanical polishing
  • removal techniques such as wet etching techniques (chemical, electrodissolution, or electropolishing) or dry etching techniques (ion milling, reactive ion etching or RIE, or sputter etching) may also be used to remove excess plated copper overlying opening 16 and field 14 , and to remove seed layers 20 and 22 and barrier metallic layer 18 overlying field 14 . It should be clear to those of ordinary skill in the art that removal may also be accomplished using a combination of techniques, including those identified above.
  • electrofill opening 16 with any low resistivity material, such as a material selected from Cu, Ag, or an alloy comprising one or more of these metals.
  • silver (Ag) has lower resistivity than that of Cu, and may be attractive for further reducing the dimensions of the interconnects.
  • FIG. 2 shows a cross-sectional view of the inventive structure of FIG. 1 after removing excess plated copper (or silver) 24 overlying opening 16 and field 14 , and removing seed layers 20 and 22 and barrier layer 18 overlying field 14 surrounding opening 16 .
  • FIG. 2 illustrates the filling of openings (trenches and vias) with electroplated copper (or silver) 24 , as well as the lining of the bottom and sidewall surfaces of opening 16 by barrier layer 18 and seed layers 20 and 22 .
  • all metallic layers were removed from field 14 of insulating layer 12 which surrounds embedded electroplated copper (or silver) interconnect 24 .
  • the above-described structure comprises metal (or alloy) layer 24 electroplated over separately deposited conformal and non-conformal seed layers 20 and 22 , respectively, following the electroplating of the metallic layer 24 , these individual layers may not be discernible or distinguishable from each other in the structure, particularly if they are comprised of the same metal or alloy. Thus for example, if seed layers 20 and 22 and electroplated metal 24 are comprised of Cu, they may not be discernible from each other inside the filled openings 16 , or on field 14 (prior to their removal from the field).
  • FIG. 3 shows a cross-sectional view of an inventive structure formed in accordance with an alternative embodiment of the present invention wherein a first, substantially non-conformal seed layer is deposited over a barrier layer, followed by a second, substantially conformal seed layer deposited over the first, non-conformal seed layer.
  • the non-conformal seed layer provides a low resistance electrical path over the top surface (field 114 ) surrounding the openings 116 to enable uniform plating across the substrate (or wafer), while the conformal seed layer provides continuous and complete bottom and sidewalls (or step) coverage inside the openings.
  • barrier layer 118 is deposited over the entire surface of wafer 110 , including over patterned insulating layer 112 (having had opening 116 patterned therein in accordance with any one of a number of methods that are well known to those of ordinary skill in the art), using a conformal Chemical Vapor Deposition (“CVD”) technique.
  • CVD Chemical Vapor Deposition
  • barrier layer includes examples wherein: (a) the barrier layer acts both as an adhesion layer and as a barrier layer; (b) a barrier layer separate from an adhesion layer is used; and (c) a multiplicity of layers is used, some acting as adhesion layers, some acting as barrier layers, or some acting as both.
  • wafer is used, this also includes the term substrate as it is used in the art.
  • present invention is described in the context of opening 116 , in practice, a multiplicity of openings are patterned and filled in accordance with the present invention.
  • barrier layer 118 ensures complete and continuous coverage of sidewall surfaces inside opening 116 .
  • barrier layer 118 may also be deposited using a Physical Vapor Deposition (“PVD”) technique that provides substantially continuous sidewall coverage.
  • PVD Physical Vapor Deposition
  • barrier layer 118 may comprise, for example and without limitation, a material selected from Ta, TaN X , Cr, CrN X , Ti, TiN X , W, WN X , TaSi X N Y , TiSi X N Y , WSi X N Y , and other alloys containing one or more of these materials. Further, the thickness of barrier layer 118 can be in a range of about 30 ⁇ to about 500 ⁇ , and more preferably in a range of about 50 ⁇ to about 300 ⁇ . Since barrier layer 118 occupies a certain fraction of interconnects formed in accordance with the present invention, and since barrier layer 118 has a relatively large resistivity, its thickness should be minimized. However, the thickness of barrier layer 118 should be sufficiently large to mitigate copper out-diffusion and to provide continuous sidewall coverage inside opening 116 . Many CVD techniques and PVD techniques are well known to those of ordinary skill in the art for forming barrier layer 118 .
  • Non-conformal seed layer 126 is deposited over barrier layer 118 .
  • Non-conformal seed layer 126 can be preferably obtained using a PVD technique.
  • Many PVD techniques are well known to those of ordinary skill in the art for forming non-conformal seed layer 126 .
  • the PVD techniques may include, evaporation, ion plating, and various sputtering techniques.
  • Sputtering techniques include, for example and without limitation, techniques such as DC and/or RF plasma sputtering, bias sputtering, collimated sputtering, magnetron sputtering, Hollow Cathode Magnetron (HCM) sputtering, Self Ionized Plasma (SIP) sputtering, or Ionized Metal Plasma (IMP) sputtering.
  • the thickness of non-conformal seed layer 126 can be in a range of about 100 ⁇ to about 3,000 ⁇ , and more preferably in a range of about 500 ⁇ to about 2,000 ⁇ (on the field).
  • a substantially conformal seed layer 128 is deposited over non-conformal seed layer 126 .
  • Conformal seed layer 128 can be preferably obtained using a CVD or an ALD technique, or electroless technique, or any other substantially conformal deposition technique.
  • CVD techniques and electroless techniques are well known to those of ordinary skill in the art for forming conformal seed layer 128 .
  • the CVD techniques may include thermal CVD, Plasma Enhanced CVD (“PECVD”), Low Pressure CVD (“LPCVD”), High Pressure CVD (“HPCVD”), Atomic Layer CVD (ALCVD), and Metallo Organic CVD (“MOCVD”).
  • PECVD Plasma Enhanced CVD
  • LPCVD Low Pressure CVD
  • HPCVD High Pressure CVD
  • ACVD Atomic Layer CVD
  • MOCVD Metallo Organic CVD
  • Atomic Layer Deposition (ALD) is generally considered to be a CVD technique, or a particular variant thereof.
  • the term “ALD” refers to (and includes) all variants of the ALD techniques, such as, for example and without limitation, ALCVD, Low Pressure ALD (LPALD) and Plasma Enhanced ALD (PEALD).
  • the thickness of conformal seed layer 128 can be in a range of about 20 ⁇ to about 500 ⁇ , preferably in a range of about 50 ⁇ to about 500 ⁇ , and more preferably in a range of about 100 ⁇ to about 300 ⁇ .
  • substantially non-conformal seed layer 126 is thicker than substantially conformal seed layer 128 on the field 114 , thereby providing most of the field conduction.
  • the conformal and non-conformal seed layers may comprise the same material, or they may comprise different materials.
  • copper is commonly used as a seed layer, a highly conductive silver (Ag) layer can also be used.
  • Non-conformal seed layer 126 and conformal seed layer 128 may comprise, for example, a material selected from Cu, Ag, or alloys comprising one or more of these metals.
  • non-conformal and conformal seed layers 126 and 128 respectively, it should be understood by those of ordinary skill in the art that, following the deposition of these seed layers, they may not be discernible or distinguishable from each other, particularly if they are comprised of the same metal or alloy.
  • substrate 110 is placed in a copper electroplating bath, and electroplating is carried out in accordance with any one of a number of methods that are well known to those of ordinary skill in the art to deposit a thickness of copper sufficient to fill patterned opening 116 , with some excess, and to cover field 114 surrounding opening 116 .
  • excess plated copper overlying opening 116 and field 114 of insulating layer 112 , as well as seed layers 126 and 128 and barrier layer 118 overlying field 114 are removed using any one of a number of techniques that are well known to those of ordinary skill in the art, for example, using a mechanical polishing or a chemical mechanical polishing (CMP) technique.
  • CMP chemical mechanical polishing
  • removal techniques such as wet etching techniques (chemical, electrodissolution, or electropolishing) or dry etching techniques (ion milling, reactive ion etching or RIE, or sputter etching) may also be used to remove excess plated copper overlying opening 116 and field 114 , and to remove seed layers 126 and 128 and barrier layer 118 overlying field 114 . It should be clear to those of ordinary skill in the art that removal may also be accomplished using a combination of techniques, including those identified above.
  • electrofill opening 116 with any low resistivity material, such as a material selected from Cu, Ag, or alloys comprising one or more of these metals.
  • silver (Ag) has lower resistivity than that of Cu, and may be attractive for further reducing the dimensions of the interconnects.
  • FIG. 4 shows a cross-sectional view of the inventive structure of FIG. 3 after removing excess electroplated copper (or silver) 130 overlying opening 116 and field 114 , and removing seed layers 126 and 128 and barrier layer 118 overlying field 114 surrounding opening 116 .
  • FIG. 4 illustrates the filling of openings (trenches and vias) with electroplated copper (or silver) 130 , as well as the lining of the bottom and sidewall surfaces of opening 116 by barrier layer 118 and seed layers 126 and 128 .
  • all metallic layers were removed from field 114 of insulating layer 112 which surrounds embedded electroplated copper (or silver) interconnect 130 .
  • FIGS. 5 and 6 show scanning electron microscope (“SEM”) photographs of a cross-section of a 0.10 ⁇ m wide trench having Cu seed layers prepared in accordance with one embodiment of the invention.
  • a pattern of trenches was formed in a SiO 2 insulating layer.
  • the trenches were about 0.10 ⁇ m wide (at their bottom) and about 1.4 ⁇ m deep (thereby having an aspect ratio of about 14:1).
  • a barrier layer (WN X ) was deposited using a CVD technique.
  • a relatively thin, conformal Cu seed layer was deposited using a CVD technique.
  • the barrier layer and thin, conformal Cu seed layer is seen at 501 in FIG. 6 .
  • the combined thickness of the barrier and the CVD Cu seed layer was about 500 ⁇ on the field, and about 400-500 ⁇ on the sidewalls and bottom of the trenches.
  • a non-conformal PVD Cu seed layer having a thickness of about 1,400 ⁇ (on the field) was deposited by sputtering.
  • the non conformal PVD Cu seed layer was applied in two steps and is seen at 510 in FIG. 6 . The end result, as shown in FIGS.
  • FIG. 5 shows the cross-section with a tilt of about 30° and an enlargement of 20,000 ⁇ (thus providing also a partial view of the top surface)
  • FIG. 6 shows the same cross-section with an enlargement of 40,000 ⁇ and without a tilt.
  • trenches ⁇ 0.10 ⁇ m wide (at their bottom) and ⁇ 1.4 ⁇ m deep (thereby having an aspect ratio of ⁇ 14:1) were formed in a SiO 2 insulating layer.
  • a barrier layer (WN X ) was deposited using a CVD technique.
  • a relatively thin, conformal Cu seed layer was deposited using a CVD technique.
  • the combined thickness of the barrier layer and the CVD Cu layer was ⁇ 500 ⁇ on the field, and ⁇ 400-500 ⁇ on the sidewalls and bottom of the trenches.
  • a non-conformal PVD Cu seed layer having a thickness of ⁇ 500 ⁇ (on the field) was deposited by sputtering.
  • the end result was a combined thickness (including the barrier layer and the Cu seed layers) of only about 400-500 ⁇ on the sidewalls and bottom of the trenches (with excellent continuity and uniformity there), and about 1,000 ⁇ on the field, without pinching-off the trenches.
  • a relatively thin (50-300 ⁇ ) “Flash” PVD seed layer can be deposited first, followed by a relatively thin (20-300 ⁇ ) conformal CVD (preferably ALD) or electroless seed layer, and finally followed by a relatively thick (300-2,000 ⁇ ) PVD seed layer to produce three separately deposited seed layers.
  • the relatively thick top PVD seed layer is preferably deposited under conditions which minimize overhangs at the top corners of the openings.
  • Adhesion of a metallo-organic CVD (MOCVD) deposited Cu seed layer to the underlying barrier layer is rather poor, and may not be adequate for use in devices when mechanical or chemical mechanical polishing (CMP) processing follows Cu plating.
  • CMP chemical mechanical polishing
  • MOCVD Cu layer is deposited directly over a barrier layer containing a refractory metal, further problems arise. In particular, the morphology, uniformity, and electrical resistivity of the MOCVD Cu layer may not be adequate for use in devices. It is believed that these problems are due to the high affinity of the refractory metal in the barrier layer to oxygen and/or carbon atoms.
  • the refractory metal of the barrier layer spontaneously reacts with carbon or oxygen containing species (from the organic part of the metallo-organic compound) to form an oxide, carbide, or a mixed oxide-carbide interfacial layer between itself and the depositing Cu.
  • carbon or oxygen containing species from the organic part of the metallo-organic compound
  • Such an interfacial layer adversely impairs the adhesion of the MOCVD Cu layer.
  • Cu (as well as other noble metals) does not adhere well to oxide or carbide layers, and requires a clean metal-to-metal bond in order to adhere well to another metal.
  • the oxide, carbide, and/or oxide-carbide interfacial layer impairs proper nucleation of the MOCVD Cu on the refractory metal barrier layer. This adversely affects the morphology, uniformity, and resistivity of the deposited MOCVD Cu seed layer.
  • At least an initial stage of CVD or ALD Cu deposition is carried out utilizing high purity, inorganic Cu compounds (precursors), such as, for example and without limitation, compounds containing hydrogen and/or halogen atoms such as chlorides or fluorides, which do not contain oxygen or carbon atoms.
  • precursors such as, for example and without limitation, compounds containing hydrogen and/or halogen atoms such as chlorides or fluorides, which do not contain oxygen or carbon atoms.
  • the resulting clean metal-to-metal interface between a barrier layer containing a refractory metal and the depositing copper ensures good adhesion, morphology, uniformity, and low electrical resistivity of the CVD Cu layer.
  • the entire CVD or ALD Cu layer can be deposited using the inorganic precursors.
  • only the initial stage of the CVD (or ALD) Cu is carried out using inorganic precursors, switching later to an MOCVD Cu deposition process, to form the rest of the CVD Cu layer.
  • a first, relatively thin, “Flash” PVD seed layer is deposited to enhance adhesion to the barrier layer and/or to improve grain morphology and uniformity of a subsequently deposited CVD seed layer.
  • an electroless deposited metal or alloy is first deposited on the barrier layer, followed by the CVD Cu seed layer.
  • a PVD Cu seed layer is deposited directly on the electroless deposited metal or alloy.
  • a relatively thick PVD Cu seed layer is first deposited on the barrier layer, followed by a relatively thin electroless Cu seed layer deposited over the PVD Cu seed layer.
  • the electroless deposited metal or alloy may comprise a material selected from the group consisting of electroless deposited Cu, Au, Ag, Ni, Co, or an alloy comprising one or more of these metals.
  • the thickness of this electroless deposited metal or alloy can be in the range of 10-500 ⁇ , preferably in the range of 20-300 ⁇ , and more preferably be in the range of 25-100 ⁇ .
  • Exposure of wafers to the atmosphere during transport from one deposition chamber to another may cause deleterious oxidation and/or contamination of the surface of barrier and/or seed layers. Such exposure should, therefore, be avoided or minimized.
  • conformal and non-conformal seed layers are deposited in an apparatus where the conformal and non-conformal seed layer deposition steps can be carried out without breaking vacuum, or without exposing the wafer to the atmosphere between the deposition steps.
  • the apparatus may comprise two or more chambers, at least one chamber for deposition of the conformal seed layer, and at least another chamber for deposition of the non-conformal seed layer.
  • the apparatus further comprises a chamber for deposition of the barrier layer, preferably by a CVD or ALD technique.
  • the barrier layer may be deposited in a separate chamber or it may be deposited in one of the chambers used to deposit either the conformal, or the non-conformal, seed layers.
  • FIG. 7 shows apparatus 7000 that is fabricated in accordance with a preferred embodiment of the invention.
  • apparatus 7000 comprises cluster tool 70 which operates in accordance with input from controller 80 in a manner that is well known to those of ordinary skill in the art.
  • cluster tool 70 includes input loadlock 71 and output loadlock 72 .
  • loadlocks 71 and 72 enable wafers to be inserted into and removed from cluster tool 70 , respectively.
  • FIG. 7 shows separate input and output loadlocks, it is also within the spirit and scope of the present invention to use a single loadlock for both input and output of wafers.
  • cluster tool 70 comprises CVD or ALD barrier layer deposition chamber 76 , PVD Cu seed layer deposition chamber 77 , and CVD or ALD Cu seed layer deposition chamber 78 .
  • FIG. 7 shows several other processing chambers, for example, processing chambers 75 and 79 , which can be used for other processing steps that are well known to those of ordinary skill in the art, such as pre-cleaning, cooling, or as extra deposition chambers.
  • FIG. 7 shows separate CVD (or ALD) chambers for depositing a barrier layer and Cu seed layers, it is also within the scope of the invention to deposit both types of layers in the same CVD (or ALD) chamber.
  • Controller 80 is an apparatus which is well known to those of ordinary skill in the art, that is used to control the operation of cluster tool 70 . As such, controller 80 determines the sequence and duration of movements and stays of wafer 74 : (a) to and from loadlocks 71 and 72 ; and (b) to and from the various processing chambers 75 - 79 .
  • controller 80 controls the specific process sequence and process parameters for operation of the various ones of processing chambers 75 - 79 , sometimes referred to in the art as “recipes.” For example, in PVD Cu seed layer deposition chamber 77 , among other things, controller 80 controls the duration of the sputter deposition, the background pressure, the sputtering gas (such as Argon) pressure and flow rate, the cathodic voltage and power, and/or bias voltage applied to the wafer. Lastly, as is also well known to those of ordinary skill in the art, controller 80 performs these functions in accordance with specific recipes which are data structures that dictate the operation of controller 80 software.
  • specific recipes which are data structures that dictate the operation of controller 80 software.
  • the data structures are typically stored on computer readable media that are input to controller 80 under the control of operation software, which operation software itself is typically stored on a computer readable medium.
  • recipes are input to controller 80 to cause it to control cluster tool 70 to process wafers in the manner described above to deposit a barrier layer and Cu seed layers without breaking vacuum or exposing a wafer to the atmosphere.
  • the apparatus comprises a chamber in which both conformal and non-conformal seed layers are deposited utilizing: (a) two or more distinct steps, wherein the deposition variables (or conditions or parameters) during the first step are suitable for the deposition of a substantially conformal (or a non-conformal) seed layer, and the deposition conditions during the second step are suitable for the deposition of a substantially non-conformal (or a conformal) seed layer; (b) wherein at least one of the deposition variables is varied (or ramped) continuously or gradually, thereby changing the nature of the seed layer from substantially conformal to substantially non-conformal, or vice versa; or (c) a combination of at least one distinct step of depositing a substantially conformal (or a non-conformal) seed layer and at least one gradual variation (or ramping) of at least one deposition variable towards a substantially non-conformal (or a conformal) seed layer, and vice versa.
  • the nature of certain deposition techniques can be made more conformal, or less conformal, by varying the deposition parameters (or variables, or conditions). For example, increasing the (partial) pressure during ion plating and other PVD techniques, tends to increase scattering of the depositing atoms (or ions), thereby making the deposition more isotropic and conformal. Similarly, biasing the substrate has an effect on the nature of the deposit.
  • IMP ionized metal plasma
  • SIP self ionized plasma
  • HCM hollow cathode magnetron
  • ion plating deposition techniques increasing the (negative) bias voltage further accelerates positive ions (of the depositing metal) towards the substrate, thereby improving the filling of small openings.
  • the higher (negative) bias also increases the removal rate (or back-sputtering) from the top corners of the openings and the field, thereby rendering the deposition to be more conformal.
  • decreasing the negative bias, or even using positive bias can render the deposition to be less conformal.
  • Deposition rate (or power density) can also affect the nature of the deposition.
  • the nature of certain CVD techniques can be made less conformal, or more conformal, by changing the deposition variables. For example, increasing the substrate temperature tends to shift the deposition from a surface-reaction, rate-controlled deposition at low temperature, to a transport, rate-controlled deposition at higher temperature. As a result, increasing the substrate temperature tends to render the deposition to be less conformal. Conversely, decreasing the temperature, tends to render the deposition to be more conformal. Similarly, increasing the precursor and/or the reacting gas partial pressure (or flow rate) tends to shift the deposition to be a more surface-reaction, rate-controlled deposition, thereby tending to render the deposition to be more conformal.
  • PECVD plasma variables in PECVD, such as the power density, may also have significant effects on the nature of the deposition.
  • cluster tool 70 comprises the following chambers: a CVD (preferably ALD) deposition chamber for depositing a barrier layer (for example, Ta, TaN X , Cr, CrN X , Ti, TiN X , W, WN X , TaSi X N Y , TiSi X N Y , WSi X N Y , and other alloys containing one or more of these materials); a PVD deposition chamber for depositing a PVD Cu seed layer; and a CVD (preferably ALD) deposition chamber for depositing a CVD (preferably ALD) Cu seed layer.
  • a CVD (preferably ALD) deposition chamber for depositing a barrier layer (for example, Ta, TaN X , Cr, CrN X , Ti, TiN X , W, WN X , TaSi X N Y , TiSi X N Y , WSi X N Y , and other alloys containing one or more of these materials).
  • Single wafers are transferred in-situ in cluster tool 70 , from one chamber to another, without exposing the wafers to the atmosphere prior to the deposition of the top Cu seed layer.
  • the CVD (preferably ALD) barrier and the CVD (preferably ALD) Cu seed layers can be deposited in the same CVD (or ALD) chamber by using different gases and chemistries for the respective layers.
  • separate CVD (or ALD) chambers for each layer i.e., the barrier and Cu layers
  • cluster tool controller 80 would cause a deposition process such as the following to be carried out in accordance with a recipe specified, for example in the form of a data structure or software or program code: (a) (in accordance with a first portion of the data structure or a first portion of the software or computer code) introducing wafer 74 into CVD (preferably ALD) barrier layer deposition chamber 76 and depositing on wafer 74 a CVD (preferably ALD) barrier layer (about 200-400 ⁇ thick) comprising Ta, TaN X , Cr, CrN X , Ti, TiN X , W, WN X , TaSi X N Y , TiSi X N Y , WSi X N Y , and other alloys containing one or more of these materials or; (b) (in accordance with a second portion of the data structure or a first portion of software or computer code) transferring wafer 74 through transfer chamber 73 , without exposing wafer 74 to the
  • a two-step combination may include a first deposited CVD (preferably ALD) seed layer, followed by a relatively thick PVD seed layer.
  • a three-step combination may include a first deposited CVD (preferably ALD) seed layer, followed by a relatively thick PVD seed layer, and finally followed by a second deposited CVD (preferably ALD) seed layer.
  • Other combinations may comprise even more steps in the deposition of the seed layer.
  • the two (or more) separately deposited seed layers may comprise the same metal or alloy or they may comprise, for example and without limitation, different materials chosen from Cu, Ag, or alloys comprising one or more of these metals.
  • barrier layer prior to the deposition of the conformal/non-conformal seed layers or prior to the deposition of the non-conformal/conformal seed layers
  • the invention includes any workpiece or substrate (having openings upon its surface) which is ready for the deposition of the inventive seed layers upon its surface and which may, or may not, include a barrier layer.

Abstract

One embodiment of the present invention is a device including at least a portion of a void-free electroplated metallic interconnect embedded in an opening, said opening having sidewalls, said sidewalls include at least one dielectric layer, wherein the opening has an aspect ratio in a range from 7:1 to 20:1, and wherein the portion of the electroplated metallic interconnect includes a material selected from a group consisting of Cu, Ag, and alloys including at least one of these metals.

Description

  • This is a continuation of a patent application entitled “Seed Layers For Metallic Interconnects And Products”, Ser. No. 13/352,180, filed on Jan. 17, 2012, which is a continuation of a patent application entitled “Apparatus For Making Interconnect Seed Layers And Products”, U.S. Ser. No. 12/728,393, filed on Mar. 22, 2010, now U.S. Pat. No. 8,123,861, which itself is a division of a patent application entitled “Apparatus for Depositing Seed Layers”, U.S. Ser. No. 11/391,035, filed on March 28, 2006, now U.S. Pat. No. 7,682,496, which itself is a continuation of a patent application entitled “Advanced Seed Layers for Metallic Interconnects”, U.S. Ser. No. 11/023,833, filed on Dec. 28, 2004, now U.S. Pat. No. 7,105,434, which itself is a continuation of a patent application entitled “Methods for Making Multiple Seed Layers for Metallic Interconnects”, U.S. Ser. No. 10/328,629, filed on Dec. 23, 2002, now U.S. Pat. No. 6,924,226, which itself is a continuation-in-part of a patent application entitled “Multiple Seed Layers for Metallic Interconnects”, U.S. Ser. No. 09/730,220, filed on Dec. 4, 2000, now U.S. Pat. No. 6,518,668, which itself is a continuation-in-part of a patent application entitled “Seed Layers for Interconnects and Methods and Apparatus for Their Fabrication”, U.S. Ser. No. 09/563,733, filed on May 3, 2000, now U.S. Pat. No. 6,610,151, which itself is a continuation-in-part of a patent application entitled “Seed Layers for Interconnects and Methods for Fabricating Such Seed Layers”, U.S. Ser. No. 09/410,898, filed on Oct. 2, 1999, now U.S. Pat. No. 6,136,707. Provisional application No. 60/423,933, filed on Nov. 5, 2002. Each of the patents and applications listed in this paragraph are incorporated herein by reference in their entirety.
  • TECHNICAL FIELD OF THE INVENTION
  • The present invention pertains to the field of electroplating metals or alloys on a workpiece (or substrate) having openings upon its surface.
  • BACKGROUND OF THE INVENTION
  • As is well known in the prior art, filling trenches and/or vias formed on a wafer by electroplating copper metal to form semiconductor device interconnects (often referred to as a “Damascene” or a “Dual Damascene” process) requires that a metallization layer (often referred to in the art as a seed layer or a base layer) be formed over the wafer surface. As is also well known in the prior art, the seed layer is required: (a) to provide a low-resistance electrical path (to enables uniform electroplating over the wafer surface); (b) to adhere well to the wafer surface (usually to an oxide-containing a dielectric film such as SiO2, SiOX, or SiOXNY); and (c) to be compatible with subsequent electroplating copper upon its surface.
  • As is well known, the requirement of providing a low-resistance electrical path is fulfilled by choosing the seed layer to be comprised of an adequately thick, low-resistivity material.
  • As is further well known, since copper has a rather poor adhesion to oxide surfaces, the requirement of adhering well to the wafer surface is typically fulfilled by disposing an intermediary barrier (or adhesion) metallic layer having a strong affinity for oxygen atoms under the seed layer. As is well known in the prior art, the barrier metallic layer is formed prior to the seed layer to provide good adhesion: (a) to the oxide surface underneath it (the barrier layer provides good adhesion to the oxide surface by sharing oxygen atoms) and (b) to the seed layer above it (the barrier metallic layer provides good adhesion to the seed layer by metal to metal bonds). The barrier layer is often also referred to as an “adhesion layer” or a “liner”. In addition to providing good adhesion, the barrier layer also serves to mitigate copper out-diffusion directly into the device, or indirectly (through an insulating or a dielectric layer) into the device. As is well known in the prior art, the barrier layer is usually chosen from the refractory metals or their alloys, such as for example, Ta, TaNX, Cr, CrNX, Ti, TiNX, W, WNX, TaSiXNY, TiSiXNY, WSiXNY, and other alloys containing one or more of these materials.
  • As is still further well known, the requirement of being compatible with electroplating copper is fulfilled by choosing a seed layer that does not react spontaneously (i.e., by displacement) with copper electrolyte used during the electroplating. This is satisfied by requiring that the seed layer does not comprise a metal or alloy that is less noble than copper.
  • Typically, a seed layer comprises a copper layer that is deposited by a “dry” technique, such as by physical vapor deposition (“PVD”), including but not limited to sputtering, ion plating, or evaporation, or by chemical vapor deposition (“CVD”). However, the seed layer may also be deposited by a “wet” electroless plating process. In such cases, the copper seed layer thickness is typically in a range of about 300 Å to about 2,000 Å on the field (i.e., the top surface of the wafer outside trenches and via openings). In such cases, the barrier layer is typically deposited to a thickness of about 50 Å to about 500 Å (on the field) by either a PVD or a CVD technique.
  • The PVD techniques include, for example and without limitation, techniques such as evaporation, ion plating, and various sputtering techniques. Sputtering techniques include, for example and without limitation, techniques such as DC and/or RF plasma sputtering, bias sputtering, collimated sputtering, magnetron sputtering, Hollow Cathode Magnetron (HCM) sputtering, Self Ionized Plasma (SIP) sputtering, or Ionized Metal Plasma (IMP) sputtering. As is well known in the art, in general, due to their anisotropic and directional (“line of sight”) nature, the PVD techniques produce non-conformal deposition. For a comprehensive description of sputtering techniques and their applications, see for example an article entitled “Sputter Deposition Processes” by R. Parsons, pp. 177-208 in Thin Film Processes II, edited by J. L. Vosen and W. Kern, Academic Press (1991).
  • However, some of the PVD techniques (such as ion plating) may produce, under certain conditions, a relatively more conformal deposition. For a comprehensive description of the ion plating technique and its applications, see for example an article entitled “The Cathodic Arc Plasma Deposition of Thin Films” by P. C. Johnson, pp. 209-285 in Thin Film Processes II, edited by J. L. Vosen and W. Kern, Academic Press (1991). The CVD techniques include, for example and without limitation, thermal CVD, Plasma Enhanced CVD (“PECVD”), Low Pressure CVD (“LPCVD”), High Pressure CVD (“HPCVD”), Atomic Layer CVD (“ALCVD”), and Metallo Organic CVD (“MOCVD”).
  • Atomic Layer Deposition or Alternating Layer Deposition (ALD) is generally considered to be a CVD (ALCVD) technique, or a particular variant thereof. However, it is sometimes referred to as a class by its own. Similar to other CVD techniques, the ALD techniques include particular variants such as, for example, Thermal ALD, Low Pressure ALD (LPALD) and Radical Assisted or Plasma Enhanced ALD (PEALD). In general, the ALD techniques produce more conformal deposits, at lower deposition temperatures, than other CVD techniques. However, the ALD techniques are generally much slower than the other CVD techniques.
  • As defined herein, the term “ALD” refers to (and includes) all variants of the ALD techniques, such as, for example and without limitation, Thermal ALD or ALCVD, Low Pressure ALD (LPALD) and Radical Assisted or Plasma Enhanced ALD (PEALD). For a comprehensive description of CVD techniques and their applications, see for example an article entitled “Thermal Chemical Vapor Deposition” by K. F. Jensen and W. Kern, pp. 283-368 in Thin Film Processes II, edited by J. L. Vosen and W. Kern, Academic Press (1991). For example, one precursor used for CVD Cu is Cupraselect™, which precursor is sold by Schumacher, Inc. Another precursor is Cu(II) hexafluoroacetylacetonate. The latter can be reacted with hydrogen gas to obtain high purity copper. As is well known in the art, in general, due to their isotropic and non-directional nature, the CVD (and ALD) and the electroless techniques produce conformal deposition, with substantially uniform thickness over the entire surface, including over the field and the bottom and sidewall surfaces of the openings. However, under certain conditions, some of the CVD (and ALD) techniques (such as PECVD or PEALD) can be made to deposit less conformal or non-conformal layers.
  • Aspect ratio (“AR”) is typically defined as a ratio between a vertical dimension, D (depth), of an opening and its smallest lateral dimension, W (width, or diameter): AR=D/W. Usually, in electroplating metals or alloys to fill patterns having high aspect ratio openings (for example, in an insulator or a dielectric), the electroplating rate inside openings is slower than the rate outside openings (i.e., on the field). Further, the higher the AR of the openings, the slower the electroplating rate is inside. This results in poor or incomplete filling (voids) of high AR openings, when compared with results achieved with low AR openings.
  • To overcome this problem in the prior art, commercial copper electrolytes contain additives that adsorb and locally inhibit (or suppress) growth outside the openings (i.e., on the field). Further, growth inhibition inside the openings is decreased from that achieved outside the openings due to slow replenishment of the additives inside the openings as compared with replenishment of the additives on the field. As a result, the deposition rate inside the openings is faster than outside, thereby facilitating void-free copper fill. Other well known reasons for voids in copper electrofill include discontinuous (or incomplete coverage of) seed layers inside the openings, and pinching-off of opening walls (for example, by overhangs of the top corners) prior to plating.
  • The openings may consist of vias, trenches, or patterned photoresist. As is well known, in damascene or dual damascene processes, an insulating or a dielectric layer is pattern-etched to form openings therein. Next, a barrier (or an adhesion) metallic layer and a seed layer are deposited over the insulating layer to metallize its field (the surface surrounding openings), as well as the sidewalls and bottom surfaces of the openings. Next, copper electroplating is performed over the entire metallized surface, including the top surface (the field) surrounding the openings, and inside the patterned openings. Finally, excess plated copper overlying the openings and the top surface (the field) of the insulating layer, as well as the barrier and seed layers on the field, are removed, for example, by a mechanical polishing or by a chemical mechanical polishing (“CMP”) technique. The end result is copper filled openings (trenches and vias), including bottom and sidewall surfaces lined by the barrier and seed layers. In today's most advanced copper filling processes for trenches and vias, the openings have ARs as high as 5:1 (D=1.25 μm; W=0.25 μm). Future trenches and vias openings will likely require W=0.10-0.13 μm, or narrower, and AR=8:1-20:1, or larger.
  • As semiconductor device dimensions continue to shrink, there is an ever increasing demand for narrower interconnect cross-sections and, thus, smaller openings and larger aspect ratios (AR) during the copper electrofill. To ensure void-free copper filling, the seed layer inside the openings must completely cover the bottom and the sidewall surfaces inside the openings without discontinuities, or else there will be voids in the copper electrofill. On the other hand, the seed layer must not be so thick on the sidewalls that it pinches-off or seals the very narrow openings and should not overhang the top corners of the openings. It should leave enough room inside the small openings for a successive electrofilling step. In contrast to these requirements with respect to the openings, the seed layer must be sufficiently thick on the top surface (the field) to provide a low-resistive electrical path that facilitates uniform plating across the surface of the wafer. That is, the seed layer must be sufficiently thick (for example, a Cu seed layer thickness is preferably at least about 1,000 Å) on the field to avoid radial non-uniformity across the wafer caused by a voltage (or IR) drop between a contact at the edge of the wafer to the center of the wafer. Any voltage drop (and resulting non-uniformity therefrom) becomes more severe with increasing wafer size (200 mm to 300 mm), and as the resistance of the seed layer increases due to insufficient thickness and/or high resistivity. To ensure a sufficiently low-resistance seed layer, it is now common to deposit a copper seed layer to a thickness of about 1,000 Å to about 2,000 Å on the top surface (field) by a PVD technique. However, the typical conformal seed layer thickness of about 300 Å to about 800 Å (on the field), deposited by the CVD or electroless techniques, may not be sufficient.
  • Neither of these techniques satisfies all of the above-identified requirements. The non-conformal PVD techniques, while providing adequate thickness on the field, fail to provide continuous and complete sidewall and/or bottom coverage inside very narrow openings with large AR. They also result in substantial overhangs at the top corners of the openings. The conformal techniques (such as CVD, ALD, or electroless), on the other hand, while providing continuous and complete sidewalls and bottom coverage of the seed layer inside very narrow openings, pinch-off or seal the small openings when used at thicknesses required on the field for a low-resistance electrical path. As a result, typical conformal seed layers are too thin on the field and too thick inside the very narrow openings.
  • As one can readily appreciate from the above, a need exists in the art for a method and apparatus to produce a continuous seed layer on the sidewalls and bottom of the openings, while maintaining sufficient thickness on the field to facilitate good uniformity across the wafer and void-free copper electrochemical filling of very narrow openings having high aspect ratios.
  • SUMMARY OF THE INVENTION
  • Embodiments of the present invention advantageously satisfy the above-identified need in the art and provide multiple seed layer structures used to produce void-free copper or silver interconnect by electrochemical filling of small openings having high aspect ratios.
  • One embodiment of the present invention is a device which includes at least a portion of a void-free electroplated metallic interconnect embedded in an opening, said opening having sidewalls, said sidewalls include at least one dielectric layer, wherein the opening has an aspect ratio in a range from 7:1 to 20:1, and wherein the portion of the electroplated metallic interconnect includes a material selected from a group consisting of Cu, Ag, and alloys including at least one of these metals.
  • BRIEF DESCRIPTION OF THE FIGURES
  • FIG. 1 shows a cross-sectional view of an inventive structure formed in accordance with one embodiment of the present invention wherein a first, substantially conformal seed layer is deposited over a barrier layer, followed by a second, substantially non-conformal seed layer deposited over the first, conformal seed layer;
  • FIG. 2 shows a cross-sectional view of the inventive structure of FIG. 1 after removing excess plated copper or silver overlying an opening and the field, as well as removing the seed layers and barrier layer overlying the field surrounding the opening;
  • FIG. 3 shows a cross-sectional view of an inventive structure formed in accordance with an alternative embodiment of the present invention wherein a first, substantially non-conformal seed layer is deposited over a barrier layer, followed by a second, substantially conformal seed layer deposited over the first, non-conformal seed layer;
  • FIG. 4 shows a cross-sectional view of the inventive structure of FIG. 3 after removing excess plated copper or silver overlying an opening and the field, as well as removing the seed layers and barrier layer overlying the field surrounding the opening;
  • FIG. 5 shows a scanning electron microscope (“SEM”) photograph of a cleaved cross-section (with a tilt angle of 30°) of a trench (the trench is ˜0.10 μm wide, ˜1.4 μm deep, and has an aspect ratio of ˜14:1) having seed layers formed in accordance with one embodiment of the present invention;
  • FIG. 6 shows an SEM photograph of the trench shown in FIG. 5 without a tilt, and with a larger enlargement; and
  • FIG. 7 shows a schematic (not to scale) top view of a cluster tool apparatus, and a frontal view of its controller (e.g. computer), in accordance with other embodiments of the invention.
  • DETAILED DESCRIPTION
  • FIG. 1 shows a cross-sectional view of an inventive structure formed in accordance with one embodiment of the present invention wherein a substantially conformal seed layer is deposited over a barrier layer, followed by a substantially non-conformal seed layer deposited over the substantially conformal seed layer. As defined herein, a “substantially conformal seed layer” is a layer whose thickness on the sidewalls of an opening (at about mid-depth) is about 25-100% of its thickness on the field. Similarly, a “substantially non-conformal seed layer” is defined herein as a layer whose thickness on the sidewalls of an opening (at about mid-depth) is less than about 25% of its thickness on the field. In accordance with this embodiment, the conformal seed layer provides step coverage inside the openings 16, while the non-conformal seed layer provides a low resistance electrical path over the top surface (field 14) surrounding the openings to enable uniform plating across the substrate (or wafer). As defined herein, sidewall or step coverage means continuous sidewall coverage, and conformal and non-conformal seed layers, respectively, mean substantially conformal and substantially non-conformal seed layers, respectively. To enable uniform plating, it is preferable that the thickness of the combined seed layers be at least about 1,000 Å on the field. However, the combined thickness of the seed layers on the sidewalls should be thin enough to avoid pinching-off or sealing the openings and should leave enough room inside the openings for a successive electrofilling step.
  • Narrow openings (e.g., 0.18 μm, or narrower), with large aspect ratios, require minimization of the conformal seed layer thickness. On one hand, the conformal seed layer must be sufficiently thick to ensure adequate sidewall coverage and, on the other hand, it must not be too thick, to pinch-off the opening. In such cases, in order to provide sufficient thickness of the combined seed layers on the field (this is required to provide adequate electrical conduction on the field), the thickness of the non-conformal seed layer (on the field) may need to exceed the thickness of the conformal seed layer (on the field). In wider openings, with smaller aspect ratios, the thickness of the non-conformal seed layer may, or may not, exceed the thickness of the conformal seed layer (on the field).
  • In accordance with this embodiment of the present invention, barrier layer 18 is deposited over the entire surface of wafer 10, including over patterned insulating layer 12 (having had opening 16 patterned therein in accordance with any one of a number of methods that are well known to those of ordinary skill in the art), using a conformal Chemical Vapor Deposition (“CVD”) technique. Although the term barrier layer is used, it should be understood by those of ordinary skill in the art that the term barrier layer includes examples wherein: (a) the barrier layer acts both as an adhesion layer and as a barrier layer; (b) a barrier layer separate from an adhesion layer is used; and (c) a multiplicity of layers is used, some acting as adhesion layers, some acting as barrier layers, or some acting as both. Further, although the term wafer is used, this also includes the term substrate as it is used in the art. Still further, although the present invention is described in the context of opening 16, in practice, a multiplicity of openings are patterned and filled in accordance with the present invention.
  • Advantageously, in accordance with the present embodiment, the use of a conformal CVD (preferably ALD) technique to deposit barrier layer 18 ensures substantially complete and continuous coverage of sidewall surfaces inside opening 16. However, it is within the scope of the present invention that barrier layer 18 may also be deposited using a Physical Vapor Deposition (“PVD”) technique that provides substantially continuous sidewall coverage. In accordance with the present invention, barrier layer 18 may comprise, for example and without limitation, a material selected from Ta, TaNX, Cr, CrNX, Ti, TiNX, W, WNX, TaSiXNY, TiSiXNY, WSiXNY, and other alloys containing one or more of these materials. Further, the thickness of barrier layer 18 can be in a range of about 30 Å to about 500 Å, and more preferably in a range of about 50 Å to about 300 Å. Since barrier layer 18 occupies a certain fraction of interconnects formed in accordance with the present invention, and since barrier layer 18 has a relatively large resistivity, its thickness should be minimized. However, the thickness of barrier layer 18 should be sufficiently large to mitigate copper out-diffusion and to provide continuous sidewall coverage inside opening 16. Many CVD techniques and PVD techniques are well known to those of ordinary skill in the art for forming barrier layer 18.
  • Next, substantially conformal seed layer 20 is deposited over barrier layer 18. Conformal seed layer 20 can be preferably deposited by using a CVD or an ALD technique, but it can also be deposited by using an electroless technique or any other substantially conformal deposition technique. Many CVD techniques and electroless techniques are well known to those of ordinary skill in the art for forming conformal seed layer 20. For example and without limitation, the CVD techniques may include thermal CVD, Plasma Enhanced CVD (“PECVD”), Low Pressure CVD (“LPCVD”), High Pressure CVD (“HPCVD”), Atomic Layer CVD (ALCVD), and Metallo Organic CVD (“MOCVD”). Atomic Layer Deposition (ALD) is generally considered to be a CVD technique, or a particular variant thereof. As defined herein, the term “ALD” refers to (and includes) all variants of the ALD techniques, such as, for example and without limitation, ALCVD, Low Pressure ALD (LPALD) and Plasma Enhanced ALD (PEALD).
  • The thickness of conformal seed layer 20 can be in a range of about 20 Å to about 500 Å, preferably in a range of about 50 Å to about 500 Å, and more preferably in a range of about 100 Å to about 300 Å. Finally, substantially non-conformal seed layer 22 is deposited over conformal seed layer 20. Non-conformal seed layer 22 can be preferably obtained using a PVD technique. Many PVD techniques are well known to those of ordinary skill in the art for forming non-conformal seed layer 22. For example and without limitation, the PVD techniques include evaporation, ion plating, and various sputtering techniques. Sputtering techniques may include, for example and without limitation, techniques such as DC and/or RF plasma sputtering, bias sputtering, collimated sputtering, magnetron sputtering, Hollow Cathode Magnetron (HCM) sputtering, Self Ionized Plasma (SIP) sputtering, or Ionized Metal Plasma (IMP) sputtering. The thickness of non-conformal seed layer 22 can be in a range of about 100 Å to about 3,000 Å, and more preferably in a range of about 500 Å to about 2,000 Å (on the field).
  • In accordance with the present invention, the conformal and non-conformal seed layers may comprise the same material, or they may comprise different materials. Although copper is commonly used as a seed layer, a highly conductive silver (Ag) layer can also be used. In fact, Ag has lower resistivity than that of Cu and, therefore, can be formed with a smaller thickness than that required when using Cu. Thus, conformal seed layer 20 and non-conformal seed layer 22 may comprise, for example, a material selected from Cu, Ag, or alloys comprising one or more of these metals.
  • Those of ordinary skill in the art will readily understand that, although the above-described structure comprises individual conformal and non-conformal seed layers 20 and 22, respectively, these individual layers may not be discernible or distinguishable from each other in the structure after complete fabrication of the seed layers, particularly if they are comprised of the same metal or alloy.
  • Due to the non-directional, isotropic nature of CVD deposition techniques, the thickness of the CVD layers is substantially uniform over the entire surface (i.e., conformal), including over field 14, and over bottom and sidewall surfaces inside opening 16. In reality, however, even the best conformal CVD layers are thicker over the field than inside the openings. In fact, it is quite common for CVD Cu seed layers inside openings to have a thickness of about 80% of that over the field. Also, the thickness of a typical CVD barrier layer inside the openings may only be ˜50% of that over the field. Thus, even the best CVD layers exhibit some overhangs at the top corners of the openings.
  • EXAMPLE 1
  • The following presents an example of an embodiment of the inventive method for 0.18 μm wide vias or trenches. In accordance with this embodiment, one deposits, by a CVD technique, a barrier layer comprised of about 200 Å of TaNX or WNX, then one deposits, by a CVD technique, a conformal seed layer comprised of about 300 Å of Cu, finally one deposits, by a PVD technique, a non-conformal seed layer comprised of about 900 Å of Cu (as measured on the field). This will result in a total combined (including the barrier) thickness of about 400 Å inside the openings: {Cu(PVD˜50 Å)/Cu(CVD˜250 Å)/TaNX(CVD˜100 Å)} and a total combined Cu seed layers and barrier layer thickness of about 1,400 Å on the field: {Cu(PVD˜900 Å)/Cu(CVD˜300 Å)/TaNX(CVD˜200 Å}. Advantageously, in accordance with the present invention, the inventive “two-step” seed layer deposition ensures a continuous seed layer having excellent step coverage, and a low-resistance electrical path on the field to ensure uniform copper plating across the wafer. It may be noted that although the combined thickness of the copper seed layers inside the openings is only about 300 Å, due to the very short distance to the field (on the order of about 1 μm), the voltage drop from the field to the inside of the openings is negligible. Thus, the combined thickness of the “two-step” seed layers inside the openings is adequate for copper plating therein. In fact, if necessary, the combined thickness of the “two-step” seed layers inside the openings can be further decreased (to a range from about 20 Å to about 200 Å, and preferably to a range from about 100 Å to about 200 Å) to enable void-free copper filling of even narrower openings (for example, below 0.10-0.13 μm). In the above example, the combined thicknesses of the barrier and seed layers at the sidewalls of the openings is about 400 Å on each side, thus occupying about 800 Å of the 1,800 Å opening. This leaves enough room (˜1,000 Å), without sealing or pinching-off of the top corners, to facilitate electroplating inside the openings.
  • In accordance with a preferred embodiment of the present invention, substantially non-conformal seed layer 22 is thicker than substantially conformal seed layer 20 on the field 14, thereby providing most of the field conduction.
  • After depositing seed layers 20 and 22 shown in FIG. 1, substrate 10 is placed in a copper electroplating bath, and electroplating is carried out in accordance with any one of a number of methods that are well known to those of ordinary skill in the art to deposit a thickness of copper sufficient to fill patterned opening 16, with some excess, and to cover field 14 surrounding opening 16. Finally, excess plated copper overlying opening 16 and overlying field 14, as well as seed layers 20 and 22 and barrier layer 18 overlying field 14, are removed using any one of a number of techniques that are well known to those of ordinary skill in the art, for example, using a mechanical polishing or a chemical mechanical polishing (CMP) technique. Other removal techniques, such as wet etching techniques (chemical, electrodissolution, or electropolishing) or dry etching techniques (ion milling, reactive ion etching or RIE, or sputter etching) may also be used to remove excess plated copper overlying opening 16 and field 14, and to remove seed layers 20 and 22 and barrier metallic layer 18 overlying field 14. It should be clear to those of ordinary skill in the art that removal may also be accomplished using a combination of techniques, including those identified above.
  • Although the detailed description above refers to filling opening 16 by electroplating copper, it is within the scope of the present invention to electrofill opening 16 with any low resistivity material, such as a material selected from Cu, Ag, or an alloy comprising one or more of these metals. In fact, silver (Ag) has lower resistivity than that of Cu, and may be attractive for further reducing the dimensions of the interconnects.
  • FIG. 2 shows a cross-sectional view of the inventive structure of FIG. 1 after removing excess plated copper (or silver) 24 overlying opening 16 and field 14, and removing seed layers 20 and 22 and barrier layer 18 overlying field 14 surrounding opening 16. FIG. 2 illustrates the filling of openings (trenches and vias) with electroplated copper (or silver) 24, as well as the lining of the bottom and sidewall surfaces of opening 16 by barrier layer 18 and seed layers 20 and 22. As shown in FIG. 2, all metallic layers were removed from field 14 of insulating layer 12 which surrounds embedded electroplated copper (or silver) interconnect 24.
  • Those of ordinary skill in the art will readily understand that, although the above-described structure comprises metal (or alloy) layer 24 electroplated over separately deposited conformal and non-conformal seed layers 20 and 22, respectively, following the electroplating of the metallic layer 24, these individual layers may not be discernible or distinguishable from each other in the structure, particularly if they are comprised of the same metal or alloy. Thus for example, if seed layers 20 and 22 and electroplated metal 24 are comprised of Cu, they may not be discernible from each other inside the filled openings 16, or on field 14 (prior to their removal from the field).
  • FIG. 3 shows a cross-sectional view of an inventive structure formed in accordance with an alternative embodiment of the present invention wherein a first, substantially non-conformal seed layer is deposited over a barrier layer, followed by a second, substantially conformal seed layer deposited over the first, non-conformal seed layer. The non-conformal seed layer provides a low resistance electrical path over the top surface (field 114) surrounding the openings 116 to enable uniform plating across the substrate (or wafer), while the conformal seed layer provides continuous and complete bottom and sidewalls (or step) coverage inside the openings.
  • In accordance with the alternative embodiment of the inventive method of the present invention, barrier layer 118 is deposited over the entire surface of wafer 110, including over patterned insulating layer 112 (having had opening 116 patterned therein in accordance with any one of a number of methods that are well known to those of ordinary skill in the art), using a conformal Chemical Vapor Deposition (“CVD”) technique. Although the term barrier layer is used herein, it should be understood by those of ordinary skill in the art that the term barrier layer includes examples wherein: (a) the barrier layer acts both as an adhesion layer and as a barrier layer; (b) a barrier layer separate from an adhesion layer is used; and (c) a multiplicity of layers is used, some acting as adhesion layers, some acting as barrier layers, or some acting as both. Further, although the term wafer is used, this also includes the term substrate as it is used in the art. Still further, although the present invention is described in the context of opening 116, in practice, a multiplicity of openings are patterned and filled in accordance with the present invention.
  • Advantageously, in accordance with the present embodiment, the use of a conformal CVD (preferably ALD) technique to deposit barrier layer 118 ensures complete and continuous coverage of sidewall surfaces inside opening 116. However, it is within the scope of the present invention that barrier layer 118 may also be deposited using a Physical Vapor Deposition (“PVD”) technique that provides substantially continuous sidewall coverage. In accordance with the present invention, barrier layer 118 may comprise, for example and without limitation, a material selected from Ta, TaNX, Cr, CrNX, Ti, TiNX, W, WNX, TaSiXNY, TiSiXNY, WSiXNY, and other alloys containing one or more of these materials. Further, the thickness of barrier layer 118 can be in a range of about 30 Å to about 500 Å, and more preferably in a range of about 50 Å to about 300 Å. Since barrier layer 118 occupies a certain fraction of interconnects formed in accordance with the present invention, and since barrier layer 118 has a relatively large resistivity, its thickness should be minimized. However, the thickness of barrier layer 118 should be sufficiently large to mitigate copper out-diffusion and to provide continuous sidewall coverage inside opening 116. Many CVD techniques and PVD techniques are well known to those of ordinary skill in the art for forming barrier layer 118.
  • Next, a substantially non-conformal seed layer 126 is deposited over barrier layer 118. Non-conformal seed layer 126 can be preferably obtained using a PVD technique. Many PVD techniques are well known to those of ordinary skill in the art for forming non-conformal seed layer 126. For example and without limitation, the PVD techniques may include, evaporation, ion plating, and various sputtering techniques. Sputtering techniques include, for example and without limitation, techniques such as DC and/or RF plasma sputtering, bias sputtering, collimated sputtering, magnetron sputtering, Hollow Cathode Magnetron (HCM) sputtering, Self Ionized Plasma (SIP) sputtering, or Ionized Metal Plasma (IMP) sputtering. The thickness of non-conformal seed layer 126 can be in a range of about 100 Å to about 3,000 Å, and more preferably in a range of about 500 Å to about 2,000 Å (on the field). Finally, a substantially conformal seed layer 128 is deposited over non-conformal seed layer 126. Conformal seed layer 128 can be preferably obtained using a CVD or an ALD technique, or electroless technique, or any other substantially conformal deposition technique. Many CVD techniques and electroless techniques are well known to those of ordinary skill in the art for forming conformal seed layer 128. For example and without limitation, the CVD techniques may include thermal CVD, Plasma Enhanced CVD (“PECVD”), Low Pressure CVD (“LPCVD”), High Pressure CVD (“HPCVD”), Atomic Layer CVD (ALCVD), and Metallo Organic CVD (“MOCVD”). Atomic Layer Deposition (ALD) is generally considered to be a CVD technique, or a particular variant thereof. As defined herein, the term “ALD” refers to (and includes) all variants of the ALD techniques, such as, for example and without limitation, ALCVD, Low Pressure ALD (LPALD) and Plasma Enhanced ALD (PEALD). The thickness of conformal seed layer 128 can be in a range of about 20 Å to about 500 Å, preferably in a range of about 50 Å to about 500 Å, and more preferably in a range of about 100 Å to about 300 Å.
  • In accordance with a preferred embodiment of the present invention, substantially non-conformal seed layer 126 is thicker than substantially conformal seed layer 128 on the field 114, thereby providing most of the field conduction.
  • In accordance with the present invention, the conformal and non-conformal seed layers may comprise the same material, or they may comprise different materials. Although copper is commonly used as a seed layer, a highly conductive silver (Ag) layer can also be used. Non-conformal seed layer 126 and conformal seed layer 128 may comprise, for example, a material selected from Cu, Ag, or alloys comprising one or more of these metals.
  • Although reference is made here to individual non-conformal and conformal seed layers 126 and 128, respectively, it should be understood by those of ordinary skill in the art that, following the deposition of these seed layers, they may not be discernible or distinguishable from each other, particularly if they are comprised of the same metal or alloy.
  • After depositing seed layers 126 and 128 shown in FIG. 3, substrate 110 is placed in a copper electroplating bath, and electroplating is carried out in accordance with any one of a number of methods that are well known to those of ordinary skill in the art to deposit a thickness of copper sufficient to fill patterned opening 116, with some excess, and to cover field 114 surrounding opening 116. Finally, excess plated copper overlying opening 116 and field 114 of insulating layer 112, as well as seed layers 126 and 128 and barrier layer 118 overlying field 114, are removed using any one of a number of techniques that are well known to those of ordinary skill in the art, for example, using a mechanical polishing or a chemical mechanical polishing (CMP) technique. Other removal techniques, such as wet etching techniques (chemical, electrodissolution, or electropolishing) or dry etching techniques (ion milling, reactive ion etching or RIE, or sputter etching) may also be used to remove excess plated copper overlying opening 116 and field 114, and to remove seed layers 126 and 128 and barrier layer 118 overlying field 114. It should be clear to those of ordinary skill in the art that removal may also be accomplished using a combination of techniques, including those identified above.
  • Although the detailed description above refers to filling opening 116 by electroplating copper, it is within the scope of this invention to electrofill opening 116 with any low resistivity material, such as a material selected from Cu, Ag, or alloys comprising one or more of these metals. In fact, silver (Ag) has lower resistivity than that of Cu, and may be attractive for further reducing the dimensions of the interconnects.
  • FIG. 4 shows a cross-sectional view of the inventive structure of FIG. 3 after removing excess electroplated copper (or silver) 130 overlying opening 116 and field 114, and removing seed layers 126 and 128 and barrier layer 118 overlying field 114 surrounding opening 116. FIG. 4 illustrates the filling of openings (trenches and vias) with electroplated copper (or silver) 130, as well as the lining of the bottom and sidewall surfaces of opening 116 by barrier layer 118 and seed layers 126 and 128. As shown in FIG. 4, all metallic layers were removed from field 114 of insulating layer 112 which surrounds embedded electroplated copper (or silver) interconnect 130.
  • Although reference is made here to individual electroplated metal or alloy 130 over seed layers 126 and 128, it should be understood by those of ordinary skill in the art that, following the electroplating of metallic layer 130, the individual layers may not be discernible or distinguishable from each other, particularly if they are comprised of the same metal or alloy. Thus for example, if seed layers 126 and 128 and electroplated metal 130 are comprised of Cu, they may not be discernible from each other inside the filled openings 116, or on field 114 (prior to their removal from the field).
  • EXAMPLE 2
  • FIGS. 5 and 6 show scanning electron microscope (“SEM”) photographs of a cross-section of a 0.10 μm wide trench having Cu seed layers prepared in accordance with one embodiment of the invention. In accordance with this embodiment, a pattern of trenches was formed in a SiO2 insulating layer. The trenches were about 0.10 μm wide (at their bottom) and about 1.4 μm deep (thereby having an aspect ratio of about 14:1). Next, a barrier layer (WNX) was deposited using a CVD technique. Next, a relatively thin, conformal Cu seed layer was deposited using a CVD technique. The barrier layer and thin, conformal Cu seed layer is seen at 501 in FIG. 6. In accordance with this embodiment, the combined thickness of the barrier and the CVD Cu seed layer was about 500 Å on the field, and about 400-500 Å on the sidewalls and bottom of the trenches. Next, a non-conformal PVD Cu seed layer having a thickness of about 1,400 Å (on the field) was deposited by sputtering. In this embodiment, the non conformal PVD Cu seed layer was applied in two steps and is seen at 510 in FIG. 6. The end result, as shown in FIGS. 5 and 6, was a combined thickness (including the barrier and the Cu seed layers) of only about 400-500 Å on the sidewalls and bottom of the trench (with excellent continuity and uniformity there), and about 1,900 Å on the field, without pinching-off of the trench. One should note that, while FIG. 5 shows the cross-section with a tilt of about 30° and an enlargement of 20,000× (thus providing also a partial view of the top surface), FIG. 6 shows the same cross-section with an enlargement of 40,000× and without a tilt.
  • EXAMPLE 3
  • Similar to Example 2 above, trenches ˜0.10 μm wide (at their bottom) and ˜1.4 μm deep (thereby having an aspect ratio of ˜14:1) were formed in a SiO2 insulating layer. Next, a barrier layer (WNX) was deposited using a CVD technique. Next, a relatively thin, conformal Cu seed layer was deposited using a CVD technique. The combined thickness of the barrier layer and the CVD Cu layer was ˜500 Å on the field, and ˜400-500 Å on the sidewalls and bottom of the trenches. Next, a non-conformal PVD Cu seed layer having a thickness of ˜500 Å (on the field) was deposited by sputtering. The end result was a combined thickness (including the barrier layer and the Cu seed layers) of only about 400-500 Å on the sidewalls and bottom of the trenches (with excellent continuity and uniformity there), and about 1,000 Å on the field, without pinching-off the trenches.
  • It should be understood that the scope of the present invention is not limited to the embodiments described above with respect to FIG. 1 and FIG. 3. For example, in accordance with further embodiments of the present invention, a relatively thin (50-300 Å) “Flash” PVD seed layer can be deposited first, followed by a relatively thin (20-300 Å) conformal CVD (preferably ALD) or electroless seed layer, and finally followed by a relatively thick (300-2,000 Å) PVD seed layer to produce three separately deposited seed layers. The relatively thick top PVD seed layer is preferably deposited under conditions which minimize overhangs at the top corners of the openings.
  • Adhesion of a metallo-organic CVD (MOCVD) deposited Cu seed layer to the underlying barrier layer is rather poor, and may not be adequate for use in devices when mechanical or chemical mechanical polishing (CMP) processing follows Cu plating. In addition, when an MOCVD Cu layer is deposited directly over a barrier layer containing a refractory metal, further problems arise. In particular, the morphology, uniformity, and electrical resistivity of the MOCVD Cu layer may not be adequate for use in devices. It is believed that these problems are due to the high affinity of the refractory metal in the barrier layer to oxygen and/or carbon atoms. Specifically, during the initial stages of MOCVD Cu deposition, the refractory metal of the barrier layer spontaneously reacts with carbon or oxygen containing species (from the organic part of the metallo-organic compound) to form an oxide, carbide, or a mixed oxide-carbide interfacial layer between itself and the depositing Cu. Such an interfacial layer adversely impairs the adhesion of the MOCVD Cu layer. Cu (as well as other noble metals) does not adhere well to oxide or carbide layers, and requires a clean metal-to-metal bond in order to adhere well to another metal. Similarly, the oxide, carbide, and/or oxide-carbide interfacial layer impairs proper nucleation of the MOCVD Cu on the refractory metal barrier layer. This adversely affects the morphology, uniformity, and resistivity of the deposited MOCVD Cu seed layer.
  • In accordance with one embodiment of the present invention, at least an initial stage of CVD or ALD Cu deposition is carried out utilizing high purity, inorganic Cu compounds (precursors), such as, for example and without limitation, compounds containing hydrogen and/or halogen atoms such as chlorides or fluorides, which do not contain oxygen or carbon atoms. The resulting clean metal-to-metal interface between a barrier layer containing a refractory metal and the depositing copper ensures good adhesion, morphology, uniformity, and low electrical resistivity of the CVD Cu layer. In a further embodiment, the entire CVD or ALD Cu layer can be deposited using the inorganic precursors. In a still further embodiment, only the initial stage of the CVD (or ALD) Cu is carried out using inorganic precursors, switching later to an MOCVD Cu deposition process, to form the rest of the CVD Cu layer.
  • In accordance with one embodiment of the present invention that solves the problems involved with the deposition of an MOCVD Cu layer on a barrier deposited layer containing a refractory metal, a first, relatively thin, “Flash” PVD seed layer is deposited to enhance adhesion to the barrier layer and/or to improve grain morphology and uniformity of a subsequently deposited CVD seed layer.
  • In another embodiment of the invention, an electroless deposited metal or alloy is first deposited on the barrier layer, followed by the CVD Cu seed layer. In another embodiment, following the deposition of the electroless metal or alloy on the barrier layer, a PVD Cu seed layer is deposited directly on the electroless deposited metal or alloy. In yet another embodiment, a relatively thick PVD Cu seed layer is first deposited on the barrier layer, followed by a relatively thin electroless Cu seed layer deposited over the PVD Cu seed layer. The electroless deposited metal or alloy may comprise a material selected from the group consisting of electroless deposited Cu, Au, Ag, Ni, Co, or an alloy comprising one or more of these metals. The thickness of this electroless deposited metal or alloy can be in the range of 10-500 Å, preferably in the range of 20-300 Å, and more preferably be in the range of 25-100 Å.
  • Exposure of wafers to the atmosphere during transport from one deposition chamber to another may cause deleterious oxidation and/or contamination of the surface of barrier and/or seed layers. Such exposure should, therefore, be avoided or minimized.
  • In accordance with one embodiment of the present invention, conformal and non-conformal seed layers are deposited in an apparatus where the conformal and non-conformal seed layer deposition steps can be carried out without breaking vacuum, or without exposing the wafer to the atmosphere between the deposition steps. In accordance with this embodiment, the apparatus may comprise two or more chambers, at least one chamber for deposition of the conformal seed layer, and at least another chamber for deposition of the non-conformal seed layer. In a preferred embodiment of the present invention, the apparatus further comprises a chamber for deposition of the barrier layer, preferably by a CVD or ALD technique. The barrier layer may be deposited in a separate chamber or it may be deposited in one of the chambers used to deposit either the conformal, or the non-conformal, seed layers.
  • FIG. 7 shows apparatus 7000 that is fabricated in accordance with a preferred embodiment of the invention. As shown in FIG. 7, apparatus 7000 comprises cluster tool 70 which operates in accordance with input from controller 80 in a manner that is well known to those of ordinary skill in the art. As further shown in FIG. 7, cluster tool 70 includes input loadlock 71 and output loadlock 72. As is well known to those of ordinary skill in the art, loadlocks 71 and 72 enable wafers to be inserted into and removed from cluster tool 70, respectively. Although FIG. 7 shows separate input and output loadlocks, it is also within the spirit and scope of the present invention to use a single loadlock for both input and output of wafers.
  • As is well known to those of ordinary skill in the art, once wafer 74 is inserted into transfer chamber 73 of cluster tool 70, it can be transferred between the various processing chambers (for example, processing chambers 75-79) without breaking vacuum, or without exposure to the atmosphere. As is shown in FIG. 7, cluster tool 70 comprises CVD or ALD barrier layer deposition chamber 76, PVD Cu seed layer deposition chamber 77, and CVD or ALD Cu seed layer deposition chamber 78. In addition, FIG. 7 shows several other processing chambers, for example, processing chambers 75 and 79, which can be used for other processing steps that are well known to those of ordinary skill in the art, such as pre-cleaning, cooling, or as extra deposition chambers. Although FIG. 7 shows separate CVD (or ALD) chambers for depositing a barrier layer and Cu seed layers, it is also within the scope of the invention to deposit both types of layers in the same CVD (or ALD) chamber.
  • Controller 80 is an apparatus which is well known to those of ordinary skill in the art, that is used to control the operation of cluster tool 70. As such, controller 80 determines the sequence and duration of movements and stays of wafer 74: (a) to and from loadlocks 71 and 72; and (b) to and from the various processing chambers 75-79. As is also well known to those of ordinary skill in the art, controller 80 controls the specific process sequence and process parameters for operation of the various ones of processing chambers 75-79, sometimes referred to in the art as “recipes.” For example, in PVD Cu seed layer deposition chamber 77, among other things, controller 80 controls the duration of the sputter deposition, the background pressure, the sputtering gas (such as Argon) pressure and flow rate, the cathodic voltage and power, and/or bias voltage applied to the wafer. Lastly, as is also well known to those of ordinary skill in the art, controller 80 performs these functions in accordance with specific recipes which are data structures that dictate the operation of controller 80 software. The data structures are typically stored on computer readable media that are input to controller 80 under the control of operation software, which operation software itself is typically stored on a computer readable medium. In accordance with a preferred embodiment of the present invention, recipes are input to controller 80 to cause it to control cluster tool 70 to process wafers in the manner described above to deposit a barrier layer and Cu seed layers without breaking vacuum or exposing a wafer to the atmosphere.
  • In one embodiment of the present invention, the apparatus comprises a chamber in which both conformal and non-conformal seed layers are deposited utilizing: (a) two or more distinct steps, wherein the deposition variables (or conditions or parameters) during the first step are suitable for the deposition of a substantially conformal (or a non-conformal) seed layer, and the deposition conditions during the second step are suitable for the deposition of a substantially non-conformal (or a conformal) seed layer; (b) wherein at least one of the deposition variables is varied (or ramped) continuously or gradually, thereby changing the nature of the seed layer from substantially conformal to substantially non-conformal, or vice versa; or (c) a combination of at least one distinct step of depositing a substantially conformal (or a non-conformal) seed layer and at least one gradual variation (or ramping) of at least one deposition variable towards a substantially non-conformal (or a conformal) seed layer, and vice versa.
  • As is well known to those of ordinary skill in the art, the nature of certain deposition techniques, such as ion plating or other PVD techniques, can be made more conformal, or less conformal, by varying the deposition parameters (or variables, or conditions). For example, increasing the (partial) pressure during ion plating and other PVD techniques, tends to increase scattering of the depositing atoms (or ions), thereby making the deposition more isotropic and conformal. Similarly, biasing the substrate has an effect on the nature of the deposit. For example, in ionized metal plasma (IMP), self ionized plasma (SIP), hollow cathode magnetron (HCM), and ion plating deposition techniques, increasing the (negative) bias voltage further accelerates positive ions (of the depositing metal) towards the substrate, thereby improving the filling of small openings. At the same time, the higher (negative) bias also increases the removal rate (or back-sputtering) from the top corners of the openings and the field, thereby rendering the deposition to be more conformal. Conversely, decreasing the negative bias, or even using positive bias, can render the deposition to be less conformal. Deposition rate (or power density) can also affect the nature of the deposition.
  • Similarly, as is known to those of ordinary skill in the art, the nature of certain CVD techniques can be made less conformal, or more conformal, by changing the deposition variables. For example, increasing the substrate temperature tends to shift the deposition from a surface-reaction, rate-controlled deposition at low temperature, to a transport, rate-controlled deposition at higher temperature. As a result, increasing the substrate temperature tends to render the deposition to be less conformal. Conversely, decreasing the temperature, tends to render the deposition to be more conformal. Similarly, increasing the precursor and/or the reacting gas partial pressure (or flow rate) tends to shift the deposition to be a more surface-reaction, rate-controlled deposition, thereby tending to render the deposition to be more conformal. Conversely, decreasing the partial pressure and/or flow rate of the precursor and/or a reacting gas, tends to render the deposition to be a more transport, rate-controlled deposition and, therefore, less conformal. The plasma variables in PECVD, such as the power density, may also have significant effects on the nature of the deposition.
  • In accordance with one embodiment of the present invention, cluster tool 70 comprises the following chambers: a CVD (preferably ALD) deposition chamber for depositing a barrier layer (for example, Ta, TaNX, Cr, CrNX, Ti, TiNX, W, WNX, TaSiXNY, TiSiXNY, WSiXNY, and other alloys containing one or more of these materials); a PVD deposition chamber for depositing a PVD Cu seed layer; and a CVD (preferably ALD) deposition chamber for depositing a CVD (preferably ALD) Cu seed layer. Single wafers are transferred in-situ in cluster tool 70, from one chamber to another, without exposing the wafers to the atmosphere prior to the deposition of the top Cu seed layer. The CVD (preferably ALD) barrier and the CVD (preferably ALD) Cu seed layers can be deposited in the same CVD (or ALD) chamber by using different gases and chemistries for the respective layers. However, separate CVD (or ALD) chambers for each layer (i.e., the barrier and Cu layers) are preferred in order to minimize cross-contamination. Using cluster tool 70, cluster tool controller 80 would cause a deposition process such as the following to be carried out in accordance with a recipe specified, for example in the form of a data structure or software or program code: (a) (in accordance with a first portion of the data structure or a first portion of the software or computer code) introducing wafer 74 into CVD (preferably ALD) barrier layer deposition chamber 76 and depositing on wafer 74 a CVD (preferably ALD) barrier layer (about 200-400 Å thick) comprising Ta, TaNX, Cr, CrNX, Ti, TiNX, W, WNX, TaSiXNY, TiSiXNY, WSiXNY, and other alloys containing one or more of these materials or; (b) (in accordance with a second portion of the data structure or a first portion of software or computer code) transferring wafer 74 through transfer chamber 73, without exposing wafer 74 to the atmosphere, to PVD Cu seed layer deposition chamber 77 and depositing on wafer 74 a relatively thin (about 100-500 Å) “Flash” PVD Cu layer; (c) (in accordance with a third portion of the data structure or a first portion of software or computer code) transferring wafer 74 through transfer chamber 73, without exposing wafer 74 to the atmosphere, to CVD (or ALD) Cu seed layer deposition chamber 78 and depositing on wafer 74 a CVD (preferably ALD) Cu layer (about 100-500 Å thick); and (d) (in accordance with a fourth portion of the data structure or a first portion of software or computer code) transferring wafer 74 through transfer chamber 73, without exposing it to the atmosphere, to PVD Cu seed layer deposition chamber 77 and depositing on wafer 74 a relatively thick PVD Cu layer (about 500-2,000 Å thick). Other ancillary steps include introducing wafer 74 into and removing wafer 74 from cluster tool 70 through loadlocks 71 and 72, respectively.
  • Another embodiment of a two-step combination may include a first deposited CVD (preferably ALD) seed layer, followed by a relatively thick PVD seed layer. Similarly, a three-step combination may include a first deposited CVD (preferably ALD) seed layer, followed by a relatively thick PVD seed layer, and finally followed by a second deposited CVD (preferably ALD) seed layer. Other combinations may comprise even more steps in the deposition of the seed layer. In these embodiments, the two (or more) separately deposited seed layers may comprise the same metal or alloy or they may comprise, for example and without limitation, different materials chosen from Cu, Ag, or alloys comprising one or more of these metals.
  • It should be understood that the above-described embodiments can be used to fabricate any number of devices including, and without limitation, metallic interconnects in semiconductor devices, thin film heads, micromachined Microelectromechanical Systems (MEMS) devices, or interconnects in high density integrated circuit packages.
  • Although the description of the embodiments above has concentrated on metallic interconnect structures used to fabricate a device such as a semiconductor integrated circuit, these embodiments can also be used in the fabrication of other devices, such as thin film heads, micromachined Microelectromechanical Systems (MEMS) devices, or interconnects in high density integrated circuit packages.
  • Also, although some of the embodiments above describe the use of a barrier layer prior to the deposition of the conformal/non-conformal seed layers or prior to the deposition of the non-conformal/conformal seed layers, those skilled in the art will recognize that these descriptions are not intended to limit the invention. In particular, those skilled in the art will recognize that the invention includes any workpiece or substrate (having openings upon its surface) which is ready for the deposition of the inventive seed layers upon its surface and which may, or may not, include a barrier layer.
  • Those skilled in the art will recognize that the foregoing description has been presented for the sake of illustration and description only. As such, it is not intended to be exhaustive or to limit the invention to the precise form disclosed.

Claims (36)

What I claim is:
1. A device comprising at least a portion of a void-free electroplated metallic interconnect embedded in an opening, said opening having sidewalls, said sidewalls comprising at least one dielectric layer, wherein the opening has an aspect ratio in a range from 7:1 to 20:1, and wherein the portion of the electroplated metallic interconnect comprises a material selected from a group consisting of Cu, Ag, and alloys comprising at least one of these metals.
2. The device of claim 1, further comprising at least one seed layer disposed between the embedded portion of the electroplated metallic interconnect and the sidewalls of the opening.
3. The device of claim 2, further comprising one or more barrier layers disposed between the at least one seed layer and the sidewalls of the opening.
4. The device of claim 3, wherein at least one seed layer comprises a continuous PVD seed layer over the sidewalls of the opening.
5. The device of claim 3, wherein at least one seed layer comprises a continuous CVD seed layer over the sidewalls of the opening.
6. The device of claim 5, wherein the CVD seed layer comprises a continuous ALD seed layer over the sidewalls of the opening.
7. The device of claim 5, further comprising a PVD seed layer disposed between the embedded portion of the electroplated metallic interconnect and the CVD seed layer over the sidewalls of the opening.
8. The device of claim 5, further comprising a PVD seed layer disposed between the CVD seed layer and the one or more barrier layers over the sidewalls of the opening.
9. The device of claim 6, further comprising a PVD seed layer disposed between the embedded portion of the electroplated metallic interconnect and the ALD seed layer over the sidewalls of the opening.
10. The device of claim 6, further comprising a PVD seed layer disposed between the ALD seed layer and the one or more barrier layers over the sidewalls of the opening.
11. The device of claim 1, wherein the opening has a width between 0.10-0.13 μm, or narrower.
12. The device of claim 1, wherein the opening has a width between 0.10-0.13 μm.
13. The device of claim 1, wherein the opening has an aspect ratio in a range from 8:1 to 20:1.
14. The device of claim 13, further comprising at least one seed layer disposed between the embedded portion of the electroplated metallic interconnect and the sidewalls of the opening.
15. The device of claim 14, further comprising one or more barrier layers disposed between the at least one seed layer and the sidewalls of the opening.
16. The device of claim 15, wherein at least one seed layer comprises a continuous PVD seed layer over the sidewalls of the opening.
17. The device of claim 15, wherein at least one seed layer comprises a continuous CVD seed layer over the sidewalls of the opening.
18. The device of claim 17, wherein the CVD seed layer comprises a continuous ALD seed layer over the sidewalls of the opening.
19. The device of claim 17, further comprising a PVD seed layer disposed between the embedded portion of the electroplated metallic interconnect and the CVD seed layer over the sidewalls of the opening.
20. The device of claim 17, further comprising a PVD seed layer disposed between the CVD seed layer and the one or more barrier layers over the sidewalls of the opening.
21. The device of claim 18, further comprising a PVD seed layer disposed between the embedded portion of the electroplated metallic interconnect and the ALD seed layer over the sidewalls of the opening.
22. The device of claim 18, further comprising a PVD seed layer disposed between the ALD seed layer and the one or more barrier layers over the sidewalls of the opening.
23. The device of claim 13, wherein the opening has a width between 0.10-0.13 μm, or narrower.
24. The device of claim 13, wherein the opening has a width between 0.10-0.13 μm.
25. The device of claim 1, wherein the opening has an aspect ratio in a range from 10:1 to 20:1.
26. The device of claim 25, wherein the opening has a width between 0.10-0.13 μm, or narrower.
27. The device of claim 25, wherein the opening has a width between 0.10-0.13 μm.
28. The device of claim 25, further comprising at least one seed layer disposed between the embedded portion of the electroplated metallic interconnect and the sidewalls of the opening.
29. The device of claim 28, further comprising one or more barrier layers disposed between the at least one seed layer and the sidewalls of the opening.
30. The device of claim 29, wherein at least one seed layer comprises a continuous PVD seed layer over the sidewalls of the opening.
31. The device of claim 29, wherein at least one seed layer comprises a continuous CVD seed layer over the sidewalls of the opening.
32. The device of claim 31, wherein the CVD seed layer comprises a continuous ALD seed layer over the sidewalls of the opening.
33. The device of claim 31, further comprising a PVD seed layer disposed between the embedded portion of the electroplated metallic interconnect and the CVD seed layer over the sidewalls of the opening.
34. The device of claim 31, further comprising a PVD seed layer disposed between the CVD seed layer and the one or more barrier layers over the sidewalls of the opening.
35. The device of claim 32, further comprising a PVD seed layer disposed between the embedded portion of the electroplated metallic interconnect and the ALD seed layer over the sidewalls of the opening.
36. The device of claim 32, further comprising a PVD seed layer disposed between the ALD seed layer and the one or more barrier layers over the sidewalls of the opening.
US14/077,058 1999-10-02 2013-11-11 Electroplated Metallic Interconnects And Products Abandoned US20140061919A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US14/077,058 US20140061919A1 (en) 1999-10-02 2013-11-11 Electroplated Metallic Interconnects And Products
US14/619,334 US10096547B2 (en) 1999-10-02 2015-02-11 Metallic interconnects products

Applications Claiming Priority (10)

Application Number Priority Date Filing Date Title
US09/410,898 US6136707A (en) 1999-10-02 1999-10-02 Seed layers for interconnects and methods for fabricating such seed layers
US09/563,733 US6610151B1 (en) 1999-10-02 2000-05-03 Seed layers for interconnects and methods and apparatus for their fabrication
US09/730,220 US6518668B2 (en) 1999-10-02 2000-12-04 Multiple seed layers for metallic interconnects
US42393302P 2002-11-04 2002-11-04
US10/328,629 US6924226B2 (en) 1999-10-02 2002-12-23 Methods for making multiple seed layers for metallic interconnects
US11/023,833 US7105434B2 (en) 1999-10-02 2004-12-28 Advanced seed layery for metallic interconnects
US11/391,035 US7682496B2 (en) 1999-10-02 2006-03-28 Apparatus for depositing seed layers
US12/728,393 US8123861B2 (en) 1999-10-02 2010-03-22 Apparatus for making interconnect seed layers and products
US13/352,180 US8586471B2 (en) 1999-10-02 2012-01-17 Seed layers for metallic interconnects and products
US14/077,058 US20140061919A1 (en) 1999-10-02 2013-11-11 Electroplated Metallic Interconnects And Products

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US13/352,180 Continuation US8586471B2 (en) 1999-10-02 2012-01-17 Seed layers for metallic interconnects and products

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US14/619,334 Continuation US10096547B2 (en) 1999-10-02 2015-02-11 Metallic interconnects products

Publications (1)

Publication Number Publication Date
US20140061919A1 true US20140061919A1 (en) 2014-03-06

Family

ID=34637336

Family Applications (6)

Application Number Title Priority Date Filing Date
US11/023,833 Expired - Lifetime US7105434B2 (en) 1999-10-02 2004-12-28 Advanced seed layery for metallic interconnects
US11/391,035 Expired - Fee Related US7682496B2 (en) 1999-10-02 2006-03-28 Apparatus for depositing seed layers
US12/728,393 Expired - Lifetime US8123861B2 (en) 1999-10-02 2010-03-22 Apparatus for making interconnect seed layers and products
US13/352,180 Expired - Fee Related US8586471B2 (en) 1999-10-02 2012-01-17 Seed layers for metallic interconnects and products
US14/077,058 Abandoned US20140061919A1 (en) 1999-10-02 2013-11-11 Electroplated Metallic Interconnects And Products
US14/619,334 Expired - Fee Related US10096547B2 (en) 1999-10-02 2015-02-11 Metallic interconnects products

Family Applications Before (4)

Application Number Title Priority Date Filing Date
US11/023,833 Expired - Lifetime US7105434B2 (en) 1999-10-02 2004-12-28 Advanced seed layery for metallic interconnects
US11/391,035 Expired - Fee Related US7682496B2 (en) 1999-10-02 2006-03-28 Apparatus for depositing seed layers
US12/728,393 Expired - Lifetime US8123861B2 (en) 1999-10-02 2010-03-22 Apparatus for making interconnect seed layers and products
US13/352,180 Expired - Fee Related US8586471B2 (en) 1999-10-02 2012-01-17 Seed layers for metallic interconnects and products

Family Applications After (1)

Application Number Title Priority Date Filing Date
US14/619,334 Expired - Fee Related US10096547B2 (en) 1999-10-02 2015-02-11 Metallic interconnects products

Country Status (1)

Country Link
US (6) US7105434B2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3842861A1 (en) * 2019-12-23 2021-06-30 Imec VZW A method for forming an euvl pellicle

Families Citing this family (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040224504A1 (en) * 2000-06-23 2004-11-11 Gadgil Prasad N. Apparatus and method for plasma enhanced monolayer processing
US6458416B1 (en) * 2000-07-19 2002-10-01 Micron Technology, Inc. Deposition methods
US7192888B1 (en) * 2000-08-21 2007-03-20 Micron Technology, Inc. Low selectivity deposition methods
US7094690B1 (en) * 2000-08-31 2006-08-22 Micron Technology, Inc. Deposition methods and apparatuses providing surface activation
US6764940B1 (en) 2001-03-13 2004-07-20 Novellus Systems, Inc. Method for depositing a diffusion barrier for copper interconnect applications
US6869515B2 (en) * 2001-03-30 2005-03-22 Uri Cohen Enhanced electrochemical deposition (ECD) filling of high aspect ratio openings
US7368014B2 (en) * 2001-08-09 2008-05-06 Micron Technology, Inc. Variable temperature deposition methods
US7187081B2 (en) * 2003-01-29 2007-03-06 International Business Machines Corporation Polycarbosilane buried etch stops in interconnect structures
US8298933B2 (en) * 2003-04-11 2012-10-30 Novellus Systems, Inc. Conformal films on semiconductor substrates
US7842605B1 (en) 2003-04-11 2010-11-30 Novellus Systems, Inc. Atomic layer profiling of diffusion barrier and metal seed layers
DE102004024207B4 (en) * 2004-05-10 2016-03-24 Ihp Gmbh - Innovations For High Performance Microelectronics / Leibniz-Institut Für Innovative Mikroelektronik A method and apparatus for low temperature epitaxy on a variety of semiconductor substrates
US7727881B1 (en) 2004-11-03 2010-06-01 Novellus Systems, Inc. Protective self-aligned buffer layers for damascene interconnects
US7727880B1 (en) 2004-11-03 2010-06-01 Novellus Systems, Inc. Protective self-aligned buffer layers for damascene interconnects
US7253097B2 (en) * 2005-06-30 2007-08-07 Chartered Semiconductor Manufacturing, Ltd. Integrated circuit system using dual damascene process
JP4925650B2 (en) * 2005-11-28 2012-05-09 東京エレクトロン株式会社 Substrate processing equipment
US7510634B1 (en) 2006-11-10 2009-03-31 Novellus Systems, Inc. Apparatus and methods for deposition and/or etch selectivity
US7682966B1 (en) 2007-02-01 2010-03-23 Novellus Systems, Inc. Multistep method of depositing metal seed layers
US20080242078A1 (en) * 2007-03-30 2008-10-02 Asm Nutool, Inc. Process of filling deep vias for 3-d integration of substrates
US8058164B2 (en) * 2007-06-04 2011-11-15 Lam Research Corporation Methods of fabricating electronic devices using direct copper plating
US7998857B2 (en) 2007-10-24 2011-08-16 Intel Corporation Integrated circuit and process for fabricating thereof
US7727890B2 (en) * 2007-12-10 2010-06-01 International Business Machines Corporation High aspect ratio electroplated metal feature and method
US7824935B2 (en) * 2008-07-02 2010-11-02 Intermolecular, Inc. Methods of combinatorial processing for screening multiple samples on a semiconductor substrate
US8344513B2 (en) 2009-03-23 2013-01-01 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier for through-silicon via
US8268722B2 (en) * 2009-06-03 2012-09-18 Novellus Systems, Inc. Interfacial capping layers for interconnects
JP5225957B2 (en) * 2009-09-17 2013-07-03 東京エレクトロン株式会社 Film formation method and storage medium
US8658533B2 (en) * 2011-03-10 2014-02-25 International Business Machines Corporation Semiconductor interconnect structure with multi-layered seed layer providing enhanced reliability and minimizing electromigration
CN103582932B (en) 2011-06-03 2017-01-18 诺发系统公司 Metal and silicon containing capping layers for interconnects
US8525339B2 (en) 2011-07-27 2013-09-03 International Business Machines Corporation Hybrid copper interconnect structure and method of fabricating same
US9502653B2 (en) * 2013-12-25 2016-11-22 Ignis Innovation Inc. Electrode contacts
US9252110B2 (en) 2014-01-17 2016-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and method of forming same
US10825724B2 (en) * 2014-04-25 2020-11-03 Taiwan Semiconductor Manufacturing Company Metal contact structure and method of forming the same in a semiconductor device
US9633896B1 (en) 2015-10-09 2017-04-25 Lam Research Corporation Methods for formation of low-k aluminum-containing etch stop films
US9735051B2 (en) 2015-12-14 2017-08-15 International Business Machines Corporation Semiconductor device interconnect structures formed by metal reflow process
US9852990B1 (en) 2016-08-17 2017-12-26 International Business Machines Corporation Cobalt first layer advanced metallization for interconnects
US9859215B1 (en) 2016-08-17 2018-01-02 International Business Machines Corporation Formation of advanced interconnects
US10115670B2 (en) 2016-08-17 2018-10-30 International Business Machines Corporation Formation of advanced interconnects including set of metal conductor structures in patterned dielectric layer
US9941212B2 (en) 2016-08-17 2018-04-10 International Business Machines Corporation Nitridized ruthenium layer for formation of cobalt interconnects
US9716063B1 (en) 2016-08-17 2017-07-25 International Business Machines Corporation Cobalt top layer advanced metallization for interconnects
US11195748B2 (en) 2017-09-27 2021-12-07 Invensas Corporation Interconnect structures and methods for forming same
US10381307B1 (en) * 2018-05-14 2019-08-13 Nanya Technology Corporation Method of forming barrier layer over via, and via structure formed thereof
CN112103242A (en) * 2019-06-18 2020-12-18 中芯国际集成电路制造(上海)有限公司 Semiconductor structure and forming method thereof
US11361992B2 (en) * 2019-10-08 2022-06-14 Eugenus, Inc. Conformal titanium nitride-based thin films and methods of forming same
WO2023204978A1 (en) * 2022-04-18 2023-10-26 Lam Research Corporation Conformal copper deposition on thin liner layer

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5920790A (en) * 1997-08-29 1999-07-06 Motorola, Inc. Method of forming a semiconductor device having dual inlaid structure
US6037258A (en) * 1999-05-07 2000-03-14 Taiwan Semiconductor Manufacturing Company Method of forming a smooth copper seed layer for a copper damascene structure
US6065424A (en) * 1995-12-19 2000-05-23 Cornell Research Foundation, Inc. Electroless deposition of metal films with spray processor
US6187670B1 (en) * 1998-12-02 2001-02-13 Advanced Micro Devices, Inc. Multi-stage method for forming optimized semiconductor seed layers
US6525425B1 (en) * 2000-06-14 2003-02-25 Advanced Micro Devices, Inc. Copper interconnects with improved electromigration resistance and low resistivity

Family Cites Families (98)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US596422A (en) * 1897-12-28 Railway-switch
US3150994A (en) 1958-08-05 1964-09-29 Callery Chemical Co Chemical plating of metal-boron alloys
US3690944A (en) 1970-03-10 1972-09-12 Rca Corp Electroless nickel plating method
EP0423190B1 (en) 1988-07-07 1994-12-21 E.I. Du Pont De Nemours And Company Metallized polymers and method
JPH02296324A (en) 1989-05-11 1990-12-06 Nec Corp Manufacture of semiconductor device
JP2856782B2 (en) * 1989-10-12 1999-02-10 レール・リキード・ソシエテ・アノニム・プール・レテユード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Method of forming copper thin film by low temperature CVD
US5173442A (en) 1990-07-23 1992-12-22 Microelectronics And Computer Technology Corporation Methods of forming channels and vias in insulating layers
US5219787A (en) 1990-07-23 1993-06-15 Microelectronics And Computer Technology Corporation Trenching techniques for forming channels, vias and components in substrates
US5151168A (en) 1990-09-24 1992-09-29 Micron Technology, Inc. Process for metallizing integrated circuits with electrolytically-deposited copper
US5203911A (en) 1991-06-24 1993-04-20 Shipley Company Inc. Controlled electroless plating
US5612254A (en) 1992-06-29 1997-03-18 Intel Corporation Methods of forming an interconnect on a semiconductor substrate
US5403620A (en) 1992-10-13 1995-04-04 Regents Of The University Of California Catalysis in organometallic CVD of thin metal films
CA2082771C (en) 1992-11-12 1998-02-10 Vu Quoc Ho Method for forming interconnect structures for integrated circuits
JPH06349952A (en) 1993-06-14 1994-12-22 Oki Electric Ind Co Ltd Wiring forming method
JP2616402B2 (en) 1993-10-18 1997-06-04 日本電気株式会社 Method for manufacturing semiconductor device
US5549808A (en) 1995-05-12 1996-08-27 International Business Machines Corporation Method for forming capped copper electrical interconnects
JP3979687B2 (en) 1995-10-26 2007-09-19 アプライド マテリアルズ インコーポレイテッド Method for improving film stability of halogen-doped silicon oxide films
US5693563A (en) 1996-07-15 1997-12-02 Chartered Semiconductor Manufacturing Pte Ltd. Etch stop for copper damascene process
US6110828A (en) 1996-12-30 2000-08-29 Applied Materials, Inc. In-situ capped aluminum plug (CAP) process using selective CVD AL for integrated plug/interconnect metallization
US5913147A (en) 1997-01-21 1999-06-15 Advanced Micro Devices, Inc. Method for fabricating copper-aluminum metallization
US6139697A (en) 1997-01-31 2000-10-31 Applied Materials, Inc. Low temperature integrated via and trench fill process and apparatus
US5908813A (en) 1997-02-14 1999-06-01 Micron Technology, Inc. Method making integrated circuit metallization with superconductor BEOL wiring
US6139905A (en) 1997-04-11 2000-10-31 Applied Materials, Inc. Integrated CVD/PVD Al planarization using ultra-thin nucleation layers
US6387805B2 (en) 1997-05-08 2002-05-14 Applied Materials, Inc. Copper alloy seed layer for copper metallization
US6605197B1 (en) 1997-05-13 2003-08-12 Applied Materials, Inc. Method of sputtering copper to fill trenches and vias
US5969422A (en) 1997-05-15 1999-10-19 Advanced Micro Devices, Inc. Plated copper interconnect structure
US5897752A (en) 1997-05-20 1999-04-27 Applied Materials, Inc. Wafer bias ring in a sustained self-sputtering reactor
US6069068A (en) 1997-05-30 2000-05-30 International Business Machines Corporation Sub-quarter-micron copper interconnections with improved electromigration resistance and reduced defect sensitivity
US5913145A (en) 1997-08-28 1999-06-15 Texas Instruments Incorporated Method for fabricating thermally stable contacts with a diffusion barrier formed at high temperatures
US6042700A (en) 1997-09-15 2000-03-28 Applied Materials, Inc. Adjustment of deposition uniformity in an inductively coupled plasma source
US5882498A (en) 1997-10-16 1999-03-16 Advanced Micro Devices, Inc. Method for reducing oxidation of electroplating chamber contacts and improving uniform electroplating of a substrate
US5897368A (en) 1997-11-10 1999-04-27 General Electric Company Method of fabricating metallized vias with steep walls
KR100273989B1 (en) 1997-11-25 2001-01-15 윤종용 Method for forming contact of semiconductor device
KR20010032498A (en) 1997-11-26 2001-04-25 조셉 제이. 스위니 Damage-free sculptured coating deposition
US6174811B1 (en) 1998-12-02 2001-01-16 Applied Materials, Inc. Integrated deposition process for copper metallization
US6251528B1 (en) 1998-01-09 2001-06-26 International Business Machines Corporation Method to plate C4 to copper stud
US6169030B1 (en) 1998-01-14 2001-01-02 Applied Materials, Inc. Metallization process and method
JP3501937B2 (en) 1998-01-30 2004-03-02 富士通株式会社 Method for manufacturing semiconductor device
US6249055B1 (en) 1998-02-03 2001-06-19 Advanced Micro Devices, Inc. Self-encapsulated copper metallization
US6281121B1 (en) 1998-03-06 2001-08-28 Advanced Micro Devices, Inc. Damascene metal interconnects using highly directional deposition of barrier and/or seed layers including (III) filling metal
US6197181B1 (en) 1998-03-20 2001-03-06 Semitool, Inc. Apparatus and method for electrolytically depositing a metal on a microelectronic workpiece
US6130156A (en) 1998-04-01 2000-10-10 Texas Instruments Incorporated Variable doping of metal plugs for enhanced reliability
US6181012B1 (en) 1998-04-27 2001-01-30 International Business Machines Corporation Copper interconnection structure incorporating a metal seed layer
US6015749A (en) 1998-05-04 2000-01-18 Taiwan Semiconductor Manufacturing Company Method to improve adhesion between copper and titanium nitride, for copper interconnect structures, via the use of an ion implantation procedure
US6372633B1 (en) 1998-07-08 2002-04-16 Applied Materials, Inc. Method and apparatus for forming metal interconnects
US6461675B2 (en) 1998-07-10 2002-10-08 Cvc Products, Inc. Method for forming a copper film on a substrate
JP3187011B2 (en) 1998-08-31 2001-07-11 日本電気株式会社 Method for manufacturing semiconductor device
US6080285A (en) * 1998-09-14 2000-06-27 Applied Materials, Inc. Multiple step ionized metal plasma deposition process for conformal step coverage
US6251759B1 (en) 1998-10-03 2001-06-26 Applied Materials, Inc. Method and apparatus for depositing material upon a semiconductor wafer using a transition chamber of a multiple chamber semiconductor wafer processing system
US6515343B1 (en) 1998-11-19 2003-02-04 Quicklogic Corporation Metal-to-metal antifuse with non-conductive diffusion barrier
US6121141A (en) * 1998-11-24 2000-09-19 Advanced Micro Devices, Inc. Method of forming a void free copper interconnects
US6242349B1 (en) 1998-12-09 2001-06-05 Advanced Micro Devices, Inc. Method of forming copper/copper alloy interconnection with reduced electromigration
US6294836B1 (en) 1998-12-22 2001-09-25 Cvc Products Inc. Semiconductor chip interconnect barrier material and fabrication method
US6261946B1 (en) 1999-01-05 2001-07-17 Advanced Micro Devices, Inc. Method for forming semiconductor seed layers by high bias deposition
US6258707B1 (en) 1999-01-07 2001-07-10 International Business Machines Corporation Triple damascence tungsten-copper interconnect structure
KR100672101B1 (en) 1999-01-08 2007-01-19 어플라이드 머티어리얼스, 인코포레이티드 Method of depositing a copper seed layer which promotes improved feature surface coverage
US6290825B1 (en) 1999-02-12 2001-09-18 Applied Materials, Inc. High-density plasma source for ionized metal deposition
US6362099B1 (en) * 1999-03-09 2002-03-26 Applied Materials, Inc. Method for enhancing the adhesion of copper deposited by chemical vapor deposition
US6440289B1 (en) 1999-04-02 2002-08-27 Advanced Micro Devices, Inc. Method for improving seed layer electroplating for semiconductor
US6146517A (en) 1999-05-19 2000-11-14 Infineon Technologies North America Corp. Integrated circuits with copper metallization for interconnections
US6147404A (en) 1999-05-24 2000-11-14 Advanced Micro Devices, Inc. Dual barrier and conductor deposition in a dual damascene process for semiconductors
KR100330763B1 (en) * 1999-06-23 2002-03-29 김태업 Mahjong Game Machine
US6258223B1 (en) 1999-07-09 2001-07-10 Applied Materials, Inc. In-situ electroless copper seed layer enhancement in an electroplating system
US6627542B1 (en) 1999-07-12 2003-09-30 Applied Materials, Inc. Continuous, non-agglomerated adhesion of a seed layer to a barrier layer
US6686280B1 (en) 1999-07-22 2004-02-03 Taiwan Semiconductor Manufacturing Company Sidewall coverage for copper damascene filling
US6342733B1 (en) 1999-07-27 2002-01-29 International Business Machines Corporation Reduced electromigration and stressed induced migration of Cu wires by surface coating
US6410418B1 (en) 1999-08-18 2002-06-25 Advanced Micro Devices, Inc. Recess metallization via selective insulator formation on nucleation/seed layer
US6433429B1 (en) 1999-09-01 2002-08-13 International Business Machines Corporation Copper conductive line with redundant liner and method of making
US6184138B1 (en) 1999-09-07 2001-02-06 Chartered Semiconductor Manufacturing Ltd. Method to create a controllable and reproducible dual copper damascene structure
US6136707A (en) 1999-10-02 2000-10-24 Cohen; Uri Seed layers for interconnects and methods for fabricating such seed layers
US6924226B2 (en) 1999-10-02 2005-08-02 Uri Cohen Methods for making multiple seed layers for metallic interconnects
US6610151B1 (en) * 1999-10-02 2003-08-26 Uri Cohen Seed layers for interconnects and methods and apparatus for their fabrication
US6395164B1 (en) 1999-10-07 2002-05-28 International Business Machines Corporation Copper seed layer repair technique using electroless touch-up
US6398929B1 (en) 1999-10-08 2002-06-04 Applied Materials, Inc. Plasma reactor and shields generating self-ionized plasma for sputtering
US20030116427A1 (en) 2001-08-30 2003-06-26 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
US6458251B1 (en) * 1999-11-16 2002-10-01 Applied Materials, Inc. Pressure modulation method to obtain improved step coverage of seed layer
US6387800B1 (en) 1999-12-20 2002-05-14 Taiwan Semiconductor Manufacturing Company Method of forming barrier and seed layers for electrochemical deposition of copper
US6395642B1 (en) 1999-12-28 2002-05-28 Taiwan Semiconductor Manufacturing Company Method to improve copper process integration
US6403465B1 (en) 1999-12-28 2002-06-11 Taiwan Semiconductor Manufacturing Company Method to improve copper barrier properties
US6277249B1 (en) 2000-01-21 2001-08-21 Applied Materials Inc. Integrated process for copper via filling using a magnetron and target producing highly energetic ions
US6251242B1 (en) 2000-01-21 2001-06-26 Applied Materials, Inc. Magnetron and target producing an extended plasma region in a sputter reactor
US6225221B1 (en) 2000-02-10 2001-05-01 Chartered Semiconductor Manufacturing Ltd. Method to deposit a copper seed layer for dual damascene interconnects
US6228759B1 (en) 2000-05-02 2001-05-08 Advanced Micro Devices, Inc. Method of forming an alloy precipitate to surround interconnect to minimize electromigration
US6368954B1 (en) 2000-07-28 2002-04-09 Advanced Micro Devices, Inc. Method of copper interconnect formation using atomic layer copper deposition
US20020064592A1 (en) * 2000-11-29 2002-05-30 Madhav Datta Electroless method of seed layer depostion, repair, and fabrication of Cu interconnects
US6492270B1 (en) * 2001-03-19 2002-12-10 Taiwan Semiconductor Manufacturing Company Method for forming copper dual damascene
US6495443B1 (en) * 2001-06-05 2002-12-17 Advanced Micro Devices, Inc. Method of re-working copper damascene wafers
US6506668B1 (en) 2001-06-22 2003-01-14 Advanced Micro Devices, Inc. Utilization of annealing enhanced or repaired seed layer to improve copper interconnect reliability
US6936906B2 (en) 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
US6515368B1 (en) 2001-12-07 2003-02-04 Advanced Micro Devices, Inc. Semiconductor device with copper-filled via includes a copper-zinc/alloy film for reduced electromigration of copper
US7910165B2 (en) 2002-06-04 2011-03-22 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US20040140196A1 (en) 2003-01-17 2004-07-22 Applied Materials, Inc. Shaping features in sputter deposition
US6806192B2 (en) 2003-01-24 2004-10-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method of barrier-less integration with copper alloy
US6943111B2 (en) 2003-02-10 2005-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier free copper interconnect by multi-layer copper seed
US7101790B2 (en) 2003-03-28 2006-09-05 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming a robust copper interconnect by dilute metal doping
US20050045485A1 (en) 2003-09-03 2005-03-03 Taiwan Semiconductor Manufacturing Co. Ltd. Method to improve copper electrochemical deposition
US7265038B2 (en) 2003-11-25 2007-09-04 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming a multi-layer seed layer for improved Cu ECP
US7344896B2 (en) * 2004-07-26 2008-03-18 Infineon Technologies Ag Ferromagnetic liner for conductive lines of magnetic memory cells and methods of manufacturing thereof

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6065424A (en) * 1995-12-19 2000-05-23 Cornell Research Foundation, Inc. Electroless deposition of metal films with spray processor
US5920790A (en) * 1997-08-29 1999-07-06 Motorola, Inc. Method of forming a semiconductor device having dual inlaid structure
US6187670B1 (en) * 1998-12-02 2001-02-13 Advanced Micro Devices, Inc. Multi-stage method for forming optimized semiconductor seed layers
US6037258A (en) * 1999-05-07 2000-03-14 Taiwan Semiconductor Manufacturing Company Method of forming a smooth copper seed layer for a copper damascene structure
US6525425B1 (en) * 2000-06-14 2003-02-25 Advanced Micro Devices, Inc. Copper interconnects with improved electromigration resistance and low resistivity

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3842861A1 (en) * 2019-12-23 2021-06-30 Imec VZW A method for forming an euvl pellicle
US11599019B2 (en) 2019-12-23 2023-03-07 Imec Vzw Method for forming an extreme ultraviolet lithography pellicle

Also Published As

Publication number Publication date
US7105434B2 (en) 2006-09-12
US20060166448A1 (en) 2006-07-27
US10096547B2 (en) 2018-10-09
US7682496B2 (en) 2010-03-23
US20050124153A1 (en) 2005-06-09
US8123861B2 (en) 2012-02-28
US20110068470A1 (en) 2011-03-24
US8586471B2 (en) 2013-11-19
US20120126409A1 (en) 2012-05-24
US20150155239A1 (en) 2015-06-04

Similar Documents

Publication Publication Date Title
US10096547B2 (en) Metallic interconnects products
US6924226B2 (en) Methods for making multiple seed layers for metallic interconnects
US6518668B2 (en) Multiple seed layers for metallic interconnects
US6136707A (en) Seed layers for interconnects and methods for fabricating such seed layers
EP1021827B1 (en) Dual damascene metallization
US7470612B2 (en) Method of forming metal wiring layer of semiconductor device
US20060076244A1 (en) Barrier enhancement process for copper interconnects
US6069072A (en) CVD tin barrier layer for reduced electromigration of aluminum plugs
TW200301524A (en) Method for improving electromigration performance of metallization features through multiple depositions of binary alloys
JP2002110783A (en) Semiconductor substrate, manufacturing method of the same and semiconductor device

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- AFTER EXAMINER'S ANSWER OR BOARD OF APPEALS DECISION