US20130126821A1 - Bottom electrodes for use with metal oxide resistivity switching layers - Google Patents

Bottom electrodes for use with metal oxide resistivity switching layers Download PDF

Info

Publication number
US20130126821A1
US20130126821A1 US13/740,766 US201313740766A US2013126821A1 US 20130126821 A1 US20130126821 A1 US 20130126821A1 US 201313740766 A US201313740766 A US 201313740766A US 2013126821 A1 US2013126821 A1 US 2013126821A1
Authority
US
United States
Prior art keywords
metal
silicide
layer
stack
nitride
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US13/740,766
Inventor
Deepak Chandra Sekar
Franz Kreupl
Raghuveer S. MAKALA
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
SanDisk Technologies LLC
Original Assignee
SanDisk 3D LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by SanDisk 3D LLC filed Critical SanDisk 3D LLC
Priority to US13/740,766 priority Critical patent/US20130126821A1/en
Publication of US20130126821A1 publication Critical patent/US20130126821A1/en
Assigned to SANDISK TECHNOLOGIES INC. reassignment SANDISK TECHNOLOGIES INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: SANDISK 3D LLC.
Assigned to SANDISK TECHNOLOGIES INC. reassignment SANDISK TECHNOLOGIES INC. CORRECTIVE ASSIGNMENT TO CORRECT THE INCORRECT LISTED PATENT NUMBER 8853569 TO THE CORRECT PATENT NUMBER 8883569 PREVIOUSLY RECORDED ON REEL 038300 FRAME 0665. ASSIGNOR(S) HEREBY CONFIRMS THE ASSIGNMENT. Assignors: SANDISK 3D LLC
Assigned to SANDISK TECHNOLOGIES LLC reassignment SANDISK TECHNOLOGIES LLC CHANGE OF NAME (SEE DOCUMENT FOR DETAILS). Assignors: SANDISK TECHNOLOGIES INC
Abandoned legal-status Critical Current

Links

Images

Classifications

    • H01L45/145
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/883Oxides or nitrides
    • H10N70/8833Binary metal oxides, e.g. TaOx
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/20Resistance change memory devices, e.g. resistive RAM [ReRAM] devices comprising selection components having two electrodes, e.g. diodes
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/80Arrangements comprising multiple bistable or multi-stable switching components of the same type on a plane parallel to the substrate, e.g. cross-point arrays
    • H10B63/84Arrangements comprising multiple bistable or multi-stable switching components of the same type on a plane parallel to the substrate, e.g. cross-point arrays arranged in a direction perpendicular to the substrate, e.g. 3D cell arrays
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • H10N70/061Patterning of the switching material
    • H10N70/063Patterning of the switching material by etching of pre-deposited switching material layers, e.g. lithography
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/20Multistable switching devices, e.g. memristors
    • H10N70/24Multistable switching devices, e.g. memristors based on migration or redistribution of ionic species, e.g. anions, vacancies
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/821Device geometry
    • H10N70/826Device geometry adapted for essentially vertical current flow, e.g. sandwich or pillar type devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/841Electrodes
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/883Oxides or nitrides

Definitions

  • the present invention relates to memory arrays and more particularly to bottom electrodes for use with metal oxide resistivity switching layers.
  • Reversible resistivity-switching materials such as metal oxides may be used as storage elements in memory arrays.
  • U.S. Pat. No. 7,812,404 filed May 9, 2005 and titled “Non-Volatile Memory Cell Comprising A Diode And A Resistance-Switching Material,” which is hereby incorporated by reference herein in its entirety, describes a rewriteable non-volatile memory cell that includes a diode coupled in series with an RRS material such as a metal oxide or metal nitride.
  • a metal-insulator-metal stack in a first aspect of the invention, includes a first conductive layer, a resistivity-switching layer having a metal oxide layer formed above the first conductive layer, a material layer between the first conductive layer and the resistivity-switching layer, and a second conductive layer above the resistivity-switching layer.
  • the first conductive layer includes a multi-layer metal-silicide stack, and the material layer has a Gibbs free energy of formation per O between about ⁇ 3 and ⁇ 6 eV.
  • a memory cell may be formed from the MIM stack.
  • a method is provided of forming a metal-insulator-metal stack.
  • the method includes forming a first conductive layer having a multi-layer metal-silicide stack, forming a resistivity-switching layer having a metal oxide layer above the first conductive layer, forming a material layer between the first conductive layer and the resistivity-switching layer, and forming a second conductive layer above the resistivity-switching layer.
  • the material layer has a Gibbs free energy of formation per O between about ⁇ 3 and ⁇ 6 eV. Numerous other aspects are provided.
  • FIGS. 1A-1D are cross-sectional views of first exemplary metal-insulator-metal stacks provided in accordance with the present invention.
  • FIGS. 2A-2H are cross-sectional views of second exemplary metal-insulator-metal stacks provided in accordance with the present invention.
  • FIGS. 3A-3D are cross-sectional views of third exemplary metal-insulator-metal stacks provided in accordance with the present invention.
  • FIG. 4A is a schematic illustration of an exemplary memory cell in accordance with this invention.
  • FIG. 4B is a simplified perspective view of another exemplary embodiment of a memory cell in accordance with this invention.
  • FIG. 4C is a simplified perspective view of yet another exemplary embodiment of a memory cell in accordance with this invention.
  • FIG. 4D is a simplified perspective view of a portion of a first memory level formed from a plurality of memory cells in accordance with this invention.
  • FIG. 4E is a simplified perspective view of a portion of a first monolithic three dimensional memory array that includes a first memory level positioned below a second memory level in accordance with the present invention.
  • FIG. 4F is a simplified perspective view of a portion of a second monolithic three dimensional memory array that includes a first memory level positioned below a second memory level in accordance with the present invention.
  • FIGS. 5A-5H are cross sectional views of exemplary memory cell stacks provided in accordance with the present invention.
  • a metal-insulator-metal (“MIM”) stack formed from a reversible resistivity switching (“RRS”) material sandwiched between two metal or otherwise conducting layers may serve as a resistance-switching element for a memory cell.
  • the two conducting layers may serve as the top and bottom electrodes of the resistance-switching element, and may be used to apply an electric field across the RRS material that changes the resistivity of the RRS material from a high value to a low value and vice versa.
  • novel MIM stacks are provided that employ metal oxide resistivity-switching layers. Methods of forming such MIM stacks, as well as methods of employing such MIM stacks in three-dimensional (“3D”) memory arrays, are also provided.
  • 3D three-dimensional
  • FIGS. 1A-1D illustrate MIM stacks that employ SiGe bottom electrodes.
  • FIGS. 2A-2H illustrate MIM stacks that employ multi-layer metal-silicide bottom electrodes.
  • FIGS. 3A-3D illustrate MIM stacks that employ bottom electrodes with metal, metal nitrides or metal oxides specifically selected to promote oxygen vacancy formation in metal oxide switching layers.
  • FIGS. 4A-5H illustrate exemplary embodiments of memory cells and memory arrays that may use any of the above described MIM stacks and/or bottom electrodes.
  • FIG. 1A illustrates an MIM stack 100 a that includes an RRS material 104 sandwiched between a top electrode 106 and a SiGe bottom electrode 108 .
  • One or more additional layers 110 such as a metal layer, a metal oxide layer, a metal/metal oxide layer stack, or the like, may be employed within the MIM stack 100 a as described further below.
  • the RRS material 104 may include, for example, HfO X , ZrO X , NiO X , TiO X , TaO X , NbO X , Al X O Y , another metal oxide (“MO X ”) layer, any combination of these metal oxides, or another suitable switching material.
  • the top electrode 106 may include titanium nitride, tantalum nitride, tungsten nitride, combinations of the same, a metal/metal nitride stack such as Ti/TiN, Ta/TaN, W/WN or another similar layer.
  • the top electrode 106 may include heavily doped semiconductor such as n+ silicon or p+ silicon, heavily doped germanium, or heavily doped silicon-germanium. Other materials and/or configurations may be used for the top electrode 106 .
  • an n+ Si bottom electrode may improve the switching characteristics of a metal oxide such as HfO 2 by effectively lowering the free energy for forming oxygen vacancies within the metal oxide.
  • a metal oxide such as HfO 2
  • such an n+ Si electrode is formed by depositing an amorphous or polysilicon n+ Si layer and then annealing the n+ Si layer to improve its crystallinity and surface properties prior to formation of a metal oxide layer over the n+ Si layer.
  • Such an anneal is generally achieved via a rapid thermal anneal (“RTA”) at a temperature of about 750° C.
  • RTA rapid thermal anneal
  • use of such a high RTA temperature for each memory layer may exceed the thermal budget of the memory array.
  • the bottom electrode of an MIM stack may include a layer of SiGe alloy having, for example, between about 5 to about 35 atm % Ge and an n+ doping concentration of about 5 ⁇ 10 19 -5 ⁇ 10 21 atoms/cm 3 , in some embodiments about 2 ⁇ 10 20 atoms/cm 3 .
  • a SiGe alloy layer may be formed at a substantially lower temperature than a polycrystalline n+ Si bottom electrode layer as the presence of Ge lowers the crystallization temperature.
  • a polycrystalline SiGe alloy layer may be deposited at a temperature of about 600° C. or lower, and in some embodiments, about 550° C. or lower without requiring an additional high temperature anneal to improve crystallinity of the SiGe layer (depending on the atm % of Ge employed).
  • an amorphous SiGe alloy layer be deposited at a lower deposition temperature and crystallized using an RTA of about 600° C. or less, and in some embodiments, about 550° C. or less (depending on the atm % of Ge employed).
  • SiGe bottom electrodes with about 5-35 atm % Ge significantly reduce deposition and/or crystallization anneal temperatures while still providing ample Si for oxygen vacancy formation within metal oxide switching layers.
  • Exemplary thicknesses for the SiGe bottom electrode 108 range from about 2 to 100 nanometers.
  • Other atm % of Ge, doping types, doping levels, annealing temperatures and/or layer thicknesses may be used.
  • the additional layer(s) 110 may include, for example, titanium, titanium oxide, tantalum, tantalum oxide, tungsten, tungsten oxide, etc.
  • the additional layer(s) 110 may include a metal/metal oxide layer stack such as Ti/TiO X , Zr/ZrO X , Ni/NiO X , Al/Al X O Y , Ta/TaO X , Nb/NbO X , Hf/HfO X , or any suitable layer stack.
  • FIG. 1B illustrates a particular exemplary embodiment of the MIM stack 100 a of FIG. 1A , referred to as MIM stack 100 b in FIG. 1B .
  • the bottom electrode 108 is a SiGe alloy
  • the RRS material 104 is hafnium oxide
  • the top electrode 106 is titanium nitride
  • a titanium or titanium oxide layer is provided as a buffer layer between the hafnium oxide switching material (RRS material 104 ) and the TiN top electrode 106 .
  • the bottom electrode 108 may have a thickness of about 2 to 100 nanometers, in other embodiments about 10-60 nanometers, and in some embodiments about 20 nanometers.
  • the Ti or TiO X layer 110 may have a thickness of about 0.5-10 nanometers, and in some embodiments about 2 nanometers. When TiO X is employed, x may be about 1.2-2, and in some embodiments about 1.5.
  • the hafnium oxide layer 104 may have a thickness of about 3-12 nanometers, and in some embodiments about 5 nanometers, with x being about 1.2-2.0 and in some embodiments about 1.7.
  • the TiN top electrode 106 may have a thickness of about 2 to 100 nanometers, in other embodiments about 10-60 nanometers, and in some embodiments about 20 nanometers.
  • the doping concentration of the n+ SiGe bottom electrode 108 may be about 5 ⁇ 10 19 -5 ⁇ 10 21 atoms/cm 3 and in some embodiments about 2 ⁇ 10 20 atoms/cm 3 with about 5-35 atm % Ge.
  • Other film thicknesses, x values, atm % of Ge and/or doping concentrations may be used.
  • FIG. 1C illustrates a cross-sectional view of another exemplary MIM stack 100 c having a SiGe bottom electrode 108 , RRS material 104 formed above the SiGe bottom electrode 108 , a metal/metal oxide layer stack 110 including metal oxide layer 110 a and metal layer 110 b formed above the RRS material 104 , and a top metal nitride electrode 106 formed above the metal/metal oxide layer stack 110 .
  • the top electrode 106 may include, for example, titanium nitride, tantalum nitride, tungsten nitride, combinations of the same, a metal/metal nitride stack such as Ti/TiN, Ta/TaN, W/WN or another similar barrier layer.
  • the metal/metal oxide layer stack 110 may include, for example, Ti/TiO X , Zr/ZrO X , Ni/NiO X , Al/Al X O Y , Ta/TaO X , Nb/NbO X , Hf/HfO X or another similar layer stack.
  • the RRS material 104 may include, for example, HfO X , ZrO X , NiO X , TiO X , TaO X , NbO X or Al X O Y , any combination of these metal oxides, or another suitable switching material.
  • the metal/metal-oxide layer stack 110 may be formed from a different material than is employed for the RRS material 104 .
  • a Ti/TiO X layer stack may be employed with a HfO X , ZrO X , NiO X , TaO X , NbO X or Al X O Y switching material.
  • a Zr/ZrO X layer stack may be used with a HfO X , NiO X , TiO X , TaO X , NbO X or Al X O Y switching material.
  • a Ni/NiO X layer stack may be used with a HfO X , ZrO X , TiO X , TaO X , NbO X or Al X O Y switching material.
  • An Al/Al X O Y layer stack may be employed with a HfO X , ZrO X , NiO X , TiO X , TaO X , or NbO X switching material.
  • a Ta/TaO X layer stack may be employed with a HfO X , TiO X , ZrO X , NiO X , NbO X or Al X O Y switching material.
  • a Nb/NbO X layer stack may be employed with a HfO X , TiO X , ZrO X , NiO X , TaO X or Al X O Y switching material.
  • a Hf/HfO X layer stack may be employed with a NbO X , TiO X , ZrO X , NiO X , TaO X or Al X O Y switching material.
  • the metal/metal oxide layer stack 110 may be formed from a similar material to that employed for the RRS material 104 .
  • a Ti/TiO X layer stack may be employed with a TiO X switching layer.
  • the metal oxide of the layer stack may have a different crystalline structure or other property compared to that of the switching material (e.g., amorphous versus crystalline structure).
  • the metal oxide layer of the metal/metal-oxide layer stack 110 may serve as a “buffer” layer that allows formation/elimination of oxygen vacancies within the switching material to be more controllable and/or repeatable, which may improve the endurance/longevity of the switching material 104 .
  • the TiO X layer 110 a may have a thickness of about 0.5-6 nanometers, and in some embodiments about 1 nanometer; and x may be about 1.2-2.0 and in some embodiments about 1.5.
  • the hafnium oxide layer 104 may have a thickness of about 3-12 nanometers, and in some embodiments about 5 nanometers; and x may be about 1.2-2 and in some embodiments about 1.7.
  • the n+ SiGe layer 108 may have a thickness of about 10-100 nanometers, and in some embodiments about 20 nanometers.
  • the doping concentration of the n+ SiGe layer 106 may be about 5 ⁇ 10 19 -5 ⁇ 10 21 atoms/cm 3 and in some embodiments about 2 ⁇ 10 20 atoms/cm 3 with about 5-35 atm % Ge. Other film thicknesses, x values, atm % of Ge and/or doping concentrations may be used.
  • FIG. 2A illustrates an MIM stack 200 a that includes an RRS material 104 sandwiched between a top electrode 106 and a bottom electrode 108 that includes a first metal-silicide layer 108 a and a second metal-silicide layer 108 b .
  • One or more additional layers 110 such as a metal layer, a metal oxide layer, a metal/metal oxide layer stack, or the like, may be employed within the MIM stack 200 a as described further below.
  • the RRS material 104 may include, for example, HfO X , ZrO X , NiO X , TiO X , TaO X , NbO X , Al X O Y , another MO X layer, or another suitable switching material.
  • the top electrode 106 may include titanium nitride, tantalum nitride, tungsten nitride, combinations of the same, a metal/metal nitride stack such as Ti/TiN, Ta/TaN, W/WN or another similar layer.
  • the top electrode 106 may include heavily doped semiconductor such as n+ silicon or p+ silicon, heavily doped germanium, or heavily doped silicon-germanium. Other materials and/or configurations may be used for the top electrode 106 .
  • the bottom electrode 108 may include two or more layers of metal silicide.
  • one or more of such metal silicide layers may be formed at temperatures lower than 750° C., such as 600° C. or less, and in some embodiments, about 500-550° C. or less, depending on the type of silicide employed.
  • cobalt silicide typically may be formed at temperatures of about 300-800° C. and nickel silicide typically may be formed at temperatures of about 400-800° C., depending on factors such as the ratio of Co or Ni atoms to Si atoms.
  • Titanium silicide and tungsten silicide typically require higher formation temperatures ranging from about 500-900° C. for titanium silicide and about 1000° C. or more for tungsten silicide. In any case, use of metal silicides may still provide ample Si for oxygen vacancy formation.
  • Exemplary materials that may be used for the first metal-silicide layer 108 a and/or the second metal-silicide layer 108 b include titanium silicide, tantalum silicide, tungsten silicide, nickel silicide, cobalt silicide or molybdenum silicide, although other metal-silicides may be used.
  • the lower (first) metal-silicide layer 108 a may be formed at a lower temperature than the upper (second) metal-silicide layer 108 b .
  • the lower metal-silicide layer 108 a may serve as a crystallization seed layer or “template” for the upper metal-silicide layer 108 b , allowing the upper metal-silicide layer 108 b to be formed at a lower temperature.
  • the first metal-silicide layer 108 a may include nickel silicide or cobalt silicide while the second metal-silicide layer 108 b may include titanium silicide.
  • the first metal-silicide layer 108 a may include titanium silicide and the second metal-silicide layer 108 b may include tungsten silicide.
  • Other combinations of silicide layers may be used.
  • Exemplary thicknesses for the first and/or second metal-silicide layers 108 a and 108 b range from about 2 to about 50 nanometers. Other thicknesses may be used for either or both layers. While two metal-silicide layers are shown in FIG. 2A , it will be understood that more than two metal-silicide layers may be employed (e.g., 3, 4, 5, etc.).
  • the additional layer(s) 110 may include, for example, titanium, titanium oxide, tantalum, tantalum oxide, tungsten, tungsten oxide, etc.
  • the additional layer(s) 110 may include a metal/metal oxide layer stack such as Ti/TiO X , Zr/ZrO X , Ni/NiO X , Al/Al X O Y , Ta/TaO X , Nb/NbO X , Hf/HfO X , or any suitable layer stack.
  • a metal/metal oxide layer stack such as Ti/TiO X , Zr/ZrO X , Ni/NiO X , Al/Al X O Y , Ta/TaO X , Nb/NbO X , Hf/HfO X , or any suitable layer stack.
  • FIG. 2B illustrates a particular exemplary embodiment of the MIM stack 200 a of FIG. 2A , referred to as MIM stack 200 b in FIG. 2B .
  • the bottom electrode 108 is a multi-layer metal-silicide stack
  • the RRS material 104 is hafnium oxide
  • the top electrode 106 is titanium nitride
  • a titanium or titanium oxide layer is provided as a buffer layer between the hafnium oxide switching material (RRS material 104 ) and the TiN top electrode 106 .
  • each metal-silicide layer 108 a or 108 b of the bottom electrode 108 may have a thickness of about 2 to 50 nanometers, in other embodiments about 5-25 nanometers, and in some embodiments about 20 nanometers.
  • the Ti or TiO X layer 110 may have a thickness of about 0.5-10 nanometers, and in some embodiments about 2 nanometers. When TiO X is employed, x may be about 1.2-2, and in some embodiments about 1.5.
  • the hafnium oxide layer 104 may have a thickness of about 3-12 nanometers, and in some embodiments about 5 nanometers, with x being about 1.2-2.0 and in some embodiments about 1.7.
  • the TiN top electrode 106 may have a thickness of about 2 to 100 nanometers, in other embodiments about 10-60 nanometers, and in some embodiments about 20 nanometers. Other film thicknesses, x values and/or doping concentrations may be used.
  • FIG. 2C illustrates a cross-sectional view of another exemplary MIM stack 200 c having a multi-layer silicide bottom electrode 108 , RRS material 104 formed above the bottom electrode 108 , a metal/metal oxide layer stack 110 including metal oxide layer 110 a and metal layer 110 b formed above the RRS material 104 , and a top metal nitride electrode 106 formed above the metal/metal oxide layer stack 110 .
  • the top electrode 106 may include, for example, titanium nitride, tantalum nitride, tungsten nitride, combinations of the same, a metal/metal nitride stack such as Ti/TiN, Ta/TaN, W/WN or another similar barrier layer.
  • the metal/metal oxide layer stack 110 may include, for example, Ti/TiO X , Zr/ZrO X , Ni/NiO X , Al/Al X O Y , Ta/TaO X , Nb/NbO X , Hf/HfO X or another similar layer stack.
  • the RRS material 104 may include, for example, HfO X , ZrO X , NiO X , TiO X , TaO X , NbO X or Al X O Y or another suitable switching material.
  • the metal/metal-oxide layer stack 110 may be formed from a different material than is employed for the RRS material 104 .
  • a Ti/TiO X layer stack may be employed with a HfO X , ZrO X , NiO X , TaO X , NbO X or Al X O Y switching material.
  • a Zr/ZrO X layer stack may be used with a HfO X , NiO X , TiO X , TaO X , NbO X or Al X O Y switching material.
  • a Ni/NiO X layer stack may be used with a HfO X , ZrO X , TiO X , TaO X , NbO X or Al X O Y switching material.
  • An Al/Al X O Y layer stack may be employed with a HfO X , ZrO X , NiO X , TiO X , TaO X , or NbO X switching material.
  • a Ta/TaO X layer stack may be employed with a HfO X , TiO X , ZrO X , NiO X , NbO X or Al X O Y switching material.
  • a Nb/NbO X layer stack may be employed with a HfO X , TiO X , ZrO X , NiO X , TaO X or Al X O Y switching material.
  • a Hf/HfO X layer stack may be employed with a NbO X , TiO X , ZrO X , NiO X , TaO X or Al X O Y switching material.
  • the metal/metal oxide layer stack 110 may be formed from a similar material to that employed for the RRS material 104 .
  • a Ti/TiO X layer stack may be employed with a TiO X switching layer.
  • the metal oxide of the layer stack may have a different crystalline structure or other property compared to that of the switching material (e.g., amorphous versus crystalline structure).
  • FIG. 2D illustrates a particular exemplary embodiment of the MIM stack 200 c , referred to as MIM stack 200 d in FIG. 2D , in which the top electrode 106 is titanium nitride, the metal/metal oxide layer stack 110 is titanium over titanium oxide, the RRS material 104 is hafnium oxide and the bottom electrode 108 is a multi-layer metal-silicide stack.
  • the top electrode 106 TiN
  • TiN may have a thickness of about 10-60 nanometers, and in some embodiments about 20 nanometers.
  • the Ti layer 110 b may have a thickness of about 0.5-10 nanometers, and in some embodiments about 2 nanometers.
  • the TiO X layer 110 a may have a thickness of about 0.5-6 nanometers, and in some embodiments about 1 nanometer; and x may be about 1.2-2.0 and in some embodiments about 1.5.
  • the hafnium oxide layer 104 may have a thickness of about 3-12 nanometers, and in some embodiments about 5 nanometers; and x may be about 1.2-2 and in some embodiments about 1.7.
  • Each metal-silicide layer 108 a or 108 b may have a thickness of about 2-50 nanometers, and in some embodiments about 25 nanometers. Other film thicknesses, x values and/or doping concentrations may be used.
  • FIG. 2E illustrates an alternative exemplary embodiment of the MIM stack 200 a of FIG. 2A , referred to as MIM stack 200 e in FIG. 2E .
  • the MIM stack 200 e of FIG. 2E is similar to the MIM stack 200 a of FIG. 2A , but includes an additional metal (“M”), metal nitride (“MN X ”) or MO X layer 212 positioned between the RRS material 104 and the multi-layer metal-silicide layer stack 108 (and that may form part of the bottom electrode 108 ).
  • M additional metal
  • MN X metal nitride
  • MO X layer 212 MO X layer 212
  • the metal, metal nitride or metal oxide layer 212 may include one or more of silicon, silicon nitride or oxide, aluminum, aluminum nitride or oxide, lanthanum, lanthanum nitride or oxide, molybdenum, molybdenum nitride or oxide, tantalum, tantalum nitride or oxide, chromium, chromium nitride or oxide, hafnium, hafnium nitride or oxide, niobium, niobium nitride or oxide, vanadium, vanadium nitride or oxide, zirconium, or zirconium nitride or oxide.
  • an alloy such as n+ SiGe may be used as the metal, metal nitride or metal oxide layer 212 .
  • Other materials may also be employed.
  • Certain metals may promote oxygen vacancy formation in metal oxides by making oxygen vacancy formation more energetically favorable, effectively reducing the Gibbs free energy of forming oxygen vacancies within the metal oxides. See, for example, Roberston et al., “Fermi level pinning by defects in HfO 2 -metal gate stacks,” Appl. Phys. Letters 91, 132912 (2007), which describes oxygen vacancy formation in a HfO 2 gate oxide/Si channel system.
  • the additional metal, metal nitride or metal oxide layer 212 may be selected so as to have a Gibbs free energy of formation per O between about ⁇ 3 and ⁇ 6 eV so as to promote oxygen vacancy formation within the metal oxide RRS material 104 .
  • Exemplary metals that may be suitable include, for example, Yb, Tb, Y, So, La, Hf, Mg, Zr, Ta, Nb, V, Zn, W, Mo, Ti, Al, Cr, Si, Ni, Re, Co, Cu, Ru, Rh, Pd, and Ir.
  • Metal nitrides (or metal oxides) of these metals may render oxygen vacancy formation energetically more favorable within resistivity-switching metal oxides. Accordingly, in some embodiments, the additional metal, metal nitride or metal oxide layer 212 may be formed from one or more metals, metal nitrides or metal oxides of the above-listed materials, or any other materials having a Gibbs free energy of formation per O between about ⁇ 3 and ⁇ 6 eV.
  • Exemplary thicknesses for the metal, metal nitride or metal oxide layer 212 may range from about 10 to about 100 angstroms. Other thicknesses may be used.
  • FIGS. 2F-2H illustrate MIM stacks 200 f - 200 h that are similar to the MIM stacks 200 b - 200 d of FIGS. 2B-2D , but include the metal, metal nitride or metal oxide layer 212 .
  • FIGS. 3A-3D illustrates alternative embodiments of the MIM stacks 200 e - 200 h of FIGS. 2E-2H , referred to as MIM stacks 300 a - d in FIGS. 3A-3D , in which a metal, metal nitride or metal oxide layer such as the metal, metal nitride or metal oxide layer 212 is used as the bottom electrode 108 without the first and second metal-silicide layers 108 a and 108 b.
  • a metal, metal nitride or metal oxide layer such as the metal, metal nitride or metal oxide layer 212 is used as the bottom electrode 108 without the first and second metal-silicide layers 108 a and 108 b.
  • the metal, metal nitride or metal oxide bottom electrode 108 may include one or more of silicon, silicon nitride or oxide, aluminum, aluminum nitride or oxide, lanthanum, lanthanum nitride or oxide, molybdenum, molybdenum nitride or oxide, tantalum, tantalum nitride or oxide, chromium, chromium nitride or oxide, hafnium, hafnium nitride or oxide, niobium, niobium nitride or oxide, vanadium, vanadium nitride or oxide, zirconium or zirconium nitride or oxide. Other materials may also be employed.
  • the metal, metal nitride or metal oxide bottom electrode 108 may be selected to promote oxygen vacancy formation in the metal oxide switching layer 104 .
  • the metal, metal nitride or metal oxide bottom electrode 108 may be selected so as to have a Gibbs free energy of formation per O between about ⁇ 3 and ⁇ 6 eV.
  • Exemplary metals that may fall within this range include, for example, Yb, Tb, Y, So, La, Hf, Mg, Zr, Ta, Nb, V, Zn, W, Mo, Ti, Al, Cr, Si, Ni, Re, Co, Cu, Ru, Rh, Pd, and Ir.
  • Metal nitrides (or metal oxides) of these metals may similarly render oxygen vacancy formation more energetically favorable within resistivity-switching metal oxides. Accordingly, in some embodiments, the metal, metal nitride or metal oxide bottom electrode 108 may be formed from one or more metals, metal nitrides or metal oxides of the above-listed materials, or any other materials having a Gibbs free energy of formation per O between about ⁇ 3 and ⁇ 6 eV.
  • Exemplary thicknesses for the metal, metal nitride or metal oxide bottom electrode 108 may range from about 10 to about 100 angstroms. Other thicknesses may be used.
  • the metal, metal nitride or metal oxide bottom electrode 108 may include chromium, chromium nitride, hafnium, hafnium nitride, niobium, niobium nitride, vanadium, vanadium nitride, zirconium or zirconium nitride.
  • FIG. 4A is a schematic illustration of an exemplary memory cell 400 in accordance with this invention.
  • Memory cell 400 includes MIM stack 402 coupled to a steering element 404 .
  • MIM stack 402 includes RRS material 104 (not separately shown) which has a resistivity that may be reversibly switched between two or more states, and may be similar to any of the MIM stacks described previously with reference to FIGS. 1A-3D .
  • Steering element 404 may include a thin film transistor, a diode, a metal-insulator-metal tunneling current device, a punch-through diode, a Schottky-diode or another similar steering element that exhibits non-ohmic conduction by selectively limiting the voltage across and/or the current flow through MIM stack 402 .
  • memory cell 400 may be used as part of a two or three dimensional memory array and data may be written to and/or read from memory cell 400 without affecting the state of other memory cells in the array.
  • steering element 404 may be omitted, and memory cell 400 may be used with a remotely located steering element.
  • FIG. 4B is a simplified perspective view of an exemplary embodiment of memory cell 400 in accordance with this invention in which steering element 404 is a diode.
  • Memory cell 400 includes MIM stack 402 (having RRS material 104 ) coupled in series with diode 404 between a first conductor 406 a and a second conductor 406 b.
  • MIM stack 402 may serve as a reversible resistance-switching element for the memory cell 400 .
  • the MIM stack 402 may be similar to any of MIM stacks of FIGS. 1A-3D , or any other suitable MIM stack, and may include a top conducting layer 106 and a bottom conducting layer 108 that surround RRS material 104 and serve as top and bottom electrodes for the MIM stack 402 .
  • One or more additional layers 110 such as a metal layer, a metal oxide layer, a metal/metal oxide layer stack, or the like, may be employed within the MIM stack 402 as described previously.
  • a barrier layer 408 may be formed between MIM stack 402 and diode 404
  • a barrier layer 410 may be formed between MIM stack 402 and second conductor 406 b
  • An additional barrier layer 412 may be formed between diode 404 and first conductor 406 a .
  • Barrier layers 408 , 410 and 412 may include titanium, titanium nitride, tantalum, tantalum nitride, tungsten, tungsten nitride, molybdenum, combinations of the same, or another similar barrier layer.
  • Barrier layer 210 may be separate from or part of second conductor 406 b and barrier layer 412 may be separate from or part of first conductor 406 a.
  • Diode 404 may include any suitable diode such as a vertical polycrystalline p-n or p-i-n diode, whether upward pointing with an n-region above a p-region of the diode or downward pointing with a p-region above an n-region of the diode, a p-n-p or n-p-n punch through diode, a Schottky diode or the like. Exemplary embodiments of diode 204 are described below with reference to FIGS. 5A-5H .
  • the MIM stack 402 is positioned above diode 404 .
  • the MIM stack 402 alternatively may be positioned below the diode 404 .
  • First conductor 406 a and/or second conductor 406 b may include any suitable conductive material such as tungsten, any appropriate metal, heavily doped semiconductor material, a conductive silicide, a conductive silicide-germanide, a conductive germanide, a highly conductive carbon or the like.
  • first and second conductors 406 a and 406 b are line or rail-shaped and extend in different directions (e.g., substantially perpendicular to one another). Other conductor shapes and/or configurations may be used.
  • barrier layers, adhesion layers, antireflection coatings and/or the like may be used with first conductor 406 a and/or second conductor 406 b to improve device performance and/or aid in device fabrication.
  • FIG. 4D is a simplified perspective view of a portion of a first memory level 414 formed from a plurality of memory cells 400 , such as memory cells 400 of FIG. 4A or 4 B.
  • RRS material 104 conductive layers 106 and 108 , additional layer(s) 110 , diode 404 , and barrier layers 408 , 410 and 412 are not separately shown.
  • Memory array 414 is a “cross-point” array including a plurality of bit lines (second conductors 406 b ) and word lines (first conductors 406 a ) to which multiple memory cells are coupled (as shown). Other memory array configurations may be used, as may multiple levels of memory.
  • FIG. 4E is a simplified perspective view of a portion of a monolithic three dimensional memory array 416 a that includes a first memory level 418 positioned below a second memory level 420 .
  • Memory levels 418 and 420 each include a plurality of memory cells 400 in a cross-point array.
  • additional layers e.g., an interlevel dielectric
  • FIG. 4E Other memory array configurations may be used, as may additional levels of memory.
  • all diodes may “point” in the same direction (have the same “steering element” polarity orientation), such as upward or downward depending on whether p-i-n diodes having a p-doped region on the bottom or top of the diodes are employed, simplifying diode fabrication.
  • the memory levels may be formed as described in U.S. Pat. No. 6,952,030, titled “High-Density Three-Dimensional Memory Cell,” which is hereby incorporated by reference herein in its entirety for all purposes.
  • the second (top) conductors of a first memory level may be used as the first (bottom) conductors of a second memory level that is positioned above the first memory level as shown in FIG. 4F .
  • the diodes on adjacent memory levels preferably point in opposite directions as described in U.S. patent application Ser. No. 11/692,151, filed Mar. 27, 2007 and titled “Large Array Of Upward Pointing P-I-N Diodes Having Large And Uniform Current” (the “'151 Application”), which is hereby incorporated by reference herein in its entirety for all purposes.
  • the diodes of first memory level 418 may be upward pointing diodes as indicated by arrow D 1 (e.g., with p regions at the bottom of the diodes), whereas the diodes of second memory level 420 may be downward pointing diodes as indicated by arrow D 2 (e.g., with n regions at the bottom of the diodes), or vice versa.
  • MIM stacks 402 are bipolar, in embodiments in which conductors are shared between memory levels as in FIG. 4F , MIM stacks 402 may be arranged to have the same voltage polarity orientation within a memory level, but opposite voltage polarity orientations between adjacent memory levels. For example, the MIM stacks 402 of first memory level 418 may be positively oriented whereas the MIM stacks 402 of the second memory level 420 may be negatively oriented, or vice versa.
  • the diodes 404 may be oriented to be reversed biased during the set operations of the MIM stacks 402 . Alternatively, the diodes 404 may be oriented to be forward biased during the set operations of the MIM stacks 402 .
  • a monolithic three dimensional memory array is one in which multiple memory levels are formed above a single substrate, such as a wafer, with no intervening substrates.
  • the layers forming one memory level are deposited or grown directly over the layers of an existing level or levels.
  • stacked memories have been constructed by forming memory levels on separate substrates and adhering the memory levels atop each other, as in Leedy, U.S. Pat. No. 5,915,167, titled “Three Dimensional Structure Memory.”
  • the substrates may be thinned or removed from the memory levels before bonding, but as the memory levels are initially formed over separate substrates, such memories are not true monolithic three dimensional memory arrays.
  • FIG. 5A is a cross sectional view of a first memory cell 500 a provided in accordance with the present invention. Additional memory cells (not shown) may be provided at each memory level as described in FIGS. 4D-F .
  • memory cell 500 a includes a MIM stack 502 a coupled in series with a diode 504 a between bit line 506 a and word line 506 b .
  • MIM stack 502 a has a positive polarity orientation such that a positive voltage applied to word line 506 b relative to bit line 506 a may be employed to set the MIM stack 502 a .
  • Diode 504 a is oriented so as to be reversed biased during such a set operation. In other embodiments, diode 504 a may be oriented so as to be forward biased while a set operation is performed on the MIM stack 502 a.
  • MIM stack 502 a may include any of the MIM stacks previously described, or any other suitable MIM stack. In FIG. 5A , MIM stack 502 a is shown as being similar to MIM stack 100 d ( FIG. 1D ).
  • Diode 504 a may include any two terminal, non-linear steering element such as a p-n or p-i-n junction diode, a punch through diode, a tunneling oxide device, a Schottky diode, or the like. In FIG. 5A , diode 504 a is shown as being a p-i-n junction diode.
  • bit line 506 a includes bit line 506 a .
  • Bit line 506 a may be about 200 to about 2500 angstroms of any suitable conductive material such as tungsten or another appropriate metal, heavily doped semiconductor material, a conductive silicide, a conductive silicide-germanide, a conductive germanide, or the like.
  • a plurality of bit lines 506 a may be formed as substantially parallel, substantially co-planar bit lines 506 a.
  • Exemplary widths for bit lines 506 a and/or spacings between bit lines 506 a range from about 200 to about 2500 angstroms, although other conductor widths and/or spacings may be used.
  • Bit lines 506 a may be separated from one another by dielectric material (not shown) such as silicon dioxide, silicon nitride, silicon oxynitride, low K dielectric, etc., and/or other dielectric materials.
  • Barrier layer 512 is formed over bit line 506 a .
  • Barrier layer 512 may be about 20 to about 500 angstroms, and in some embodiments about 100 angstroms, of titanium nitride or another suitable barrier layer such as tantalum nitride, tungsten nitride, tungsten, molybdenum, combinations of one or more barrier layers, barrier layers in combination with other layers such as titanium/titanium nitride, tantalum/tantalum nitride or tungsten/tungsten nitride stacks, or the like. Other barrier layer materials and/or thicknesses may be employed.
  • the diode 504 a is formed from a polycrystalline semiconductor material such as polysilicon, a polycrystalline silicon-germanium alloy, polygermanium or any other suitable material.
  • a heavily doped amorphous or polycrystalline p+ silicon layer 504 a - 1 may be deposited on barrier layer 512 .
  • CVD or another suitable process may be employed to deposit p+ silicon layer 504 a - 1 .
  • p+ silicon layer 504 a - 1 may be formed, for example, from about 100 to about 1000 angstroms, in some embodiments about 100 angstroms, of p+ silicon with a doping concentration of about 10 21 cm ⁇ 3 . Other layer thicknesses and/or doping concentrations may be used. P+ silicon layer 504 a - 1 may be doped in situ, for example, by flowing an acceptor gas during deposition, or ex situ, for example, via implantation.
  • a lightly doped, intrinsic and/or unintentionally doped amorphous or polycrystalline silicon layer 504 a - 2 may be formed over p+ silicon layer 504 a - 1 .
  • CVD or another suitable deposition method may be employed to deposit intrinsic silicon layer 504 a - 2 .
  • intrinsic silicon layer 504 a - 2 may be about 500 to about 4800 angstroms, in some embodiments about 2500 angstroms, in thickness. Other intrinsic layer thicknesses may be used.
  • n+ silicon layer 504 a - 3 may be deposited and doped by ion implantation or doped in situ during deposition to form an n+ silicon layer 504 a - 3 . Further, in some embodiments, a diffusion process may be employed. In at least one embodiment, the resultant n+ silicon layer 504 a - 3 may have a thickness of about 100 to about 1000 angstroms, in some embodiments about 100 angstroms, with a doping concentration of about 10 21 cm ⁇ 3 . Other layer thicknesses and/or doping concentrations may be used.
  • a silicide-forming metal layer stack 508 may be deposited over n+ silicon layer 504 a - 3 .
  • exemplary silicide-forming metals include sputter or otherwise deposited titanium or cobalt.
  • a silicide-forming metal layer stack 508 is formed from about 1-4 nanometers of titanium and about 15-25 nanometers of titanium nitride. Other silicide-forming metal layer materials and/or thicknesses may be used.
  • a silicide layer may be formed by sputtering a silicide target or by co-sputtering silicide forming metal and silicon.
  • silicide-forming materials such as titanium and/or cobalt react with deposited silicon during annealing to form a silicide layer.
  • silicide layers may serve as “crystallization templates” or “seeds” for adjacent deposited silicon as the deposited silicon crystallizes (e.g., a silicide layer may enhance the crystalline structure of silicon diode 504 a during annealing). Lower resistivity silicon thereby is provided. Similar results may be achieved for silicon-germanium alloy and/or germanium diodes.
  • bottom electrode 108 of MIM stack 502 a may be formed.
  • bottom electrode 108 may include a layer of SiGe alloy having, for example, between about 5 to about 35 atm % Ge and an n+ doping concentration of about 5 ⁇ 10 19 -5 ⁇ 10 21 atoms/cm 3 , and in some embodiments about 2 ⁇ 10 20 atoms/cm 3 .
  • SiGe bottom electrodes with about 5-35 atm % Ge significantly reduce crystalline anneal temperatures while still providing ample Si for oxygen vacancy formation.
  • low temperature processes such as low pressure chemical vapor deposition (“LPCVD”) or plasma enhanced chemical vapor deposition (“PECVD”) may be employed to form the SiGe bottom electrode 108 .
  • LPCVD low pressure chemical vapor deposition
  • PECVD plasma enhanced chemical vapor deposition
  • Exemplary temperature ranges at which the SiGe bottom electrode 108 may be formed (crystallized) are 600° C. or less, and in some embodiments 550° C. or less.
  • Exemplary thicknesses for the SiGe bottom electrode 108 range from about 2 to 100 nanometers. Other atm % of Ge, doping types, doping levels, formation temperatures and/or layer thicknesses may be used in other embodiments.
  • RRS material 104 may be formed by atomic layer deposition (“ALD”) or another suitable method.
  • the RRS material 104 may include HfO X , ZrO X , NiO X , TiO X , TaO X , NbO X , Al X O Y , combinations of one or more of these metal oxides, or another suitable switching material.
  • the RRS material 104 may include HfO X having a thickness of about 3-12 nanometers, and in some embodiments about 5 nanometers, with x being about 1.2-2.0 and in some embodiments about 1.7. Other thickness ranges and/or x values may be used.
  • a metal/metal oxide layer stack 110 may be formed.
  • the metal/metal oxide layer stack 110 may include, for example, Ti/TiO X , Zr/ZrO X , Ni/NiO X , Al/Al X O Y , Ta/TaO X , Nb/NbO X , Hf/HfO X or another similar layer stack.
  • the metal/metal oxide layer stack 110 may include Ti layer 110 b having a thickness of about 0.5-10 nanometers, and in some embodiments about 2 nanometers and TiO X layer 110 a having a thickness of about 0.5-6 nanometers, and in some embodiments about 1 nanometer; and x may be about 1.2-2.0 and in some embodiments about 1.5. Other thicknesses and/or x values may be used.
  • the TiO X layer 110 a may be formed, for example, by depositing a layer of Ti over the HfO X layer 104 and then oxidizing the Ti to form the TiO X layer 110 a .
  • a layer of Ti may be deposited via PVD and then oxidized in the same ALD chamber used to form the HfO X layer 104 (e.g., by not flowing the Hf precursor).
  • the Ti layer 110 b may then be formed over the TiO X layer 110 a.
  • Top electrode 106 is formed over Ti layer 110 b .
  • top electrode 106 may include titanium nitride, tantalum nitride, tungsten nitride, combinations of the same, a metal/metal nitride stack such as Ti/TiN, Ta/TaN, W/WN or another similar barrier layer.
  • the top electrode 106 may include about 10-60 nanometers, and in some embodiments about 20 nanometers of TiN. Other layer thicknesses may be used.
  • n+ SiGe layer 108 , HfO X layer 104 , TiO X layer 110 a , Ti Layer 110 b and/or TiN layer 106 may be formed in a single cluster tool (e.g., without breaking vacuum) to improve the interfaces between the various layers.
  • any suitable etch process may be used.
  • a hard mask process may be employed as follows:
  • the photoresist layer then may be exposed and developed, and the polysilicon hard mask layer may be etched using, for example, HBr, Cl 2 , O 2 , and/or He in a suitable high-density plasma etch chamber.
  • the oxide hard mask may be etched through the patterned and etched polysilicon hard mask using, for example, C 4 F 6 , O 2 , and Ar in a suitable medium-density plasma etch chamber.
  • the metal hard mask may then be etched through the patterned and etched oxide hard mask using, for example, NF 3 , Ar, N 2 , Cl 2 , He, and/or O 2 in a suitable high-density plasma etch chamber.
  • the TiN top electrode 106 may be etched using, for example, HBr, Cl 2 , and/or He; the Ti/TiO X metal layer stack 110 may be etched using, for example, CF 4 , Cl 2 , He, and/or N 2 ; the HfO X RRS material 104 may be etched using, for example, HBr, Cl 2 , He, and/or N 2 ; the n+ SiGe bottom electrode 108 may be etched using, for example, HBr, Cl 2 , He, O 2 and/or N 2 ; the Ti/TiN layer stack 508 may be etched using, for example, HBr, Cl 2 , and/or He; the polysilicon diode 504 a may be etched using, for example, HBr, Cl 2 , He, O 2 and/or N 2 ; and the TiN layer 512 may be etched using, for example, HBr, Cl 2 , and/or He. All of these etch processes may be
  • the resulting pillar structure 514 may be surrounded by a suitable dielectric to isolate it from other similar pillar structures (not shown) on the same memory level.
  • a suitable dielectric For example, approximately 200-7000 angstroms of silicon dioxide may be deposited and planarized using chemical mechanical polishing or an etchback process to remove excess dielectric material and form a planar surface for receiving word line 506 b .
  • a thin silicon nitride liner such as about 50 to 200 angstroms, may be deposited prior to silicon dioxide deposition.
  • Word line 506 b may be formed from any suitable conductive material such as tungsten, another suitable metal, heavily doped semiconductor material, a conductive silicide, a conductive silicide-germanide, a conductive germanide, or the like deposited by any suitable method (e.g., CVD, PVD, etc.). Other conductive layer materials may be used. For example, conductive material may be deposited and etched to form word line 506 b (and other word lines not separately shown). In at least one embodiment, such word lines are substantially parallel, substantially coplanar conductors that extend in a different direction than bit line(s) 506 a (as shown in FIG. 4F , for example).
  • Word line 506 b may be isolated from other word lines via a suitable dielectric fill and etchback process. Thereafter, an additional memory cell (not shown) may be formed over the word line 506 b in a manner similar to that used to form the memory cell 500 a.
  • the resultant structure may be annealed to crystallize the deposited semiconductor material of diode 504 a (and/or to form silicide regions by reaction of silicide-forming metal from layer 508 with silicon region(s) of the diode 504 a ).
  • silicide layers may serve as “crystallization templates” or “seeds” for adjacent deposited silicon as the deposited silicon crystallizes (e.g., a silicide layer may enhance the crystalline structure of silicon diodes during annealing at temperatures of about 600-800° C.). Lower resistivity diode material thereby is provided. Similar results may be achieved for silicon-germanium alloy and/or germanium diodes.
  • a crystallization anneal may be performed for about 10 seconds to about 2 minutes in nitrogen at a temperature of about 600 to 800° C., and in some embodiments between about 650 and 750° C. Other annealing times, temperatures and/or environments may be used.
  • FIG. 5B is a cross sectional view of a second memory cell 500 b provided in accordance with the present invention.
  • the second memory cell 500 b of FIG. 5B is similar to the first memory cell 500 a of FIG. 5A , but employs a unipolar steering element in place of the bipolar steering element employed by the first memory cell 500 a .
  • the diode 504 b in FIG. 5B is a punch through diode rather than a p-i-n junction diode as is used in the memory cell 500 a of FIG. 5A .
  • other steering elements may be used such as tunneling devices, Schottky diodes or the like.
  • FIG. 5C is a cross sectional view of a third memory cell 500 c provided in accordance with the present invention.
  • the third memory cell 500 c of FIG. 5C is similar to the first memory cell 500 a of FIG. 5A (and may be similarly formed), but employs a bi-layer metal-silicide bottom electrode 108 (as described previously with reference to FIGS. 2A-2D ).
  • FIG. 5D is a cross sectional view of a fourth memory cell 500 d provided in accordance with the present invention.
  • the fourth memory cell 500 d of FIG. 5D is similar to the third memory cell 500 c of FIG. 5C , but employs a unipolar steering element in place of the bipolar steering element employed by the third memory cell 500 c .
  • the diode 504 d in FIG. 5D is a punch through diode rather than a p-i-n junction diode as is used in the memory cell 500 c of FIG. 5C .
  • other steering elements may be used such as tunneling devices, Schottky diodes or the like.
  • FIG. 5E is a cross sectional view of a fifth memory cell 500 e provided in accordance with the present invention.
  • the fifth memory cell 500 e of FIG. 5E is similar to the first memory cell 500 a of FIG. 5A (and may be similarly formed), but employs a metal-silicide bi-layer with an additional metal, metal nitride or metal oxide layer 112 for the bottom electrode (as described previously with reference to FIGS. 2E-2H ).
  • FIG. 5F is a cross sectional view of a sixth memory cell 500 f provided in accordance with the present invention.
  • the sixth memory cell 500 f of FIG. 5F is similar to the fifth memory cell 500 e of FIG. 5E , but employs a unipolar steering element in place of the bipolar steering element employed by the fifth memory cell 500 e .
  • the diode 504 f in FIG. 5F is a punch through diode rather than a p-i-n junction diode as is used in the memory cell 500 e of FIG. 5E .
  • other steering elements may be used such as tunneling devices, Schottky diodes or the like.
  • FIG. 5G is a cross sectional view of a seventh memory cell 500 g provided in accordance with the present invention.
  • the seventh memory cell 500 g of FIG. 5G is similar to the first memory cell 500 a of FIG. 5A (and may be similarly formed), but employs a metal, metal nitride or metal oxide layer for the bottom electrode 108 (as described previously with reference to FIGS. 3A-3D ).
  • FIG. 5H is a cross sectional view of an eighth memory cell 500 h provided in accordance with the present invention.
  • the eighth memory cell 500 h of FIG. 5H is similar to the seventh memory cell 500 g of FIG. 5G , but employs a unipolar steering element in place of the bipolar steering element employed by the seventh memory cell 500 g .
  • the diode 504 h in FIG. 5H is a punch through diode rather than a p-i-n junction diode as is used in the memory cell 500 g of FIG. 5G .
  • other steering elements may be used such as tunneling devices, Schottky diodes or the like.
  • MIM stacks may be placed above or below steering elements within any memory cells.

Abstract

In a first aspect, a metal-insulator-metal (“MIM”) stack is provided that includes a first conductive layer, a resistivity-switching layer having a metal oxide layer formed above the first conductive layer, a material layer between the first conductive layer and the resistivity-switching layer, and a second conductive layer above the resistivity-switching layer. The first conductive layer includes a multi-layer metal-silicide stack, and the material layer has a Gibbs free energy of formation per O between about −3 and −6 eV. A memory cell may be formed from the MIM stack. Numerous other aspects are provided.

Description

    REFERENCE TO RELATED APPLICATIONS
  • This application is a continuation of U.S. patent application Ser. No. 13/047,098, filed on Mar. 14, 2011, now U.S. Pat. No. 8,354,660, which claims priority to U.S. Provisional Patent Application Ser. No. 61/314,577, filed Mar. 16, 2010, each of which is incorporated by reference herein in its entirety for all purposes.
  • BACKGROUND
  • The present invention relates to memory arrays and more particularly to bottom electrodes for use with metal oxide resistivity switching layers. Reversible resistivity-switching materials such as metal oxides may be used as storage elements in memory arrays. For example, U.S. Pat. No. 7,812,404, filed May 9, 2005 and titled “Non-Volatile Memory Cell Comprising A Diode And A Resistance-Switching Material,” which is hereby incorporated by reference herein in its entirety, describes a rewriteable non-volatile memory cell that includes a diode coupled in series with an RRS material such as a metal oxide or metal nitride.
  • However, fabricating memory devices from metal oxide rewriteable resistivity-switching materials with desirable switching characteristics is difficult; and improved memory devices that employ metal oxide resistivity-switching materials are desirable.
  • SUMMARY
  • In a first aspect of the invention, a metal-insulator-metal stack is provided that includes a first conductive layer, a resistivity-switching layer having a metal oxide layer formed above the first conductive layer, a material layer between the first conductive layer and the resistivity-switching layer, and a second conductive layer above the resistivity-switching layer. The first conductive layer includes a multi-layer metal-silicide stack, and the material layer has a Gibbs free energy of formation per O between about −3 and −6 eV. A memory cell may be formed from the MIM stack.
  • In a second aspect of the invention, a method is provided of forming a metal-insulator-metal stack. The method includes forming a first conductive layer having a multi-layer metal-silicide stack, forming a resistivity-switching layer having a metal oxide layer above the first conductive layer, forming a material layer between the first conductive layer and the resistivity-switching layer, and forming a second conductive layer above the resistivity-switching layer. The material layer has a Gibbs free energy of formation per O between about −3 and −6 eV. Numerous other aspects are provided.
  • Other features and aspects of this invention will become more fully apparent from the following detailed description, the appended claims and the accompanying drawings.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIGS. 1A-1D are cross-sectional views of first exemplary metal-insulator-metal stacks provided in accordance with the present invention.
  • FIGS. 2A-2H are cross-sectional views of second exemplary metal-insulator-metal stacks provided in accordance with the present invention.
  • FIGS. 3A-3D are cross-sectional views of third exemplary metal-insulator-metal stacks provided in accordance with the present invention.
  • FIG. 4A is a schematic illustration of an exemplary memory cell in accordance with this invention.
  • FIG. 4B is a simplified perspective view of another exemplary embodiment of a memory cell in accordance with this invention.
  • FIG. 4C is a simplified perspective view of yet another exemplary embodiment of a memory cell in accordance with this invention.
  • FIG. 4D is a simplified perspective view of a portion of a first memory level formed from a plurality of memory cells in accordance with this invention.
  • FIG. 4E is a simplified perspective view of a portion of a first monolithic three dimensional memory array that includes a first memory level positioned below a second memory level in accordance with the present invention.
  • FIG. 4F is a simplified perspective view of a portion of a second monolithic three dimensional memory array that includes a first memory level positioned below a second memory level in accordance with the present invention.
  • FIGS. 5A-5H are cross sectional views of exemplary memory cell stacks provided in accordance with the present invention.
  • DETAILED DESCRIPTION
  • A metal-insulator-metal (“MIM”) stack formed from a reversible resistivity switching (“RRS”) material sandwiched between two metal or otherwise conducting layers may serve as a resistance-switching element for a memory cell. The two conducting layers may serve as the top and bottom electrodes of the resistance-switching element, and may be used to apply an electric field across the RRS material that changes the resistivity of the RRS material from a high value to a low value and vice versa.
  • In accordance with embodiments of the present invention, novel MIM stacks are provided that employ metal oxide resistivity-switching layers. Methods of forming such MIM stacks, as well as methods of employing such MIM stacks in three-dimensional (“3D”) memory arrays, are also provided.
  • These and other embodiments of the invention are described below with reference to FIGS. 1A-5H. For example, FIGS. 1A-1D illustrate MIM stacks that employ SiGe bottom electrodes. FIGS. 2A-2H illustrate MIM stacks that employ multi-layer metal-silicide bottom electrodes. FIGS. 3A-3D illustrate MIM stacks that employ bottom electrodes with metal, metal nitrides or metal oxides specifically selected to promote oxygen vacancy formation in metal oxide switching layers. FIGS. 4A-5H illustrate exemplary embodiments of memory cells and memory arrays that may use any of the above described MIM stacks and/or bottom electrodes.
  • MIM Stacks with SiGe Bottom Electrodes
  • In accordance with some embodiments of the invention, MIM stacks are provided that employ bottom electrodes comprised of a silicon germanium alloy. For example, FIG. 1A illustrates an MIM stack 100 a that includes an RRS material 104 sandwiched between a top electrode 106 and a SiGe bottom electrode 108. One or more additional layers 110 such as a metal layer, a metal oxide layer, a metal/metal oxide layer stack, or the like, may be employed within the MIM stack 100 a as described further below.
  • The RRS material 104 may include, for example, HfOX, ZrOX, NiOX, TiOX, TaOX, NbOX, AlXOY, another metal oxide (“MOX”) layer, any combination of these metal oxides, or another suitable switching material. In some embodiments, the top electrode 106 may include titanium nitride, tantalum nitride, tungsten nitride, combinations of the same, a metal/metal nitride stack such as Ti/TiN, Ta/TaN, W/WN or another similar layer. In other embodiments, the top electrode 106 may include heavily doped semiconductor such as n+ silicon or p+ silicon, heavily doped germanium, or heavily doped silicon-germanium. Other materials and/or configurations may be used for the top electrode 106.
  • Use of an n+ Si bottom electrode may improve the switching characteristics of a metal oxide such as HfO2 by effectively lowering the free energy for forming oxygen vacancies within the metal oxide. Typically, such an n+ Si electrode is formed by depositing an amorphous or polysilicon n+ Si layer and then annealing the n+ Si layer to improve its crystallinity and surface properties prior to formation of a metal oxide layer over the n+ Si layer. Such an anneal is generally achieved via a rapid thermal anneal (“RTA”) at a temperature of about 750° C. However, when forming a multi layer, 3 dimensional memory array, use of such a high RTA temperature for each memory layer may exceed the thermal budget of the memory array.
  • In accordance with some embodiments of the present invention, the bottom electrode of an MIM stack may include a layer of SiGe alloy having, for example, between about 5 to about 35 atm % Ge and an n+ doping concentration of about 5×1019-5×1021 atoms/cm3, in some embodiments about 2×1020 atoms/cm3. Such a SiGe alloy layer may be formed at a substantially lower temperature than a polycrystalline n+ Si bottom electrode layer as the presence of Ge lowers the crystallization temperature.
  • For example, in some embodiments, a polycrystalline SiGe alloy layer may be deposited at a temperature of about 600° C. or lower, and in some embodiments, about 550° C. or lower without requiring an additional high temperature anneal to improve crystallinity of the SiGe layer (depending on the atm % of Ge employed). Alternatively, an amorphous SiGe alloy layer be deposited at a lower deposition temperature and crystallized using an RTA of about 600° C. or less, and in some embodiments, about 550° C. or less (depending on the atm % of Ge employed).
  • In particular embodiments, SiGe bottom electrodes with about 5-35 atm % Ge significantly reduce deposition and/or crystallization anneal temperatures while still providing ample Si for oxygen vacancy formation within metal oxide switching layers. Exemplary thicknesses for the SiGe bottom electrode 108 range from about 2 to 100 nanometers. Other atm % of Ge, doping types, doping levels, annealing temperatures and/or layer thicknesses may be used.
  • In some embodiments, the additional layer(s) 110 may include, for example, titanium, titanium oxide, tantalum, tantalum oxide, tungsten, tungsten oxide, etc. In yet other embodiments, the additional layer(s) 110 may include a metal/metal oxide layer stack such as Ti/TiOX, Zr/ZrOX, Ni/NiOX, Al/AlXOY, Ta/TaOX, Nb/NbOX, Hf/HfOX, or any suitable layer stack.
  • FIG. 1B illustrates a particular exemplary embodiment of the MIM stack 100 a of FIG. 1A, referred to as MIM stack 100 b in FIG. 1B. In the MIM stack 100 b of FIG. 1B, the bottom electrode 108 is a SiGe alloy, the RRS material 104 is hafnium oxide, the top electrode 106 is titanium nitride, and a titanium or titanium oxide layer is provided as a buffer layer between the hafnium oxide switching material (RRS material 104) and the TiN top electrode 106.
  • For example, the bottom electrode 108 (SiGe) may have a thickness of about 2 to 100 nanometers, in other embodiments about 10-60 nanometers, and in some embodiments about 20 nanometers. The Ti or TiOX layer 110 may have a thickness of about 0.5-10 nanometers, and in some embodiments about 2 nanometers. When TiOX is employed, x may be about 1.2-2, and in some embodiments about 1.5. The hafnium oxide layer 104 may have a thickness of about 3-12 nanometers, and in some embodiments about 5 nanometers, with x being about 1.2-2.0 and in some embodiments about 1.7. The TiN top electrode 106 may have a thickness of about 2 to 100 nanometers, in other embodiments about 10-60 nanometers, and in some embodiments about 20 nanometers. The doping concentration of the n+ SiGe bottom electrode 108 may be about 5×1019-5×1021 atoms/cm3 and in some embodiments about 2×1020 atoms/cm3 with about 5-35 atm % Ge. Other film thicknesses, x values, atm % of Ge and/or doping concentrations may be used.
  • FIG. 1C illustrates a cross-sectional view of another exemplary MIM stack 100 c having a SiGe bottom electrode 108, RRS material 104 formed above the SiGe bottom electrode 108, a metal/metal oxide layer stack 110 including metal oxide layer 110 a and metal layer 110 b formed above the RRS material 104, and a top metal nitride electrode 106 formed above the metal/metal oxide layer stack 110.
  • In general, the top electrode 106 may include, for example, titanium nitride, tantalum nitride, tungsten nitride, combinations of the same, a metal/metal nitride stack such as Ti/TiN, Ta/TaN, W/WN or another similar barrier layer. The metal/metal oxide layer stack 110 may include, for example, Ti/TiOX, Zr/ZrOX, Ni/NiOX, Al/AlXOY, Ta/TaOX, Nb/NbOX, Hf/HfOX or another similar layer stack. The RRS material 104 may include, for example, HfOX, ZrOX, NiOX, TiOX, TaOX, NbOX or AlXOY, any combination of these metal oxides, or another suitable switching material.
  • In some embodiments, the metal/metal-oxide layer stack 110 may be formed from a different material than is employed for the RRS material 104. For example, a Ti/TiOX layer stack may be employed with a HfOX, ZrOX, NiOX, TaOX, NbOX or AlXOY switching material. A Zr/ZrOX layer stack may be used with a HfOX, NiOX, TiOX, TaOX, NbOX or AlXOY switching material. A Ni/NiOX layer stack may be used with a HfOX, ZrOX, TiOX, TaOX, NbOX or AlXOY switching material. An Al/AlXOY layer stack may be employed with a HfOX, ZrOX, NiOX, TiOX, TaOX, or NbOX switching material. A Ta/TaOX layer stack may be employed with a HfOX, TiOX, ZrOX, NiOX, NbOX or AlXOY switching material. A Nb/NbOX layer stack may be employed with a HfOX, TiOX, ZrOX, NiOX, TaOX or AlXOY switching material. A Hf/HfOX layer stack may be employed with a NbOX, TiOX, ZrOX, NiOX, TaOX or AlXOY switching material.
  • In other embodiments, the metal/metal oxide layer stack 110 may be formed from a similar material to that employed for the RRS material 104. For example, a Ti/TiOX layer stack may be employed with a TiOX switching layer. However, in such embodiments, the metal oxide of the layer stack may have a different crystalline structure or other property compared to that of the switching material (e.g., amorphous versus crystalline structure). The metal oxide layer of the metal/metal-oxide layer stack 110 may serve as a “buffer” layer that allows formation/elimination of oxygen vacancies within the switching material to be more controllable and/or repeatable, which may improve the endurance/longevity of the switching material 104.
  • FIG. 1D illustrates a particular exemplary embodiment of the MIM stack 100 c, referred to as MIM stack 100 d in FIG. 1D, in which the top electrode 106 is titanium nitride, the metal/metal oxide layer stack 110 is titanium over titanium oxide, the RRS material 104 is hafnium oxide and the bottom electrode 108 is n+ SiGe. For example, the top electrode 106 (TiN) may have a thickness of about 10-60 nanometers, and in some embodiments about 20 nanometers. The Ti layer 110 b may have a thickness of about 0.5-10 nanometers, and in some embodiments about 2 nanometers. The TiOX layer 110 a may have a thickness of about 0.5-6 nanometers, and in some embodiments about 1 nanometer; and x may be about 1.2-2.0 and in some embodiments about 1.5. The hafnium oxide layer 104 may have a thickness of about 3-12 nanometers, and in some embodiments about 5 nanometers; and x may be about 1.2-2 and in some embodiments about 1.7. The n+ SiGe layer 108 may have a thickness of about 10-100 nanometers, and in some embodiments about 20 nanometers. The doping concentration of the n+ SiGe layer 106 may be about 5×1019-5×1021 atoms/cm3 and in some embodiments about 2×1020 atoms/cm3 with about 5-35 atm % Ge. Other film thicknesses, x values, atm % of Ge and/or doping concentrations may be used.
  • MIM Stacks with Multi-Layer Silicide Bottom Electrodes
  • In accordance with some embodiments of the invention, MIM stacks are provided that employ bottom electrodes comprised of a two or more silicide layers. For example, FIG. 2A illustrates an MIM stack 200 a that includes an RRS material 104 sandwiched between a top electrode 106 and a bottom electrode 108 that includes a first metal-silicide layer 108 a and a second metal-silicide layer 108 b. One or more additional layers 110 such as a metal layer, a metal oxide layer, a metal/metal oxide layer stack, or the like, may be employed within the MIM stack 200 a as described further below.
  • The RRS material 104 may include, for example, HfOX, ZrOX, NiOX, TiOX, TaOX, NbOX, AlXOY, another MOX layer, or another suitable switching material. In some embodiments, the top electrode 106 may include titanium nitride, tantalum nitride, tungsten nitride, combinations of the same, a metal/metal nitride stack such as Ti/TiN, Ta/TaN, W/WN or another similar layer. In other embodiments, the top electrode 106 may include heavily doped semiconductor such as n+ silicon or p+ silicon, heavily doped germanium, or heavily doped silicon-germanium. Other materials and/or configurations may be used for the top electrode 106.
  • As stated, use of an n+ Si bottom electrode may improve the switching characteristics of a metal oxide such as HfO2 by effectively lowering the free energy for forming oxygen vacancies within the metal oxide. However, use of an n+ Si electrode typically requires a relatively high temperature anneal (e.g., about 750° C.) to improve crystallinity and surface properties of the n+ Si electrode prior to formation of a metal oxide layer over the n+ Si layer. When forming a multi layer, 3 dimensional memory array, use of such a high temperature anneal for each memory layer may exceed the thermal budget of the memory array.
  • In accordance with embodiments of the present invention, the bottom electrode 108 may include two or more layers of metal silicide. In some embodiments, one or more of such metal silicide layers may be formed at temperatures lower than 750° C., such as 600° C. or less, and in some embodiments, about 500-550° C. or less, depending on the type of silicide employed.
  • For example, cobalt silicide typically may be formed at temperatures of about 300-800° C. and nickel silicide typically may be formed at temperatures of about 400-800° C., depending on factors such as the ratio of Co or Ni atoms to Si atoms. Titanium silicide and tungsten silicide typically require higher formation temperatures ranging from about 500-900° C. for titanium silicide and about 1000° C. or more for tungsten silicide. In any case, use of metal silicides may still provide ample Si for oxygen vacancy formation.
  • Exemplary materials that may be used for the first metal-silicide layer 108 a and/or the second metal-silicide layer 108 b include titanium silicide, tantalum silicide, tungsten silicide, nickel silicide, cobalt silicide or molybdenum silicide, although other metal-silicides may be used. In some embodiments, the lower (first) metal-silicide layer 108 a may be formed at a lower temperature than the upper (second) metal-silicide layer 108 b. In such instances, the lower metal-silicide layer 108 a may serve as a crystallization seed layer or “template” for the upper metal-silicide layer 108 b, allowing the upper metal-silicide layer 108 b to be formed at a lower temperature.
  • For instance, the first metal-silicide layer 108 a may include nickel silicide or cobalt silicide while the second metal-silicide layer 108 b may include titanium silicide. Alternatively, the first metal-silicide layer 108 a may include titanium silicide and the second metal-silicide layer 108 b may include tungsten silicide. Other combinations of silicide layers may be used.
  • Exemplary thicknesses for the first and/or second metal- silicide layers 108 a and 108 b range from about 2 to about 50 nanometers. Other thicknesses may be used for either or both layers. While two metal-silicide layers are shown in FIG. 2A, it will be understood that more than two metal-silicide layers may be employed (e.g., 3, 4, 5, etc.).
  • In some embodiments, the additional layer(s) 110 may include, for example, titanium, titanium oxide, tantalum, tantalum oxide, tungsten, tungsten oxide, etc.
  • In yet other embodiments, the additional layer(s) 110 may include a metal/metal oxide layer stack such as Ti/TiOX, Zr/ZrOX, Ni/NiOX, Al/AlXOY, Ta/TaOX, Nb/NbOX, Hf/HfOX, or any suitable layer stack.
  • FIG. 2B illustrates a particular exemplary embodiment of the MIM stack 200 a of FIG. 2A, referred to as MIM stack 200 b in FIG. 2B. In the MIM stack 200 b of FIG. 2B, the bottom electrode 108 is a multi-layer metal-silicide stack, the RRS material 104 is hafnium oxide, the top electrode 106 is titanium nitride, and a titanium or titanium oxide layer is provided as a buffer layer between the hafnium oxide switching material (RRS material 104) and the TiN top electrode 106.
  • As an example, each metal- silicide layer 108 a or 108 b of the bottom electrode 108 may have a thickness of about 2 to 50 nanometers, in other embodiments about 5-25 nanometers, and in some embodiments about 20 nanometers. The Ti or TiOX layer 110 may have a thickness of about 0.5-10 nanometers, and in some embodiments about 2 nanometers. When TiOX is employed, x may be about 1.2-2, and in some embodiments about 1.5. The hafnium oxide layer 104 may have a thickness of about 3-12 nanometers, and in some embodiments about 5 nanometers, with x being about 1.2-2.0 and in some embodiments about 1.7. The TiN top electrode 106 may have a thickness of about 2 to 100 nanometers, in other embodiments about 10-60 nanometers, and in some embodiments about 20 nanometers. Other film thicknesses, x values and/or doping concentrations may be used.
  • FIG. 2C illustrates a cross-sectional view of another exemplary MIM stack 200 c having a multi-layer silicide bottom electrode 108, RRS material 104 formed above the bottom electrode 108, a metal/metal oxide layer stack 110 including metal oxide layer 110 a and metal layer 110 b formed above the RRS material 104, and a top metal nitride electrode 106 formed above the metal/metal oxide layer stack 110.
  • In general, the top electrode 106 may include, for example, titanium nitride, tantalum nitride, tungsten nitride, combinations of the same, a metal/metal nitride stack such as Ti/TiN, Ta/TaN, W/WN or another similar barrier layer. The metal/metal oxide layer stack 110 may include, for example, Ti/TiOX, Zr/ZrOX, Ni/NiOX, Al/AlXOY, Ta/TaOX, Nb/NbOX, Hf/HfOX or another similar layer stack. The RRS material 104 may include, for example, HfOX, ZrOX, NiOX, TiOX, TaOX, NbOX or AlXOY or another suitable switching material.
  • In some embodiments, the metal/metal-oxide layer stack 110 may be formed from a different material than is employed for the RRS material 104. For example, a Ti/TiOX layer stack may be employed with a HfOX, ZrOX, NiOX, TaOX, NbOX or AlXOY switching material. A Zr/ZrOX layer stack may be used with a HfOX, NiOX, TiOX, TaOX, NbOX or AlXOY switching material. A Ni/NiOX layer stack may be used with a HfOX, ZrOX, TiOX, TaOX, NbOX or AlXOY switching material. An Al/AlXOY layer stack may be employed with a HfOX, ZrOX, NiOX, TiOX, TaOX, or NbOX switching material. A Ta/TaOX layer stack may be employed with a HfOX, TiOX, ZrOX, NiOX, NbOX or AlXOY switching material. A Nb/NbOX layer stack may be employed with a HfOX, TiOX, ZrOX, NiOX, TaOX or AlXOY switching material. A Hf/HfOX layer stack may be employed with a NbOX, TiOX, ZrOX, NiOX, TaOX or AlXOY switching material.
  • In other embodiments, the metal/metal oxide layer stack 110 may be formed from a similar material to that employed for the RRS material 104. For example, a Ti/TiOX layer stack may be employed with a TiOX switching layer. However, in such embodiments, the metal oxide of the layer stack may have a different crystalline structure or other property compared to that of the switching material (e.g., amorphous versus crystalline structure).
  • FIG. 2D illustrates a particular exemplary embodiment of the MIM stack 200 c, referred to as MIM stack 200 d in FIG. 2D, in which the top electrode 106 is titanium nitride, the metal/metal oxide layer stack 110 is titanium over titanium oxide, the RRS material 104 is hafnium oxide and the bottom electrode 108 is a multi-layer metal-silicide stack. For example, the top electrode 106 (TiN) may have a thickness of about 10-60 nanometers, and in some embodiments about 20 nanometers. The Ti layer 110 b may have a thickness of about 0.5-10 nanometers, and in some embodiments about 2 nanometers. The TiOX layer 110 a may have a thickness of about 0.5-6 nanometers, and in some embodiments about 1 nanometer; and x may be about 1.2-2.0 and in some embodiments about 1.5. The hafnium oxide layer 104 may have a thickness of about 3-12 nanometers, and in some embodiments about 5 nanometers; and x may be about 1.2-2 and in some embodiments about 1.7. Each metal- silicide layer 108 a or 108 b may have a thickness of about 2-50 nanometers, and in some embodiments about 25 nanometers. Other film thicknesses, x values and/or doping concentrations may be used.
  • FIG. 2E illustrates an alternative exemplary embodiment of the MIM stack 200 a of FIG. 2A, referred to as MIM stack 200 e in FIG. 2E. The MIM stack 200 e of FIG. 2E is similar to the MIM stack 200 a of FIG. 2A, but includes an additional metal (“M”), metal nitride (“MNX”) or MOX layer 212 positioned between the RRS material 104 and the multi-layer metal-silicide layer stack 108 (and that may form part of the bottom electrode 108).
  • In some embodiments, the metal, metal nitride or metal oxide layer 212 may include one or more of silicon, silicon nitride or oxide, aluminum, aluminum nitride or oxide, lanthanum, lanthanum nitride or oxide, molybdenum, molybdenum nitride or oxide, tantalum, tantalum nitride or oxide, chromium, chromium nitride or oxide, hafnium, hafnium nitride or oxide, niobium, niobium nitride or oxide, vanadium, vanadium nitride or oxide, zirconium, or zirconium nitride or oxide. In other embodiments an alloy such as n+ SiGe may be used as the metal, metal nitride or metal oxide layer 212. Other materials may also be employed.
  • Certain metals may promote oxygen vacancy formation in metal oxides by making oxygen vacancy formation more energetically favorable, effectively reducing the Gibbs free energy of forming oxygen vacancies within the metal oxides. See, for example, Roberston et al., “Fermi level pinning by defects in HfO2-metal gate stacks,” Appl. Phys. Letters 91, 132912 (2007), which describes oxygen vacancy formation in a HfO2 gate oxide/Si channel system.
  • In some embodiments of the present invention, the additional metal, metal nitride or metal oxide layer 212 may be selected so as to have a Gibbs free energy of formation per O between about −3 and −6 eV so as to promote oxygen vacancy formation within the metal oxide RRS material 104. Exemplary metals that may be suitable include, for example, Yb, Tb, Y, So, La, Hf, Mg, Zr, Ta, Nb, V, Zn, W, Mo, Ti, Al, Cr, Si, Ni, Re, Co, Cu, Ru, Rh, Pd, and Ir.
  • Metal nitrides (or metal oxides) of these metals may render oxygen vacancy formation energetically more favorable within resistivity-switching metal oxides. Accordingly, in some embodiments, the additional metal, metal nitride or metal oxide layer 212 may be formed from one or more metals, metal nitrides or metal oxides of the above-listed materials, or any other materials having a Gibbs free energy of formation per O between about −3 and −6 eV.
  • Exemplary thicknesses for the metal, metal nitride or metal oxide layer 212 may range from about 10 to about 100 angstroms. Other thicknesses may be used.
  • FIGS. 2F-2H illustrate MIM stacks 200 f-200 h that are similar to the MIM stacks 200 b-200 d of FIGS. 2B-2D, but include the metal, metal nitride or metal oxide layer 212.
  • Additional MIM Stack Embodiments
  • FIGS. 3A-3D illustrates alternative embodiments of the MIM stacks 200 e-200 h of FIGS. 2E-2H, referred to as MIM stacks 300 a-d in FIGS. 3A-3D, in which a metal, metal nitride or metal oxide layer such as the metal, metal nitride or metal oxide layer 212 is used as the bottom electrode 108 without the first and second metal- silicide layers 108 a and 108 b.
  • In some embodiments, the metal, metal nitride or metal oxide bottom electrode 108 may include one or more of silicon, silicon nitride or oxide, aluminum, aluminum nitride or oxide, lanthanum, lanthanum nitride or oxide, molybdenum, molybdenum nitride or oxide, tantalum, tantalum nitride or oxide, chromium, chromium nitride or oxide, hafnium, hafnium nitride or oxide, niobium, niobium nitride or oxide, vanadium, vanadium nitride or oxide, zirconium or zirconium nitride or oxide. Other materials may also be employed.
  • As described above, the metal, metal nitride or metal oxide bottom electrode 108 may be selected to promote oxygen vacancy formation in the metal oxide switching layer 104. For example, the metal, metal nitride or metal oxide bottom electrode 108 may be selected so as to have a Gibbs free energy of formation per O between about −3 and −6 eV. Exemplary metals that may fall within this range include, for example, Yb, Tb, Y, So, La, Hf, Mg, Zr, Ta, Nb, V, Zn, W, Mo, Ti, Al, Cr, Si, Ni, Re, Co, Cu, Ru, Rh, Pd, and Ir.
  • Metal nitrides (or metal oxides) of these metals may similarly render oxygen vacancy formation more energetically favorable within resistivity-switching metal oxides. Accordingly, in some embodiments, the metal, metal nitride or metal oxide bottom electrode 108 may be formed from one or more metals, metal nitrides or metal oxides of the above-listed materials, or any other materials having a Gibbs free energy of formation per O between about −3 and −6 eV.
  • Exemplary thicknesses for the metal, metal nitride or metal oxide bottom electrode 108 may range from about 10 to about 100 angstroms. Other thicknesses may be used. In one particular embodiment, the metal, metal nitride or metal oxide bottom electrode 108 may include chromium, chromium nitride, hafnium, hafnium nitride, niobium, niobium nitride, vanadium, vanadium nitride, zirconium or zirconium nitride.
  • Exemplary Inventive Memory Cell
  • FIG. 4A is a schematic illustration of an exemplary memory cell 400 in accordance with this invention. Memory cell 400 includes MIM stack 402 coupled to a steering element 404. MIM stack 402 includes RRS material 104 (not separately shown) which has a resistivity that may be reversibly switched between two or more states, and may be similar to any of the MIM stacks described previously with reference to FIGS. 1A-3D.
  • Steering element 404 may include a thin film transistor, a diode, a metal-insulator-metal tunneling current device, a punch-through diode, a Schottky-diode or another similar steering element that exhibits non-ohmic conduction by selectively limiting the voltage across and/or the current flow through MIM stack 402.
  • In this manner, memory cell 400 may be used as part of a two or three dimensional memory array and data may be written to and/or read from memory cell 400 without affecting the state of other memory cells in the array. In some embodiments, steering element 404 may be omitted, and memory cell 400 may be used with a remotely located steering element.
  • Exemplary Embodiments of Memory Cells and Memory Arrays
  • FIG. 4B is a simplified perspective view of an exemplary embodiment of memory cell 400 in accordance with this invention in which steering element 404 is a diode. Memory cell 400 includes MIM stack 402 (having RRS material 104) coupled in series with diode 404 between a first conductor 406 a and a second conductor 406 b.
  • As described above with reference to FIGS. 1A-3D, MIM stack 402 may serve as a reversible resistance-switching element for the memory cell 400. The MIM stack 402 may be similar to any of MIM stacks of FIGS. 1A-3D, or any other suitable MIM stack, and may include a top conducting layer 106 and a bottom conducting layer 108 that surround RRS material 104 and serve as top and bottom electrodes for the MIM stack 402. One or more additional layers 110 such as a metal layer, a metal oxide layer, a metal/metal oxide layer stack, or the like, may be employed within the MIM stack 402 as described previously.
  • In some embodiments, a barrier layer 408 may be formed between MIM stack 402 and diode 404, and a barrier layer 410 may be formed between MIM stack 402 and second conductor 406 b. An additional barrier layer 412 may be formed between diode 404 and first conductor 406 a. Barrier layers 408, 410 and 412 may include titanium, titanium nitride, tantalum, tantalum nitride, tungsten, tungsten nitride, molybdenum, combinations of the same, or another similar barrier layer. Barrier layer 210 may be separate from or part of second conductor 406 b and barrier layer 412 may be separate from or part of first conductor 406 a.
  • Diode 404 may include any suitable diode such as a vertical polycrystalline p-n or p-i-n diode, whether upward pointing with an n-region above a p-region of the diode or downward pointing with a p-region above an n-region of the diode, a p-n-p or n-p-n punch through diode, a Schottky diode or the like. Exemplary embodiments of diode 204 are described below with reference to FIGS. 5A-5H.
  • In the embodiment of FIG. 4B, the MIM stack 402 is positioned above diode 404. However, as shown in FIG. 4C, the MIM stack 402 alternatively may be positioned below the diode 404.
  • First conductor 406 a and/or second conductor 406 b may include any suitable conductive material such as tungsten, any appropriate metal, heavily doped semiconductor material, a conductive silicide, a conductive silicide-germanide, a conductive germanide, a highly conductive carbon or the like. In the embodiment of FIG. 4B, first and second conductors 406 a and 406 b, respectively, are line or rail-shaped and extend in different directions (e.g., substantially perpendicular to one another). Other conductor shapes and/or configurations may be used. In some embodiments, barrier layers, adhesion layers, antireflection coatings and/or the like (not shown) may be used with first conductor 406 a and/or second conductor 406 b to improve device performance and/or aid in device fabrication.
  • FIG. 4D is a simplified perspective view of a portion of a first memory level 414 formed from a plurality of memory cells 400, such as memory cells 400 of FIG. 4A or 4B. For simplicity, RRS material 104, conductive layers 106 and 108, additional layer(s) 110, diode 404, and barrier layers 408, 410 and 412 are not separately shown. Memory array 414 is a “cross-point” array including a plurality of bit lines (second conductors 406 b) and word lines (first conductors 406 a) to which multiple memory cells are coupled (as shown). Other memory array configurations may be used, as may multiple levels of memory.
  • FIG. 4E is a simplified perspective view of a portion of a monolithic three dimensional memory array 416 a that includes a first memory level 418 positioned below a second memory level 420. Memory levels 418 and 420 each include a plurality of memory cells 400 in a cross-point array. Persons of ordinary skill in the art will understand that additional layers (e.g., an interlevel dielectric) may be present between first and second memory levels 418 and 420, but are not shown in FIG. 4E for simplicity. Other memory array configurations may be used, as may additional levels of memory.
  • In the embodiment of FIG. 4E, when a bipolar steering element such as a p-i-n diode is employed within each memory cell 400, all diodes may “point” in the same direction (have the same “steering element” polarity orientation), such as upward or downward depending on whether p-i-n diodes having a p-doped region on the bottom or top of the diodes are employed, simplifying diode fabrication.
  • In some embodiments, the memory levels may be formed as described in U.S. Pat. No. 6,952,030, titled “High-Density Three-Dimensional Memory Cell,” which is hereby incorporated by reference herein in its entirety for all purposes. For instance, the second (top) conductors of a first memory level may be used as the first (bottom) conductors of a second memory level that is positioned above the first memory level as shown in FIG. 4F. In such embodiments, the diodes on adjacent memory levels preferably point in opposite directions as described in U.S. patent application Ser. No. 11/692,151, filed Mar. 27, 2007 and titled “Large Array Of Upward Pointing P-I-N Diodes Having Large And Uniform Current” (the “'151 Application”), which is hereby incorporated by reference herein in its entirety for all purposes.
  • For example, as shown in memory array 416 b in FIG. 4F, the diodes of first memory level 418 may be upward pointing diodes as indicated by arrow D1 (e.g., with p regions at the bottom of the diodes), whereas the diodes of second memory level 420 may be downward pointing diodes as indicated by arrow D2 (e.g., with n regions at the bottom of the diodes), or vice versa.
  • If the MIM stacks 402 are bipolar, in embodiments in which conductors are shared between memory levels as in FIG. 4F, MIM stacks 402 may be arranged to have the same voltage polarity orientation within a memory level, but opposite voltage polarity orientations between adjacent memory levels. For example, the MIM stacks 402 of first memory level 418 may be positively oriented whereas the MIM stacks 402 of the second memory level 420 may be negatively oriented, or vice versa. In some embodiments, the diodes 404 may be oriented to be reversed biased during the set operations of the MIM stacks 402. Alternatively, the diodes 404 may be oriented to be forward biased during the set operations of the MIM stacks 402.
  • A monolithic three dimensional memory array is one in which multiple memory levels are formed above a single substrate, such as a wafer, with no intervening substrates. The layers forming one memory level are deposited or grown directly over the layers of an existing level or levels. In contrast, stacked memories have been constructed by forming memory levels on separate substrates and adhering the memory levels atop each other, as in Leedy, U.S. Pat. No. 5,915,167, titled “Three Dimensional Structure Memory.” The substrates may be thinned or removed from the memory levels before bonding, but as the memory levels are initially formed over separate substrates, such memories are not true monolithic three dimensional memory arrays.
  • Exemplary Stacked Memory Cells
  • FIG. 5A is a cross sectional view of a first memory cell 500 a provided in accordance with the present invention. Additional memory cells (not shown) may be provided at each memory level as described in FIGS. 4D-F.
  • With reference to FIG. 5A, memory cell 500 a includes a MIM stack 502 a coupled in series with a diode 504 a between bit line 506 a and word line 506 b. MIM stack 502 a has a positive polarity orientation such that a positive voltage applied to word line 506 b relative to bit line 506 a may be employed to set the MIM stack 502 a. Diode 504 a is oriented so as to be reversed biased during such a set operation. In other embodiments, diode 504 a may be oriented so as to be forward biased while a set operation is performed on the MIM stack 502 a.
  • MIM stack 502 a may include any of the MIM stacks previously described, or any other suitable MIM stack. In FIG. 5A, MIM stack 502 a is shown as being similar to MIM stack 100 d (FIG. 1D).
  • Diode 504 a may include any two terminal, non-linear steering element such as a p-n or p-i-n junction diode, a punch through diode, a tunneling oxide device, a Schottky diode, or the like. In FIG. 5A, diode 504 a is shown as being a p-i-n junction diode.
  • With reference to FIG. 5A, memory cell 500 a includes bit line 506 a. Bit line 506 a may be about 200 to about 2500 angstroms of any suitable conductive material such as tungsten or another appropriate metal, heavily doped semiconductor material, a conductive silicide, a conductive silicide-germanide, a conductive germanide, or the like. In some embodiments, a plurality of bit lines 506 a (see for example, FIGS. 4D-F) may be formed as substantially parallel, substantially co-planar bit lines 506 a.
  • Exemplary widths for bit lines 506 a and/or spacings between bit lines 506 a range from about 200 to about 2500 angstroms, although other conductor widths and/or spacings may be used. Bit lines 506 a may be separated from one another by dielectric material (not shown) such as silicon dioxide, silicon nitride, silicon oxynitride, low K dielectric, etc., and/or other dielectric materials.
  • Barrier layer 512 is formed over bit line 506 a. Barrier layer 512 may be about 20 to about 500 angstroms, and in some embodiments about 100 angstroms, of titanium nitride or another suitable barrier layer such as tantalum nitride, tungsten nitride, tungsten, molybdenum, combinations of one or more barrier layers, barrier layers in combination with other layers such as titanium/titanium nitride, tantalum/tantalum nitride or tungsten/tungsten nitride stacks, or the like. Other barrier layer materials and/or thicknesses may be employed.
  • Semiconductor material used to form the diode 504 a is formed over barrier layer 512. In the embodiment of FIG. 5A, the diode 504 a is formed from a polycrystalline semiconductor material such as polysilicon, a polycrystalline silicon-germanium alloy, polygermanium or any other suitable material. For example, a heavily doped amorphous or polycrystalline p+ silicon layer 504 a-1 may be deposited on barrier layer 512. CVD or another suitable process may be employed to deposit p+ silicon layer 504 a-1.
  • In at least one embodiment, p+ silicon layer 504 a-1 may be formed, for example, from about 100 to about 1000 angstroms, in some embodiments about 100 angstroms, of p+ silicon with a doping concentration of about 1021 cm−3. Other layer thicknesses and/or doping concentrations may be used. P+ silicon layer 504 a-1 may be doped in situ, for example, by flowing an acceptor gas during deposition, or ex situ, for example, via implantation.
  • After deposition of p+ silicon layer 504 a-1, a lightly doped, intrinsic and/or unintentionally doped amorphous or polycrystalline silicon layer 504 a-2 may be formed over p+ silicon layer 504 a-1. CVD or another suitable deposition method may be employed to deposit intrinsic silicon layer 504 a-2. In at least one embodiment, intrinsic silicon layer 504 a-2 may be about 500 to about 4800 angstroms, in some embodiments about 2500 angstroms, in thickness. Other intrinsic layer thicknesses may be used.
  • Additional silicon may be deposited and doped by ion implantation or doped in situ during deposition to form an n+ silicon layer 504 a-3. Further, in some embodiments, a diffusion process may be employed. In at least one embodiment, the resultant n+ silicon layer 504 a-3 may have a thickness of about 100 to about 1000 angstroms, in some embodiments about 100 angstroms, with a doping concentration of about 1021 cm−3. Other layer thicknesses and/or doping concentrations may be used.
  • Following formation of n+ silicon layer 504 a-3, a silicide-forming metal layer stack 508 may be deposited over n+ silicon layer 504 a-3. Exemplary silicide-forming metals include sputter or otherwise deposited titanium or cobalt. In some embodiments, a silicide-forming metal layer stack 508 is formed from about 1-4 nanometers of titanium and about 15-25 nanometers of titanium nitride. Other silicide-forming metal layer materials and/or thicknesses may be used.
  • An RTA step may be performed to form a silicide region by reaction of silicide-forming metal such as Ti with n+ region 504 a-3. In some embodiments, the RTA may be performed at about 540° C. for about 1 minute, to cause silicide-forming metal and the deposited silicon of diode 504 a to interact to form a silicide layer, consuming all or a portion of the silicide-forming metal.
  • In other embodiments, a silicide layer may be formed by sputtering a silicide target or by co-sputtering silicide forming metal and silicon.
  • As described in U.S. Pat. No. 7,176,064, titled “Memory Cell Comprising A Semiconductor Junction Diode Crystallized Adjacent To A Silicide,” which is hereby incorporated by reference herein in its entirety for all purposes, silicide-forming materials such as titanium and/or cobalt react with deposited silicon during annealing to form a silicide layer. The lattice spacing of titanium silicide and cobalt silicide are close to that of silicon, and it appears that such silicide layers may serve as “crystallization templates” or “seeds” for adjacent deposited silicon as the deposited silicon crystallizes (e.g., a silicide layer may enhance the crystalline structure of silicon diode 504 a during annealing). Lower resistivity silicon thereby is provided. Similar results may be achieved for silicon-germanium alloy and/or germanium diodes.
  • Following formation of metal layer stack 508, bottom electrode 108 of MIM stack 502 a may be formed. In some embodiments, bottom electrode 108 may include a layer of SiGe alloy having, for example, between about 5 to about 35 atm % Ge and an n+ doping concentration of about 5×1019-5×1021 atoms/cm3, and in some embodiments about 2×1020 atoms/cm3. As stated, SiGe bottom electrodes with about 5-35 atm % Ge significantly reduce crystalline anneal temperatures while still providing ample Si for oxygen vacancy formation.
  • In some embodiments, low temperature processes such as low pressure chemical vapor deposition (“LPCVD”) or plasma enhanced chemical vapor deposition (“PECVD”) may be employed to form the SiGe bottom electrode 108. Exemplary temperature ranges at which the SiGe bottom electrode 108 may be formed (crystallized) are 600° C. or less, and in some embodiments 550° C. or less. Exemplary thicknesses for the SiGe bottom electrode 108 range from about 2 to 100 nanometers. Other atm % of Ge, doping types, doping levels, formation temperatures and/or layer thicknesses may be used in other embodiments.
  • Following formation of the bottom electrode 108, RRS material 104 may be formed by atomic layer deposition (“ALD”) or another suitable method. For example, the RRS material 104 may include HfOX, ZrOX, NiOX, TiOX, TaOX, NbOX, AlXOY, combinations of one or more of these metal oxides, or another suitable switching material. In the embodiment of FIG. 5A, the RRS material 104 may include HfOX having a thickness of about 3-12 nanometers, and in some embodiments about 5 nanometers, with x being about 1.2-2.0 and in some embodiments about 1.7. Other thickness ranges and/or x values may be used.
  • Following formation of the RRS material 104, a metal/metal oxide layer stack 110 may be formed. The metal/metal oxide layer stack 110 may include, for example, Ti/TiOX, Zr/ZrOX, Ni/NiOX, Al/AlXOY, Ta/TaOX, Nb/NbOX, Hf/HfOX or another similar layer stack. In the embodiment shown, the metal/metal oxide layer stack 110 may include Ti layer 110 b having a thickness of about 0.5-10 nanometers, and in some embodiments about 2 nanometers and TiOX layer 110 a having a thickness of about 0.5-6 nanometers, and in some embodiments about 1 nanometer; and x may be about 1.2-2.0 and in some embodiments about 1.5. Other thicknesses and/or x values may be used.
  • The TiOX layer 110 a may be formed, for example, by depositing a layer of Ti over the HfOX layer 104 and then oxidizing the Ti to form the TiOX layer 110 a. For example, a layer of Ti may be deposited via PVD and then oxidized in the same ALD chamber used to form the HfOX layer 104 (e.g., by not flowing the Hf precursor). The Ti layer 110 b may then be formed over the TiOX layer 110 a.
  • Top electrode 106 is formed over Ti layer 110 b. For example, top electrode 106 may include titanium nitride, tantalum nitride, tungsten nitride, combinations of the same, a metal/metal nitride stack such as Ti/TiN, Ta/TaN, W/WN or another similar barrier layer. In the embodiment shown, the top electrode 106 may include about 10-60 nanometers, and in some embodiments about 20 nanometers of TiN. Other layer thicknesses may be used. In some embodiments, n+ SiGe layer 108, HfOX layer 104, TiOX layer 110 a, Ti Layer 110 b and/or TiN layer 106 may be formed in a single cluster tool (e.g., without breaking vacuum) to improve the interfaces between the various layers.
  • To etch the above described MIM stack and diode layers into a pillar structure 514 (as shown in FIGS. 4B-4F), any suitable etch process may be used. In some embodiments, a hard mask process may be employed as follows:
      • (1) deposit a metal hard mask over the top TiN electrode 106, such as about 500-1000 angstroms of W;
      • (2) deposit an oxide hard mask over the metal hard mask, such as about 1000-2000 angstroms of SiXOY;
      • (3) deposit a polysilicon hard mask over the oxide hard mask, such as about 500-2000 angstroms of polysilicon; and
      • (4) deposit photoresist over the polysilicon hard mask, such as about 1000-3000 angstroms of photoresist.
  • The photoresist layer then may be exposed and developed, and the polysilicon hard mask layer may be etched using, for example, HBr, Cl2, O2, and/or He in a suitable high-density plasma etch chamber. Following stripping (asking) of the photoresist, the oxide hard mask may be etched through the patterned and etched polysilicon hard mask using, for example, C4F6, O2, and Ar in a suitable medium-density plasma etch chamber. The metal hard mask may then be etched through the patterned and etched oxide hard mask using, for example, NF3, Ar, N2, Cl2, He, and/or O2 in a suitable high-density plasma etch chamber.
  • Thereafter, the TiN top electrode 106 may be etched using, for example, HBr, Cl2, and/or He; the Ti/TiOX metal layer stack 110 may be etched using, for example, CF4, Cl2, He, and/or N2; the HfOX RRS material 104 may be etched using, for example, HBr, Cl2, He, and/or N2; the n+ SiGe bottom electrode 108 may be etched using, for example, HBr, Cl2, He, O2 and/or N2; the Ti/TiN layer stack 508 may be etched using, for example, HBr, Cl2, and/or He; the polysilicon diode 504 a may be etched using, for example, HBr, Cl2, He, O2 and/or N2; and the TiN layer 512 may be etched using, for example, HBr, Cl2, and/or He. All of these etch processes may be performed, for example, in a suitable high-density plasma etch chamber. Other etch chemistries and/or processes may be employed.
  • The resulting pillar structure 514 may be surrounded by a suitable dielectric to isolate it from other similar pillar structures (not shown) on the same memory level. For example, approximately 200-7000 angstroms of silicon dioxide may be deposited and planarized using chemical mechanical polishing or an etchback process to remove excess dielectric material and form a planar surface for receiving word line 506 b. Additionally, a thin silicon nitride liner, such as about 50 to 200 angstroms, may be deposited prior to silicon dioxide deposition.
  • Word line 506 b may be formed from any suitable conductive material such as tungsten, another suitable metal, heavily doped semiconductor material, a conductive silicide, a conductive silicide-germanide, a conductive germanide, or the like deposited by any suitable method (e.g., CVD, PVD, etc.). Other conductive layer materials may be used. For example, conductive material may be deposited and etched to form word line 506 b (and other word lines not separately shown). In at least one embodiment, such word lines are substantially parallel, substantially coplanar conductors that extend in a different direction than bit line(s) 506 a (as shown in FIG. 4F, for example).
  • Word line 506 b may be isolated from other word lines via a suitable dielectric fill and etchback process. Thereafter, an additional memory cell (not shown) may be formed over the word line 506 b in a manner similar to that used to form the memory cell 500 a.
  • Following formation of the memory cell 500 a (and/or any additional memory cell layers/levels to be formed above memory cell stack 500 a), the resultant structure may be annealed to crystallize the deposited semiconductor material of diode 504 a (and/or to form silicide regions by reaction of silicide-forming metal from layer 508 with silicon region(s) of the diode 504 a).
  • As stated above, the lattice spacing of titanium silicide and cobalt silicide are close to that of silicon, and it appears that silicide layers may serve as “crystallization templates” or “seeds” for adjacent deposited silicon as the deposited silicon crystallizes (e.g., a silicide layer may enhance the crystalline structure of silicon diodes during annealing at temperatures of about 600-800° C.). Lower resistivity diode material thereby is provided. Similar results may be achieved for silicon-germanium alloy and/or germanium diodes.
  • Thus in at least one embodiment, a crystallization anneal may be performed for about 10 seconds to about 2 minutes in nitrogen at a temperature of about 600 to 800° C., and in some embodiments between about 650 and 750° C. Other annealing times, temperatures and/or environments may be used.
  • FIG. 5B is a cross sectional view of a second memory cell 500 b provided in accordance with the present invention. The second memory cell 500 b of FIG. 5B is similar to the first memory cell 500 a of FIG. 5A, but employs a unipolar steering element in place of the bipolar steering element employed by the first memory cell 500 a. For example, the diode 504 b in FIG. 5B is a punch through diode rather than a p-i-n junction diode as is used in the memory cell 500 a of FIG. 5A. As stated, other steering elements may be used such as tunneling devices, Schottky diodes or the like.
  • FIG. 5C is a cross sectional view of a third memory cell 500 c provided in accordance with the present invention. The third memory cell 500 c of FIG. 5C is similar to the first memory cell 500 a of FIG. 5A (and may be similarly formed), but employs a bi-layer metal-silicide bottom electrode 108 (as described previously with reference to FIGS. 2A-2D).
  • FIG. 5D is a cross sectional view of a fourth memory cell 500 d provided in accordance with the present invention. The fourth memory cell 500 d of FIG. 5D is similar to the third memory cell 500 c of FIG. 5C, but employs a unipolar steering element in place of the bipolar steering element employed by the third memory cell 500 c. For example, the diode 504 d in FIG. 5D is a punch through diode rather than a p-i-n junction diode as is used in the memory cell 500 c of FIG. 5C. As stated, other steering elements may be used such as tunneling devices, Schottky diodes or the like.
  • FIG. 5E is a cross sectional view of a fifth memory cell 500 e provided in accordance with the present invention. The fifth memory cell 500 e of FIG. 5E is similar to the first memory cell 500 a of FIG. 5A (and may be similarly formed), but employs a metal-silicide bi-layer with an additional metal, metal nitride or metal oxide layer 112 for the bottom electrode (as described previously with reference to FIGS. 2E-2H).
  • FIG. 5F is a cross sectional view of a sixth memory cell 500 f provided in accordance with the present invention. The sixth memory cell 500 f of FIG. 5F is similar to the fifth memory cell 500 e of FIG. 5E, but employs a unipolar steering element in place of the bipolar steering element employed by the fifth memory cell 500 e. For example, the diode 504 f in FIG. 5F is a punch through diode rather than a p-i-n junction diode as is used in the memory cell 500 e of FIG. 5E. As stated, other steering elements may be used such as tunneling devices, Schottky diodes or the like.
  • FIG. 5G is a cross sectional view of a seventh memory cell 500 g provided in accordance with the present invention. The seventh memory cell 500 g of FIG. 5G is similar to the first memory cell 500 a of FIG. 5A (and may be similarly formed), but employs a metal, metal nitride or metal oxide layer for the bottom electrode 108 (as described previously with reference to FIGS. 3A-3D).
  • FIG. 5H is a cross sectional view of an eighth memory cell 500 h provided in accordance with the present invention. The eighth memory cell 500 h of FIG. 5H is similar to the seventh memory cell 500 g of FIG. 5G, but employs a unipolar steering element in place of the bipolar steering element employed by the seventh memory cell 500 g. For example, the diode 504 h in FIG. 5H is a punch through diode rather than a p-i-n junction diode as is used in the memory cell 500 g of FIG. 5G. As stated, other steering elements may be used such as tunneling devices, Schottky diodes or the like.
  • The foregoing description discloses only exemplary embodiments of the invention. Modifications of the above disclosed apparatus and methods which fall within the scope of the invention will be readily apparent to those of ordinary skill in the art. For instance, MIM stacks may be placed above or below steering elements within any memory cells.
  • Accordingly, although the present invention has been disclosed in connection with exemplary embodiments thereof, it should be understood that other embodiments may fall within the spirit and scope of the invention, as defined by the following claims.

Claims (21)

1. A metal-insulator-metal stack comprising:
a first conductive layer comprising a multi-layer metal-silicide stack;
a resistivity-switching layer comprising a metal oxide layer formed above the first conductive layer;
a material layer disposed between the first conductive layer and the resistivity-switching layer, wherein the material layer has a Gibbs free energy of formation per O between about −3 and −6 eV; and
a second conductive layer formed above the resistivity-switching layer.
2. The metal-insulator-metal stack of claim 1, wherein the multi-layer metal-silicide stack comprises:
a first metal-silicide layer comprising one of titanium silicide, tantalum silicide, tungsten silicide, nickel silicide, cobalt silicide or molybdenum silicide; and
a second metal-silicide layer comprises a different one of titanium silicide, tantalum silicide, tungsten silicide, nickel silicide, cobalt silicide or molybdenum silicide.
3. The metal-insulator-metal stack of claim 2, wherein the first metal-silicide layer comprises nickel silicide and the second metal-silicide layer comprises titanium silicide.
4. The metal-insulator-metal stack of claim 2, wherein the first metal-silicide layer comprises cobalt silicide and the second metal-silicide layer comprises titanium silicide.
5. The metal-insulator-metal stack of claim 2, wherein the first metal-silicide layer comprises titanium silicide and the second metal-silicide layer comprises tungsten silicide.
6. The metal-insulator-metal stack of claim 1, wherein the first conductive layer has a thickness of about 2-100 nanometers.
7. The metal-insulator-metal stack of claim 1, wherein the metal oxide layer comprises one or more of HfOX, ZrOX, NiOX, TiOX, TaOX, NbOX or AlXOY.
8. The metal-insulator-metal stack of claim 1, wherein the second conductive layer comprises a layer stack having at least one of a titanium layer and a titanium oxide layer formed over the resistivity-switching layer and a titanium nitride layer formed thereover.
9. The metal-insulator-metal stack of claim 1, wherein the material layer comprises a metal, a metal nitride or a metal oxide.
10. The metal-insulator-metal stack of claim 1, wherein the material layer comprises at least one of aluminum, aluminum nitride, lanthanum, lanthanum nitride, molybdenum, molybdenum nitride, tantalum, tantalum nitride, chromium, chromium nitride, hafnium, hafnium nitride, niobium, niobium nitride, vanadium, vanadium nitride, zirconium or zirconium nitride.
11. A memory cell comprising:
the metal-insulator-metal stack of claim 1; and
a steering element coupled to the metal-insulator-metal stack.
12. The memory cell of claim 11, wherein the steering element comprises a vertical polysilicon diode.
13. A method of forming a metal-insulator-metal stack comprising:
forming a first conductive layer comprising a multi-layer metal-silicide stack;
forming a resistivity-switching layer comprising a metal oxide layer above the first conductive layer;
forming a material layer between the first conductive layer and the resistivity-switching layer, wherein the material layer has a Gibbs free energy of formation per O between about −3 and −6 eV; and
forming a second conductive layer above the resistivity-switching layer.
14. The method of claim 13, wherein the multi-layer metal-silicide stack comprises:
a first metal-silicide layer comprising one of titanium silicide, tantalum silicide, tungsten silicide, nickel silicide, cobalt silicide or molybdenum silicide; and
a second metal-silicide layer comprises a different one of titanium silicide, tantalum silicide, tungsten silicide, nickel silicide, cobalt silicide or molybdenum silicide.
15. The method of claim 14, wherein the first metal-silicide layer comprises nickel silicide and the second metal-silicide layer comprises titanium silicide.
16. The method of claim 14, wherein the first metal-silicide layer comprises cobalt silicide and the second metal-silicide layer comprises titanium silicide.
17. The method of claim 14, wherein the first metal-silicide layer comprises titanium silicide and the second metal-silicide layer comprises tungsten silicide.
18. The method of claim 14, wherein the first conductive layer has a thickness of about 2-100 nanometers.
19. The method of claim 13, wherein the metal oxide layer comprises one or more of HfOX, ZrOX, NiOX, TiOX, TaOX, NbOX or AlXOY.
20. The method of claim 13, wherein the material layer comprises a metal, a metal nitride, or a metal oxide.
21. A memory cell formed using the method of claim 13.
US13/740,766 2010-03-16 2013-01-14 Bottom electrodes for use with metal oxide resistivity switching layers Abandoned US20130126821A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US13/740,766 US20130126821A1 (en) 2010-03-16 2013-01-14 Bottom electrodes for use with metal oxide resistivity switching layers

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US31457710P 2010-03-16 2010-03-16
US13/047,098 US8354660B2 (en) 2010-03-16 2011-03-14 Bottom electrodes for use with metal oxide resistivity switching layers
US13/740,766 US20130126821A1 (en) 2010-03-16 2013-01-14 Bottom electrodes for use with metal oxide resistivity switching layers

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US13/047,098 Continuation US8354660B2 (en) 2010-03-16 2011-03-14 Bottom electrodes for use with metal oxide resistivity switching layers

Publications (1)

Publication Number Publication Date
US20130126821A1 true US20130126821A1 (en) 2013-05-23

Family

ID=44646512

Family Applications (3)

Application Number Title Priority Date Filing Date
US13/047,098 Active US8354660B2 (en) 2010-03-16 2011-03-14 Bottom electrodes for use with metal oxide resistivity switching layers
US13/047,020 Active 2031-11-04 US8772749B2 (en) 2010-03-16 2011-03-14 Bottom electrodes for use with metal oxide resistivity switching layers
US13/740,766 Abandoned US20130126821A1 (en) 2010-03-16 2013-01-14 Bottom electrodes for use with metal oxide resistivity switching layers

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US13/047,098 Active US8354660B2 (en) 2010-03-16 2011-03-14 Bottom electrodes for use with metal oxide resistivity switching layers
US13/047,020 Active 2031-11-04 US8772749B2 (en) 2010-03-16 2011-03-14 Bottom electrodes for use with metal oxide resistivity switching layers

Country Status (6)

Country Link
US (3) US8354660B2 (en)
EP (2) EP2548238B8 (en)
JP (2) JP2013522912A (en)
KR (2) KR20130007572A (en)
TW (2) TW201145634A (en)
WO (2) WO2011115926A1 (en)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120147644A1 (en) * 2010-12-14 2012-06-14 Scheuerlein Roy E Continuous mesh three dimensional non-volatile storage with vertical select devices
US9105576B2 (en) 2010-10-14 2015-08-11 Sandisk 3D Llc Multi-level memory arrays with memory cells that employ bipolar storage elements and methods of forming the same
US9165933B2 (en) 2013-03-07 2015-10-20 Sandisk 3D Llc Vertical bit line TFT decoder for high voltage operation
US9202694B2 (en) 2013-03-04 2015-12-01 Sandisk 3D Llc Vertical bit line non-volatile memory systems and methods of fabrication
US9362338B2 (en) 2014-03-03 2016-06-07 Sandisk Technologies Inc. Vertical thin film transistors in non-volatile storage systems
US9379246B2 (en) 2014-03-05 2016-06-28 Sandisk Technologies Inc. Vertical thin film transistor selection devices and methods of fabrication
US9450023B1 (en) 2015-04-08 2016-09-20 Sandisk Technologies Llc Vertical bit line non-volatile memory with recessed word lines
US20180006108A1 (en) * 2016-06-30 2018-01-04 International Business Machines Corporation Stacked capacitor with symmetric leakage and break-down behaviors
US9865653B2 (en) 2015-04-16 2018-01-09 Stmicroelectronics, Inc. High density resistive random access memory (RRAM)
FR3090180A1 (en) * 2018-12-14 2020-06-19 Commissariat A L'energie Atomique Et Aux Energies Alternatives OXRAM OXIDE-BASED RESISTIVE MEMORY CELL AND MANUFACTURING METHOD THEREOF

Families Citing this family (206)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2548238B8 (en) * 2010-03-16 2015-06-17 SanDisk 3D, LLC Method of forming bottom electrodes for use with metal oxide resistivity switching layers
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US9012307B2 (en) 2010-07-13 2015-04-21 Crossbar, Inc. Two terminal resistive switching device structure and method of fabricating
US9601692B1 (en) 2010-07-13 2017-03-21 Crossbar, Inc. Hetero-switching layer in a RRAM device and method
US9570678B1 (en) 2010-06-08 2017-02-14 Crossbar, Inc. Resistive RAM with preferental filament formation region and methods
US8946046B1 (en) 2012-05-02 2015-02-03 Crossbar, Inc. Guided path for forming a conductive filament in RRAM
US8441835B2 (en) 2010-06-11 2013-05-14 Crossbar, Inc. Interface control for improved switching in RRAM
WO2011156787A2 (en) 2010-06-11 2011-12-15 Crossbar, Inc. Pillar structure for memory device and method
US8374018B2 (en) 2010-07-09 2013-02-12 Crossbar, Inc. Resistive memory using SiGe material
US8467227B1 (en) 2010-11-04 2013-06-18 Crossbar, Inc. Hetero resistive switching material layer in RRAM device and method
US8569172B1 (en) 2012-08-14 2013-10-29 Crossbar, Inc. Noble metal/non-noble metal electrode for RRAM applications
US8947908B2 (en) 2010-11-04 2015-02-03 Crossbar, Inc. Hetero-switching layer in a RRAM device and method
US8884261B2 (en) 2010-08-23 2014-11-11 Crossbar, Inc. Device switching using layered device structure
US8168506B2 (en) 2010-07-13 2012-05-01 Crossbar, Inc. On/off ratio for non-volatile memory device and method
US8492195B2 (en) 2010-08-23 2013-07-23 Crossbar, Inc. Method for forming stackable non-volatile resistive switching memory devices
US9401475B1 (en) 2010-08-23 2016-07-26 Crossbar, Inc. Method for silver deposition for a non-volatile memory device
US8889521B1 (en) 2012-09-14 2014-11-18 Crossbar, Inc. Method for silver deposition for a non-volatile memory device
US8404553B2 (en) 2010-08-23 2013-03-26 Crossbar, Inc. Disturb-resistant non-volatile memory device and method
US8391049B2 (en) 2010-09-29 2013-03-05 Crossbar, Inc. Resistor structure for a non-volatile memory device and method
US8558212B2 (en) 2010-09-29 2013-10-15 Crossbar, Inc. Conductive path in switching material in a resistive random access memory device and control
US8389971B2 (en) 2010-10-14 2013-03-05 Sandisk 3D Llc Memory cells having storage elements that share material layers with steering elements and methods of forming the same
US8502185B2 (en) 2011-05-31 2013-08-06 Crossbar, Inc. Switching device having a non-linear element
USRE46335E1 (en) 2010-11-04 2017-03-07 Crossbar, Inc. Switching device having a non-linear element
US8088688B1 (en) 2010-11-05 2012-01-03 Crossbar, Inc. p+ polysilicon material on aluminum for non-volatile memory device and method
US8930174B2 (en) 2010-12-28 2015-01-06 Crossbar, Inc. Modeling technique for resistive random access memory (RRAM) cells
US8815696B1 (en) 2010-12-31 2014-08-26 Crossbar, Inc. Disturb-resistant non-volatile memory device using via-fill and etchback technique
US8791010B1 (en) 2010-12-31 2014-07-29 Crossbar, Inc. Silver interconnects for stacked non-volatile memory device and method
US9153623B1 (en) 2010-12-31 2015-10-06 Crossbar, Inc. Thin film transistor steering element for a non-volatile memory device
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8450710B2 (en) 2011-05-27 2013-05-28 Crossbar, Inc. Low temperature p+ silicon junction material for a non-volatile memory device
US9620206B2 (en) 2011-05-31 2017-04-11 Crossbar, Inc. Memory array architecture with two-terminal memory cells
US8394670B2 (en) 2011-05-31 2013-03-12 Crossbar, Inc. Vertical diodes for non-volatile memory device
US8619459B1 (en) 2011-06-23 2013-12-31 Crossbar, Inc. High operating speed resistive random access memory
US8659929B2 (en) 2011-06-30 2014-02-25 Crossbar, Inc. Amorphous silicon RRAM with non-linear device and operation
US8946669B1 (en) 2012-04-05 2015-02-03 Crossbar, Inc. Resistive memory device and fabrication methods
US9564587B1 (en) 2011-06-30 2017-02-07 Crossbar, Inc. Three-dimensional two-terminal memory with enhanced electric field and segmented interconnects
US9627443B2 (en) 2011-06-30 2017-04-18 Crossbar, Inc. Three-dimensional oblique two-terminal memory with enhanced electric field
US9166163B2 (en) 2011-06-30 2015-10-20 Crossbar, Inc. Sub-oxide interface layer for two-terminal memory
JP2013026289A (en) * 2011-07-15 2013-02-04 Toshiba Corp Nonvolatile semiconductor memory device and method of manufacturing the same
WO2013015776A1 (en) 2011-07-22 2013-01-31 Crossbar, Inc. Seed layer for a p + silicon germanium material for a non-volatile memory device and method
US8674724B2 (en) 2011-07-29 2014-03-18 Crossbar, Inc. Field programmable gate array utilizing two-terminal non-volatile memory
US10056907B1 (en) 2011-07-29 2018-08-21 Crossbar, Inc. Field programmable gate array utilizing two-terminal non-volatile memory
US9729155B2 (en) 2011-07-29 2017-08-08 Crossbar, Inc. Field programmable gate array utilizing two-terminal non-volatile memory
US8546275B2 (en) * 2011-09-19 2013-10-01 Intermolecular, Inc. Atomic layer deposition of hafnium and zirconium oxides for memory applications
JP2013069933A (en) * 2011-09-22 2013-04-18 Toshiba Corp Nonvolatile semiconductor memory device
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
JP2013122985A (en) * 2011-12-12 2013-06-20 Toshiba Corp Semiconductor memory device
US9269425B2 (en) * 2011-12-30 2016-02-23 Sandisk 3D Llc Low forming voltage non-volatile storage device
US8846484B2 (en) 2012-02-15 2014-09-30 Intermolecular, Inc. ReRAM stacks preparation by using single ALD or PVD chamber
US8741772B2 (en) * 2012-02-16 2014-06-03 Intermolecular, Inc. In-situ nitride initiation layer for RRAM metal oxide switching material
US8716098B1 (en) 2012-03-09 2014-05-06 Crossbar, Inc. Selective removal method and structure of silver in resistive switching device for a non-volatile memory device
US9087576B1 (en) 2012-03-29 2015-07-21 Crossbar, Inc. Low temperature fabrication method for a three-dimensional memory device and structure
US9685608B2 (en) 2012-04-13 2017-06-20 Crossbar, Inc. Reduced diffusion in metal electrode for two-terminal memory
US8658476B1 (en) 2012-04-20 2014-02-25 Crossbar, Inc. Low temperature P+ polycrystalline silicon material for non-volatile memory device
US8796658B1 (en) 2012-05-07 2014-08-05 Crossbar, Inc. Filamentary based non-volatile resistive memory device and method
JP2013235956A (en) * 2012-05-09 2013-11-21 Toshiba Corp Semiconductor memory device
US8765566B2 (en) 2012-05-10 2014-07-01 Crossbar, Inc. Line and space architecture for a non-volatile memory device
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
EP2695966B1 (en) 2012-08-06 2018-10-03 IMEC vzw ALD method
US9741765B1 (en) 2012-08-14 2017-08-22 Crossbar, Inc. Monolithically integrated resistive memory using integrated-circuit foundry compatible processes
US9583701B1 (en) 2012-08-14 2017-02-28 Crossbar, Inc. Methods for fabricating resistive memory device switching material using ion implantation
US20140054531A1 (en) * 2012-08-24 2014-02-27 Intermolecular, Inc. Defect enhancement of a switching layer in a nonvolatile resistive memory element
US8946673B1 (en) 2012-08-24 2015-02-03 Crossbar, Inc. Resistive switching device structure with improved data retention for non-volatile memory device and method
US9129894B2 (en) 2012-09-17 2015-09-08 Intermolecular, Inc. Embedded nonvolatile memory elements having resistive switching characteristics
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9312483B2 (en) 2012-09-24 2016-04-12 Crossbar, Inc. Electrode structure for a non-volatile memory device and method
US9576616B2 (en) 2012-10-10 2017-02-21 Crossbar, Inc. Non-volatile memory with overwrite capability and low write amplification
US11068620B2 (en) 2012-11-09 2021-07-20 Crossbar, Inc. Secure circuit integrated with memory layer
US8982647B2 (en) 2012-11-14 2015-03-17 Crossbar, Inc. Resistive random access memory equalization and sensing
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9412790B1 (en) 2012-12-04 2016-08-09 Crossbar, Inc. Scalable RRAM device architecture for a non-volatile memory device and method
US8872152B2 (en) 2012-12-13 2014-10-28 Intermolecular, Inc. IL-free MIM stack for clean RRAM devices
TWI484679B (en) * 2012-12-17 2015-05-11 Winbond Electronics Corp Non-volatile memory
US8796103B2 (en) 2012-12-20 2014-08-05 Intermolecular, Inc. Forming nonvolatile memory elements by diffusing oxygen into electrodes
US8860002B2 (en) 2012-12-20 2014-10-14 Intermolecular, Inc. Limited maximum fields of electrode-switching layer interfaces in Re-RAM cells
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9406379B2 (en) 2013-01-03 2016-08-02 Crossbar, Inc. Resistive random access memory with non-linear current-voltage relationship
US9047940B2 (en) 2013-01-10 2015-06-02 Intermolecular, Inc. Resistive random access memory cells having variable switching characteristics
US9324942B1 (en) 2013-01-31 2016-04-26 Crossbar, Inc. Resistive memory cell with solid state diode
US9112145B1 (en) 2013-01-31 2015-08-18 Crossbar, Inc. Rectified switching of two-terminal memory via real time filament formation
US8934280B1 (en) 2013-02-06 2015-01-13 Crossbar, Inc. Capacitive discharge programming for two-terminal memory cells
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
EP2793279A1 (en) * 2013-04-19 2014-10-22 ETH Zurich Strained multilayer resistive-switching memory elements
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9269898B2 (en) * 2014-02-07 2016-02-23 Crossbar, Inc. Low temperature deposition for silicon-based conductive film
US10290801B2 (en) 2014-02-07 2019-05-14 Crossbar, Inc. Scalable silicon based resistive memory device
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9425390B2 (en) 2014-10-16 2016-08-23 Micron Technology, Inc. Select device for memory cell applications
US9246087B1 (en) * 2014-11-24 2016-01-26 Intermolecular, Inc. Electron barrier height controlled interfaces of resistive switching layers in resistive random access memory cells
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) * 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
KR101735187B1 (en) * 2015-06-30 2017-05-15 서울대학교산학협력단 Variable resistor, non-volatile memory device using the same, and method of fabricating thereof
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10672604B2 (en) 2016-09-20 2020-06-02 The Board Of Trustees Of The Leland Stanford Junior University Metal oxide-resistive memory using two-dimensional edge electrodes
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10762940B2 (en) 2016-12-09 2020-09-01 Integrated Magnetoelectronics Corporation Narrow etched gaps or features in multi-period thin-film structures
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10510547B2 (en) 2017-09-13 2019-12-17 Applied Materials, Inc. Metal and metal-derived films
JP2019057544A (en) 2017-09-19 2019-04-11 東芝メモリ株式会社 Storage element
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
KR102397393B1 (en) 2017-11-28 2022-05-12 삼성전자주식회사 Semiconductor devices
EP3721486A4 (en) * 2017-12-06 2021-08-18 Integrated Magnetoelectronics Corporation Narrow etched gaps or features in multi-period thin-film structures
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (en) 2018-02-28 2021-01-21 美商應用材料股份有限公司 Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
KR20200122175A (en) * 2019-04-17 2020-10-27 에스케이하이닉스 주식회사 Semiconductor device and method for manufacturing the same
CN110854267B (en) * 2019-12-09 2023-09-22 上海华力微电子有限公司 Resistive random access memory and manufacturing method thereof
WO2022240426A1 (en) * 2021-05-12 2022-11-17 Tetramem Inc. Resistive random-access memory devices with multi-component electrodes
US20240074162A1 (en) * 2022-08-30 2024-02-29 Applied Materials, Inc. Lanthanum nitride as a dram molybdenum liner

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6509601B1 (en) * 1998-07-31 2003-01-21 Samsung Electronics Co., Ltd. Semiconductor memory device having capacitor protection layer and method for manufacturing the same
US20050174861A1 (en) * 2004-01-05 2005-08-11 Young-Tae Kim Phase-change memory device and method of manufacturing the same
US20070132049A1 (en) * 2005-12-12 2007-06-14 Stipe Barry C Unipolar resistance random access memory (RRAM) device and vertically stacked architecture
US20080210924A1 (en) * 2007-01-23 2008-09-04 Samsung Electronics Co., Ltd Phase change memory devices including phase change layer formed by selective growth methods and methods of manufacturing the same
US20090140229A1 (en) * 2007-12-04 2009-06-04 Ovonyx, Inc. Active material devices with containment layer
US8354660B2 (en) * 2010-03-16 2013-01-15 Sandisk 3D Llc Bottom electrodes for use with metal oxide resistivity switching layers

Family Cites Families (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4954455A (en) * 1984-12-18 1990-09-04 Advanced Micro Devices Semiconductor memory device having protection against alpha strike induced errors
EP0239576A4 (en) 1985-01-16 1989-02-06 Clayview Pty Ltd Navigation systems.
JPH0239983Y2 (en) 1985-09-03 1990-10-25
US5915167A (en) 1997-04-04 1999-06-22 Elm Technology Corporation Three dimensional structure memory
US6483736B2 (en) * 1998-11-16 2002-11-19 Matrix Semiconductor, Inc. Vertically stacked field programmable nonvolatile memory and method of fabrication
DE50000924D1 (en) * 1999-03-19 2003-01-23 Infineon Technologies Ag STORAGE CELL ARRANGEMENT AND METHOD FOR THE PRODUCTION THEREOF
US6343032B1 (en) * 1999-07-07 2002-01-29 Iowa State University Research Foundation, Inc. Non-volatile spin dependent tunnel junction circuit
US6542000B1 (en) * 1999-07-30 2003-04-01 Iowa State University Research Foundation, Inc. Nonvolatile programmable logic devices
JP4880867B2 (en) 2002-04-10 2012-02-22 セイコーインスツル株式会社 THIN FILM MEMORY, ARRAY, ITS OPERATION METHOD AND MANUFACTURING METHOD
US7176064B2 (en) * 2003-12-03 2007-02-13 Sandisk 3D Llc Memory cell comprising a semiconductor junction diode crystallized adjacent to a silicide
AU2003296988A1 (en) * 2002-12-19 2004-07-29 Matrix Semiconductor, Inc An improved method for making high-density nonvolatile memory
US7767499B2 (en) * 2002-12-19 2010-08-03 Sandisk 3D Llc Method to form upward pointing p-i-n diodes having large and uniform current
US9231201B2 (en) * 2004-06-30 2016-01-05 Nxp B.V. Electric device with a layer of conductive material contacted by nanowires
US20080237696A1 (en) 2004-07-01 2008-10-02 Chih-Hsin Wang Alignment protection in non-volatile memory and array
DE102004041330B3 (en) * 2004-08-26 2006-03-16 Infineon Technologies Ag Memory circuit with a memory element having memory element resistance
US7026689B2 (en) * 2004-08-27 2006-04-11 Taiwan Semiconductor Manufacturing Company Metal gate structure for MOS devices
US7405465B2 (en) * 2004-09-29 2008-07-29 Sandisk 3D Llc Deposited semiconductor structure to minimize n-type dopant diffusion and method of making
US8179711B2 (en) * 2004-10-26 2012-05-15 Samsung Electronics Co., Ltd. Semiconductor memory device with stacked memory cell and method of manufacturing the stacked memory cell
US20060250836A1 (en) * 2005-05-09 2006-11-09 Matrix Semiconductor, Inc. Rewriteable memory cell comprising a diode and a resistance-switching material
US7812404B2 (en) * 2005-05-09 2010-10-12 Sandisk 3D Llc Nonvolatile memory cell comprising a diode and a resistance-switching material
KR100634241B1 (en) * 2005-05-30 2006-10-13 삼성전자주식회사 Semiconductor capacitor and method of manufacturing the same
KR100682946B1 (en) * 2005-05-31 2007-02-15 삼성전자주식회사 Phase change random access memory and method of operating the same
KR100937564B1 (en) * 2005-06-20 2010-01-19 후지쯔 가부시끼가이샤 Nonvolatile semiconductor storage device and write method therefor
US20070069241A1 (en) * 2005-07-01 2007-03-29 Matrix Semiconductor, Inc. Memory with high dielectric constant antifuses and method for using at low voltage
JP4894757B2 (en) * 2005-07-29 2012-03-14 富士通株式会社 Resistance memory element and nonvolatile semiconductor memory device
JPWO2007023569A1 (en) * 2005-08-26 2009-03-26 富士通株式会社 Nonvolatile semiconductor memory device and writing method thereof
JP4778765B2 (en) * 2005-10-07 2011-09-21 富士通セミコンダクター株式会社 Semiconductor device and manufacturing method thereof
KR101186293B1 (en) * 2006-01-19 2012-09-27 삼성전자주식회사 Resistive RAM comprising varistor and method of operating the same
US7501331B2 (en) * 2006-03-31 2009-03-10 Sandisk 3D Llc Low-temperature metal-induced crystallization of silicon-germanium films
US7875871B2 (en) * 2006-03-31 2011-01-25 Sandisk 3D Llc Heterojunction device comprising a semiconductor and a resistivity-switching oxide or nitride
US7808810B2 (en) * 2006-03-31 2010-10-05 Sandisk 3D Llc Multilevel nonvolatile memory cell comprising a resistivity-switching oxide or nitride and an antifuse
US7754605B2 (en) * 2006-06-30 2010-07-13 Sandisk 3D Llc Ultrashallow semiconductor contact by outdiffusion from a solid source
US8030637B2 (en) * 2006-08-25 2011-10-04 Qimonda Ag Memory element using reversible switching between SP2 and SP3 hybridized carbon
CN101536188B (en) * 2006-11-30 2010-09-29 富士通株式会社 Resistance storage element, method for fabricating the same, and nonvolatile semiconductor storage device
WO2008075412A1 (en) 2006-12-19 2008-06-26 Fujitsu Limited Resistance change device and process for producing the same
US7678607B2 (en) * 2007-02-05 2010-03-16 Intermolecular, Inc. Methods for forming resistive switching memory elements
KR100809724B1 (en) * 2007-03-02 2008-03-06 삼성전자주식회사 Bipolar switching type nonvolatile memory device having tunneling layer
US7629198B2 (en) * 2007-03-05 2009-12-08 Intermolecular, Inc. Methods for forming nonvolatile memory elements with resistive-switching metal oxides
WO2008140979A1 (en) * 2007-05-09 2008-11-20 Intermolecular, Inc. Resistive-switching nonvolatile memory elements
WO2009015298A2 (en) * 2007-07-25 2009-01-29 Intermolecular, Inc. Nonvolatile memory elements
US20090095985A1 (en) * 2007-10-10 2009-04-16 Samsung Electronics Co., Ltd. Multi-layer electrode, cross point memory array and method of manufacturing the same
JP2010287582A (en) 2007-10-15 2010-12-24 Panasonic Corp Nonvolatile storage element and method of manufacturing the same, and nonvolatile semiconductor device using the nonvolatile storage element
JP5110088B2 (en) * 2007-12-06 2012-12-26 富士通株式会社 RESISTANCE CHANGE ELEMENT, ITS MANUFACTURING METHOD, AND SEMICONDUCTOR MEMORY DEVICE USING RESISTANCE CHANGE ELEMENT
US8284596B2 (en) * 2008-06-09 2012-10-09 Qimonda Ag Integrated circuit including an array of diodes coupled to a layer of resistance changing material
JP4469023B2 (en) 2008-07-11 2010-05-26 パナソニック株式会社 Nonvolatile memory element, manufacturing method thereof, and nonvolatile semiconductor device using the nonvolatile memory element
US8362454B2 (en) * 2008-08-12 2013-01-29 Industrial Technology Research Institute Resistive random access memory having metal oxide layer with oxygen vacancies and method for fabricating the same
US7974117B2 (en) * 2008-10-30 2011-07-05 Seagate Technology Llc Non-volatile memory cell with programmable unipolar switching element
US7835173B2 (en) * 2008-10-31 2010-11-16 Micron Technology, Inc. Resistive memory
US8120941B2 (en) * 2008-11-07 2012-02-21 Seagate Technology Llc Bidirectional non-volatile memory array architecture
KR20100060323A (en) * 2008-11-27 2010-06-07 삼성전자주식회사 Resistance variable memory device and method for forming the same
US8420478B2 (en) * 2009-03-31 2013-04-16 Intermolecular, Inc. Controlled localized defect paths for resistive memories
JP5388710B2 (en) * 2009-06-12 2014-01-15 株式会社東芝 Resistance change memory
US8207064B2 (en) * 2009-09-17 2012-06-26 Sandisk 3D Llc 3D polysilicon diode with low contact resistance and method for forming same

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6509601B1 (en) * 1998-07-31 2003-01-21 Samsung Electronics Co., Ltd. Semiconductor memory device having capacitor protection layer and method for manufacturing the same
US20050174861A1 (en) * 2004-01-05 2005-08-11 Young-Tae Kim Phase-change memory device and method of manufacturing the same
US20070132049A1 (en) * 2005-12-12 2007-06-14 Stipe Barry C Unipolar resistance random access memory (RRAM) device and vertically stacked architecture
US20080210924A1 (en) * 2007-01-23 2008-09-04 Samsung Electronics Co., Ltd Phase change memory devices including phase change layer formed by selective growth methods and methods of manufacturing the same
US20090140229A1 (en) * 2007-12-04 2009-06-04 Ovonyx, Inc. Active material devices with containment layer
US8354660B2 (en) * 2010-03-16 2013-01-15 Sandisk 3D Llc Bottom electrodes for use with metal oxide resistivity switching layers

Cited By (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9105576B2 (en) 2010-10-14 2015-08-11 Sandisk 3D Llc Multi-level memory arrays with memory cells that employ bipolar storage elements and methods of forming the same
US8618614B2 (en) * 2010-12-14 2013-12-31 Sandisk 3D Llc Continuous mesh three dimensional non-volatile storage with vertical select devices
US8885389B2 (en) 2010-12-14 2014-11-11 Sandisk 3D Llc Continuous mesh three dimensional non-volatile storage with vertical select devices
US8883569B2 (en) 2010-12-14 2014-11-11 Sandisk 3D Llc Continuous mesh three dimensional non-volatile storage with vertical select devices
US20120147644A1 (en) * 2010-12-14 2012-06-14 Scheuerlein Roy E Continuous mesh three dimensional non-volatile storage with vertical select devices
US9558949B2 (en) 2013-03-04 2017-01-31 Sandisk Technologies Llc Vertical bit line non-volatile memory systems and methods of fabrication
US9202694B2 (en) 2013-03-04 2015-12-01 Sandisk 3D Llc Vertical bit line non-volatile memory systems and methods of fabrication
US9853090B2 (en) 2013-03-04 2017-12-26 Sandisk Technologies Llc Vertical bit line non-volatile memory systems and methods of fabrication
US9165933B2 (en) 2013-03-07 2015-10-20 Sandisk 3D Llc Vertical bit line TFT decoder for high voltage operation
US9818798B2 (en) 2014-03-03 2017-11-14 Sandisk Technologies Llc Vertical thin film transistors in non-volatile storage systems
US9362338B2 (en) 2014-03-03 2016-06-07 Sandisk Technologies Inc. Vertical thin film transistors in non-volatile storage systems
US9379246B2 (en) 2014-03-05 2016-06-28 Sandisk Technologies Inc. Vertical thin film transistor selection devices and methods of fabrication
US9711650B2 (en) 2014-03-05 2017-07-18 Sandisk Technologies Llc Vertical thin film transistor selection devices and methods of fabrication
US9450023B1 (en) 2015-04-08 2016-09-20 Sandisk Technologies Llc Vertical bit line non-volatile memory with recessed word lines
US9865653B2 (en) 2015-04-16 2018-01-09 Stmicroelectronics, Inc. High density resistive random access memory (RRAM)
US10211257B2 (en) 2015-04-16 2019-02-19 Stmicroelectronics, Inc. High density resistive random access memory (RRAM)
US20180006108A1 (en) * 2016-06-30 2018-01-04 International Business Machines Corporation Stacked capacitor with symmetric leakage and break-down behaviors
US10332957B2 (en) * 2016-06-30 2019-06-25 International Business Machines Corporation Stacked capacitor with symmetric leakage and break-down behaviors
FR3090180A1 (en) * 2018-12-14 2020-06-19 Commissariat A L'energie Atomique Et Aux Energies Alternatives OXRAM OXIDE-BASED RESISTIVE MEMORY CELL AND MANUFACTURING METHOD THEREOF
EP3686891A1 (en) * 2018-12-14 2020-07-29 Commissariat à l'Energie Atomique et aux Energies Alternatives Resistive memory cell with oxram oxide and associated manufacturing method
US11329224B2 (en) 2018-12-14 2022-05-10 Commissariat A L'energie Atomique Et Aux Energies Alternatives OxRAM oxide based resistive random access memory cell and associated manufacturing method

Also Published As

Publication number Publication date
EP2548238B8 (en) 2015-06-17
EP2548239A1 (en) 2013-01-23
EP2548238A1 (en) 2013-01-23
WO2011115924A1 (en) 2011-09-22
JP2013522912A (en) 2013-06-13
US20110227020A1 (en) 2011-09-22
US20110227028A1 (en) 2011-09-22
US8772749B2 (en) 2014-07-08
KR20130007571A (en) 2013-01-18
JP2013522911A (en) 2013-06-13
US8354660B2 (en) 2013-01-15
KR20130007572A (en) 2013-01-18
TW201145633A (en) 2011-12-16
EP2548238B1 (en) 2015-04-22
TW201145634A (en) 2011-12-16
WO2011115926A1 (en) 2011-09-22

Similar Documents

Publication Publication Date Title
US8354660B2 (en) Bottom electrodes for use with metal oxide resistivity switching layers
US8969845B2 (en) Memory cells having storage elements that share material layers with steering elements and methods of forming the same
US9105576B2 (en) Multi-level memory arrays with memory cells that employ bipolar storage elements and methods of forming the same
US8816315B2 (en) Memory cell that employs a selectively grown reversible resistance-switching element and methods of forming the same
US8679901B2 (en) Memory cell that includes a sidewall collar for pillar isolation and methods of forming the same
US7759771B2 (en) Resistance random access memory and method of manufacturing the same
US8173486B2 (en) Memory cell that employs a selectively grown reversible resistance-switching element and methods of forming the same
US20140252298A1 (en) Methods and apparatus for metal oxide reversible resistance-switching memory devices
US20120091418A1 (en) Bipolar storage elements for use in memory cells and methods of forming the same
US20130292634A1 (en) Resistance-switching memory cells having reduced metal migration and low current operation and methods of forming the same
US20110210306A1 (en) Memory cell that includes a carbon-based memory element and methods of forming the same
WO2012118791A1 (en) Methods for increasing bottom electrode performance in carbon- based memory devices, using titanium - rich titanium nitride

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION

AS Assignment

Owner name: SANDISK TECHNOLOGIES INC., TEXAS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:SANDISK 3D LLC.;REEL/FRAME:038300/0665

Effective date: 20160324

AS Assignment

Owner name: SANDISK TECHNOLOGIES INC., TEXAS

Free format text: CORRECTIVE ASSIGNMENT TO CORRECT THE INCORRECT LISTED PATENT NUMBER 8853569 TO THE CORRECT PATENT NUMBER 8883569 PREVIOUSLY RECORDED ON REEL 038300 FRAME 0665. ASSIGNOR(S) HEREBY CONFIRMS THE ASSIGNMENT;ASSIGNOR:SANDISK 3D LLC;REEL/FRAME:038520/0552

Effective date: 20160324

AS Assignment

Owner name: SANDISK TECHNOLOGIES LLC, TEXAS

Free format text: CHANGE OF NAME;ASSIGNOR:SANDISK TECHNOLOGIES INC;REEL/FRAME:038809/0672

Effective date: 20160516