US20120301977A1 - Silicon carrier structure and method of forming same - Google Patents

Silicon carrier structure and method of forming same Download PDF

Info

Publication number
US20120301977A1
US20120301977A1 US13/569,872 US201213569872A US2012301977A1 US 20120301977 A1 US20120301977 A1 US 20120301977A1 US 201213569872 A US201213569872 A US 201213569872A US 2012301977 A1 US2012301977 A1 US 2012301977A1
Authority
US
United States
Prior art keywords
silicon carrier
silicon
chip
substrate
wafer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US13/569,872
Inventor
Paul Stephen Andry
Harm Peter Hofstee
George A. Katopis
John Ulrich Knickerbocker
Robert K. Montoye
Chirag S. Patel
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Priority to US13/569,872 priority Critical patent/US20120301977A1/en
Publication of US20120301977A1 publication Critical patent/US20120301977A1/en
Assigned to GLOBALFOUNDRIES U.S. 2 LLC reassignment GLOBALFOUNDRIES U.S. 2 LLC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: INTERNATIONAL BUSINESS MACHINES CORPORATION
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GLOBALFOUNDRIES U.S. 2 LLC, GLOBALFOUNDRIES U.S. INC.
Assigned to GLOBALFOUNDRIES U.S. INC. reassignment GLOBALFOUNDRIES U.S. INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: WILMINGTON TRUST, NATIONAL ASSOCIATION
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0655Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next to each other
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/12Mountings, e.g. non-detachable insulating substrates
    • H01L23/14Mountings, e.g. non-detachable insulating substrates characterised by the material or its electrical properties
    • H01L23/147Semiconductor insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/58Structural electrical arrangements for semiconductor devices not otherwise provided for, e.g. in combination with batteries
    • H01L23/60Protection against electrostatic charges or discharges, e.g. Faraday shields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0652Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next and on each other, i.e. mixed assemblies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/102Material of the semiconductor or solid state bodies
    • H01L2924/1025Semiconducting materials
    • H01L2924/10251Elemental semiconductors, i.e. Group IV
    • H01L2924/10253Silicon [Si]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/156Material
    • H01L2924/157Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof

Definitions

  • the present disclosure relates to electronic systems and, more particularly, to packaging of electronic systems.
  • packaging methods include building circuits forming a system on a single die, referred to as a SoC (System on Chip) device, building circuits forming a system on two or more chips according to chip device type, or packaging each chip into an electronic package and later assembling them on an organic substrate or a printed circuit board (PCB).
  • SoC System on Chip
  • Chips such as SoC devices, may be used for high-performance computing solutions or complex combined technology solutions. SoC implementations can result in large die sizes and drive semiconductor die costs up due to additional process steps and complexity.
  • the gap between processor speeds and memory performance has become an obstacle to improved computer system performance.
  • One reason for this difficulty is that the memory system resides “off-chip” and packaging constraints limit what can be accomplished to improve the average time to access memory.
  • One proposed approach to solve this difficulty uses multiple cache levels integrated into a single processor die. This approach has the disadvantage that the processor die becomes large and therefore expensive. Typically, high-end processor chips are spatially dominated by memory allocated for these cache levels.
  • Memory latency which refers to the time between the initiation of a memory request and its completion
  • bandwidth which refers to the rate at which the memory system can service requests from the processor
  • Bandwidth is one bottleneck in the performance of a memory system. It is desirable to ensure that the flow of data from memory to the processor is fully balanced, thus increasing overall performance by making efficient use of the processor.
  • One approach to balancing the flow of data is to place a large amount of memory in close proximity to the processor and to allow that memory to communicate with the processor through a wider bus than is typically used (e.g., 1024 channels instead of 16, 32 or 64 running at DDR).
  • Microwave silicon devices and low loss transmission lines may be integrated using a highly doped silicon wafer by placing active circuits in single crystal silicon and transmission lines using polycrystalline silicon. This method provides means for microwave transmission between moat regions with active devices and high resistivity regions surrounding each moat region on the semiconductor device but does not provide for modular design (reuse of prior designs), lower power or improved performance at lower cost for system level applications.
  • MMIC Monolithic microwave integrated circuits
  • silicon may have MMIC placed into etched recesses in the silicon.
  • gallium arsenide (GaAs) devices are placed into the etched recesses and then interconnected to provide function.
  • GaAs gallium arsenide
  • MMIC solutions have been used to connect GaAs for microwave applications.
  • a three-dimensional stacked IC may include a unit semiconductor IC, which has constituent ICs formed on one or both surfaces of a substrate. By stacking a plurality of unit ICs on the base plate, a very large scale IC can be fabricated.
  • This method can be applied to the fabrication of a ROM structure such as a PROM or MASK ROM, using single unit semiconductor ICs, wherein a wiring for the ROM can be faulted on the second surface of the substrate.
  • 3D integration of die is typically limited to memory products including Flash, SRAM and DRAM, which are edge wire-bonded. These methods do not address the memory bottleneck.
  • a silicon carrier structure for electronic packaging includes a base substrate, a silicon carrier substrate disposed on the base substrate, a memory chip disposed on the silicon carrier substrate, a microprocessor chip disposed on the silicon carrier substrate, an input/output chip disposed on the silicon carrier substrate, and a clocking chip disposed on the silicon carrier substrate.
  • a method of forming a silicon carrier structure includes etching a wafer to form etched vertical holes in the wafer, forming via insulation and metallization using thermal oxidation, forming back-end-of-line wiring to form X-Y wiring interconnections for space transformation connections, power and ground, forming at least one of surface pads, microbumps or copper interconnections, and dicing the wafer to produce one or more silicon carrier structures.
  • FIG. 1 is a block diagram schematically illustrating a silicon carrier structure, according to an exemplary embodiment of the present invention.
  • FIG. 2 is a block diagram schematically illustrating a silicon carrier structure, according to an exemplary embodiment of the present invention.
  • FIG. 3 is a flowchart illustrating a method of fabricating a silicon carrier structure, according to an exemplary embodiment of the present invention.
  • FIG. 4 is a flowchart illustrating a method of assembling a silicon structure, according to an exemplary embodiment of the present invention.
  • Various exemplary embodiments of the present invention include a surface mountable integrated circuit fabricated in a silicon carrier or wafer with interconnection to silicon chips or connection with a printed circuit board as well as a structure and method for high-performance electronic packaging assembly using a silicon interposer to connect microprocessor and memory chips.
  • a silicon carrier structure includes flip-chips attached without the use of engineering pad changes between the semiconductor devices, creating a shorter path for interconnection and permitting testing and changes on the opposite side of the substrate, and permitting reduced length connections. Further, top surface repair may be substantially avoided.
  • Various exemplary embodiments of the present invention include a plurality of semiconductor chips and integrate the packaging with active and passive circuitry using a silicon carrier with active circuits, through silicon (Si) via technology and passive circuitry and wiring to improve performance, modular design and lower power to benefit the total solution with a lower cost product.
  • An exemplary embodiment of the present invention includes integrated active circuits in the package and low inductance through Si vias, and may improve performance at higher frequencies.
  • Mixed semiconductor technologies can be supported, each using cost efficient semiconductor processing.
  • simple die can be fabricated independently, such as SiGe die, memory die, microprocessor die, analog die, optoelectronic die, etc.
  • a silicon carrier structure may lower the power needed by the product and optionally use simple (I/O) input/output drivers and receivers that consume low power due to reduced interconnection length, smaller feature sizes and/or improved electrical shielding for signals enhancing signal integrity and signal transmission between circuits at a given length.
  • simple (I/O) input/output drivers and receivers that consume low power due to reduced interconnection length, smaller feature sizes and/or improved electrical shielding for signals enhancing signal integrity and signal transmission between circuits at a given length.
  • a silicon carrier structure leverages options for passive circuits such as through-silicon-vias, wiring, integrated fuses, capacitors, inductors and resistors and/or active circuits in silicon carrier packaging which can provide repeaters that boost signal swing, clocking distribution for multiple die placed on one or more locations on or in a silicon carrier.
  • passive circuits such as through-silicon-vias, wiring, integrated fuses, capacitors, inductors and resistors and/or active circuits in silicon carrier packaging which can provide repeaters that boost signal swing, clocking distribution for multiple die placed on one or more locations on or in a silicon carrier.
  • a silicon carrier structure improves the mechanical and reliability properties of silicon carrier package by use of coefficient of expansion match or improved match with balancing stress or reducing stress in a silicon carrier by using X-Y wiring and ground planes on one or more sides of the silicon carrier, use of stress balancing depositions such as SiOx, SiNx, TaN or like materials, which have ability to improve wafer level stress during wafer processing and in the final chip(s)-package(s) assemblies, and/or improve reliability for use in advanced silicon technology which may employ copper metallurgy and low K dielectric materials which typically have lower modulus and structural mechanical properties even though they may possess superior electrical properties to improve performance or reduce power consumption.
  • stress balancing depositions such as SiOx, SiNx, TaN or like materials
  • FIG. 1 is a block diagram schematically illustrating a silicon carrier structure, according to an exemplary embodiment of the present invention.
  • the silicon carrier structure 100 includes a base substrate 110 , a silicon carrier substrate 120 , a memory chip 130 , a microprocessor chip 140 , an I/O chip 150 , and a clocking chip such as a phase lock loop (PLL) chip 160 .
  • PLL phase lock loop
  • the silicon carrier structure 100 may include active devices for use in any function such as to provide driver or repeater or buffer for signal propagation and may be optimized to minimize total power or distribute power or to manage hot spots by reducing power in or about certain areas on one or more chips, one or more Si carriers in a module and for associate packaging.
  • the silicon carrier structure 100 may include active devices such as memory in close proximity to one or more microprocessors or other architectures.
  • the silicon carrier structure 100 may include active devices such as ESD diodes to protect circuits.
  • the silicon carrier structure 100 may utilize polymer or filled polymer adhesives in addition to electrical interconnections such as solder to provide structure and or thermal enhancement between semiconductors, silicon carriers and/or supporting packages and or boards.
  • the silicon carrier structure 100 may be co-designed between chip(s), silicon carrier(s) and packaging to optimize for low cost and high yield, for wiring, performance and/or power.
  • the silicon carrier structure 100 may be utilized for applications such as a virtual chip, high bandwidth microprocessor(s), communications, networking, games, automotive, military, super computer, server, pervasive solution or alternate application.
  • the silicon carrier structure 100 may be fabricated using semiconductor tooling to create conductive and insulating structures in X, Y and Z dimensions.
  • the base substrate 110 may comprise, but is not limited to, a ceramic base substrate, organic base substrate or a printed wiring board.
  • the silicon carrier substrate 120 may comprise of active and passive circuitry and/or components to enhance the silicon carrier function.
  • Active circuitry may include active transistors used to support signal boost for performance improvement, to lower power consumption on-chip compared to large single signal drivers on-chip, to distribute power off-chip to permit increased power density designed for microprocessor performance enhancement rather than current level of shared power density for microprocessor performance and I/O drivers for off-chip connections though traditional interconnections which require larger driver power.
  • Passive components may include electrostatic discharge (ESD) protection devices, integrated capacitors, resistors and inductors for voltage regulation and power distribution at one or more voltage levels.
  • ESD electrostatic discharge
  • the silicon carrier substrate 120 may include surface pads and features that allow the assembly with close proximity between multiple chips such as microprocessor and memory and fine pitch interconnections that assure high bandwidth interface between chips.
  • Fine pitch interconnections may have a pitch such as at 50 micron pitch, 20 micron pitch, ⁇ 4 micron pitch.
  • the fine pitch interconnections may have a large pitch such as between about 100 microns and 200 microns.
  • the fine pitch interconnections have a pitch in the range of about 5 microns to about 50 microns pitch.
  • the silicon carrier substrate 120 may include single or multiple wiring levels fabricated using bulk epitaxial developed silicon, use of SiOx dielectric, polyimide dielectric, or alternate low k dielectric, and/or metallic elements such as copper, aluminum, etc.
  • the substrate 120 may include integrated decoupling capacitance with trench and/or planar capacitors with use of SiOx, SiNx or high k dielectrics or alternate dielectric materials, where needed to support high K, low inductance decoupling.
  • the silicon carrier substrate 120 may include one or multiple levels of wiring as well as one or multiple through-vias and micro bumps for signal propagation as well as power and ground distribution and shielding for signal lines.
  • the silicon carrier substrate 120 may include active devices for use in any function such as integrated optical drivers or receivers or devices added to the silicon carrier for optical interconnection on and/or off module.
  • the silicon carrier substrate 120 may utilize conductors such as metals, for example, copper, nickel, solder, Ti, Ta, TiW, Cr, Cr/Cu, Ni, Au, or composite metals, metal-containing polymers or alternate conductors structures to connect chip to Si carrier and/or silicon carrier to base substrate 110 and/or to connect multiple silicon carrier substrates on a base substrate.
  • conductors such as metals, for example, copper, nickel, solder, Ti, Ta, TiW, Cr, Cr/Cu, Ni, Au, or composite metals, metal-containing polymers or alternate conductors structures to connect chip to Si carrier and/or silicon carrier to base substrate 110 and/or to connect multiple silicon carrier substrates on a base substrate.
  • the silicon carrier substrate 120 may contain one or more micron size connections which are less than 200 microns in size where said structures may be minimized in size to result into small parasitic capacitance (such as with connections of less than 1 micron to 100 micron height and/or diameter of less than 1 micron to over 200 microns) to support high speed and high bandwidth communication between multiple chips assembled on silicon carrier substrate.
  • the memory chip 130 may comprise of a single die or multiple stacked die memory chip such as Flash, SRAM, DRAM or MRAM.
  • the microprocessor chip 140 may comprise of single core or multiple core microprocessor chip.
  • the I/O chip 150 may comprise of low or high speed input/output driver/receiver chip.
  • the clocking chip 160 may comprise of clocking chip such as a phase lock loop (PLL) chip.
  • PLL phase lock loop
  • FIG. 2 is a block diagram schematically illustrating a silicon carrier structure, according to an exemplary embodiment of the present invention.
  • the silicon carrier structure 200 includes a base substrate 210 , a silicon carrier substrate 220 , memory chips 230 - 1 , 230 - 2 and 230 - 3 , microprocessor chips 240 - 1 and 240 - 2 , I/O chip 250 , PLL chip 260 , and switch chip 270 .
  • the silicon carrier structure 200 may include active devices for use in any function such as to provide driver or repeater or buffer for signal propagation and may be optimized to minimize total power or distribute power or to manage hot spots by reducing power in or about certain areas on one or more chips, one or more Si carriers in a module and for associate packaging.
  • the silicon carrier structure 200 may include active devices such as memory in close proximity to one or more microprocessors or other architectures.
  • the silicon carrier structure 200 may include active devices such as ESD diodes to protect circuits.
  • the silicon carrier structure 200 may utilize, polymer or filled polymer adhesives in addition to electrical interconnections such as solder, to provide structure and or thermal enhancement between semiconductors, silicon carriers and or supporting packages and or boards.
  • the silicon carrier structure 200 may be co-designed between chip(s), silicon carrier(s) and packaging to optimize for low cost and high yield, for wiring, performance and/or power.
  • the silicon carrier structure 200 may be utilized for applications such as a virtual chip, high bandwidth microprocessor(s), communications, networking, games, automotive, military, super computer, server, pervasive solution or alternate application.
  • the silicon carrier structure 200 may be fabricated using semiconductor tooling to create conductive and insulating structures in X, Y and Z dimensions.
  • the base substrate 210 may comprise of, but is not limited to, a ceramic base substrate, organic base substrate or a printed wiring board.
  • the silicon carrier substrate 220 may comprise of active and passive circuitry and/or components to enhance the silicon carrier function.
  • Active circuitry may include active transistors used to support signal boost for performance improvement, to lower power consumption on chip compared to large single signal drivers on chip, to distribute power off chip to permit increased power density designed for microprocessor performance enhancement rather than current level of shared power density for microprocessor performance and I/O drivers for off chip connections though traditional interconnections which require larger driver power.
  • Passive components may include electrostatic discharge (ESD) protection devices, integrated capacitors, resistors and inductors for voltage regulation and power distribution at one or more voltage levels.
  • ESD electrostatic discharge
  • the silicon carrier substrate 220 may include surface pads and features that allow the assembly with close proximity between multiple chips such as microprocessor and memory and fine pitch interconnections that assure high bandwidth interface between chips.
  • the silicon carrier substrate 220 may include single or multiple wiring levels fabricated using bulk epitaxial developed silicon, use of SiOx dielectric, polyimide dielectric, or alternate low k dielectric, and/or metallic elements such as copper, aluminum, etc.
  • the substrate 220 may include integrated decoupling capacitance with trench and/or planar capacitors with use of SiOx, SiNx or high k dielectrics or alternate dielectric materials, where needed to support high K, low inductance decoupling.
  • the silicon carrier substrate 220 may include one or multiple levels of wiring as well as one or multiple through-vias and micro bumps for signal propagation as well as power and ground distribution and shielding for signal lines.
  • the silicon carrier substrate 220 may include active devices for use in any function such as integrated optical drivers or receivers or devices added to the silicon carrier for optical interconnection on and/or off module.
  • the silicon carrier substrate 220 may utilize conductors such as metals, for example, copper, nickel, solder, Ti, Ta, TiW, Cr, Cr/Cu, Ni, Au, or composite metals, metal-containing polymers or alternate conductors structures to connect chip to Si carrier and/or silicon carrier to base substrate 210 and/or to connect multiple silicon carrier substrates on a base substrate.
  • conductors such as metals, for example, copper, nickel, solder, Ti, Ta, TiW, Cr, Cr/Cu, Ni, Au, or composite metals, metal-containing polymers or alternate conductors structures to connect chip to Si carrier and/or silicon carrier to base substrate 210 and/or to connect multiple silicon carrier substrates on a base substrate.
  • the silicon carrier substrate 220 may contain one or more micron size connections which are less than 200 microns in size where said structures may be minimized in size to result into small parasitic capacitance (such as with connections of less than 1 micron to 100 micron height and/or diameter of less than 1 micron to over 200 microns) to support high speed and high bandwidth communication between multiple chips assembled on silicon carrier substrate.
  • the memory chip 230 - 1 , 230 - 2 , and 230 - 3 may comprise of one or more single die or multiple stacked die memory chip(s) such as flash, static random access memory (SRAM), dynamic random access memory (DRAM) or magnetoresistive random access memory (MRAM).
  • SRAM static random access memory
  • DRAM dynamic random access memory
  • MRAM magnetoresistive random access memory
  • the microprocessor chip 240 - 1 and 240 - 2 may comprise of single core or multiple core singular or multiple stacked microprocessor chip(s).
  • the I/O chip 250 may comprise of low or high speed singular or multiple stacked input/output driver/receiver chip(s).
  • the clocking chip 260 may comprise of singular or multiple stacked clocking chip(s) such as a PLL.
  • the switch chip 270 may comprise of singular or multiple stacked switch chip(s) such as a crossbar switch.
  • FIG. 3 is a flowchart illustrating a method of fabricating a silicon carrier structure, according to an exemplary embodiment of the present invention.
  • a wafer 310 undergoes deep reactive ion etch (DRIE) 320 to form etched vertical holes in the silicon.
  • DRIE deep reactive ion etch
  • via insulation and metallization can be achieved using thermal oxidation to create an SiO2 layer or using SiOx or SiNx depositions such as plasma-enhanced chemical vapor deposition (PECVD) or other deposition techniques.
  • PECVD plasma-enhanced chemical vapor deposition
  • a liner such as TaITaN and a metal conductor such as tungsten (W) can be deposited by CVD to form a vertical electrical connection or through silicon via (TSV).
  • active and passive devices and back-end-of-line (BEOL) wiring are fabricated.
  • BEOL wiring can be deposited to form X-Y wiring interconnections for redistribution or space transformation connections, power and ground.
  • a temporary poly silicon is deposited, followed by circuits and/or decoupling capacitor (active and/or passive devices) processing using standard front-end-of-line (FEOL) processing, after which the poly-silicon is removed from the TSV and a liner/conductor of Ta/TaN and W can be deposited followed by BEOL wiring.
  • the wafer can be finished with surface pads.
  • a glass handle wafer is attached, for example, using a polymer adhesive, followed by wafer thinning where mechanical grinding, polish and/or RIE are used to thin the wafer.
  • the back side receives oxide deposition over exposed silicon, for example, using PECVD.
  • FIG. 4 is a flowchart illustrating a method of assembling a silicon carrier structure assembly, according to an exemplary embodiment of the present invention.
  • a silicon carrier structure (e.g., 120 or 220 ) is attached to a substrate, such as base substrate 110 or 210 , using solder reflow or copper to copper bonding, in block 410 .
  • the mechanical handler is removed.
  • the mechanical handler may be removed using a laser scan to release the adhesive or chemical release to dissolve the adhesive.
  • the surface may be cleaned using oxygen ashing, chemical clean or other cleaning method to remove any residue.
  • a single or multiple chips and cooling module hardware are attached to the Si carrier structure.
  • the base substrate 110 or 210 is attached to the next level of packaging such as a system printed wiring board (PWB).
  • PWB system printed wiring board
  • the substrate may be attached to the PWB using surface mount attach BGA or CGA or may be attached with a socket/LGA connection.
  • the assembly undergoes test evaluation of the silicon carrier structure involving mounting into the tester to be ready for die or wafer testing, in block 450 .
  • a signal can be traversed between circuits by leveraging Z interconnections in addition to X and Y wiring, and increased bandwidth and/or reduced latency may be achieved.
  • driver power may be reduced, for example, due to shorter interconnection lengths and lower resistance, capacitance (RC) circuits, use of adjacent flip-chip decoupling capacitance, finer pitch power grid, finer pitch chip and/or package I/O, with increased performance, lower test costs and/or supporting higher frequency system needs.
  • RC capacitance
  • a silicon carrier structure for electronic packaging that includes through silicon connections can leverage use of smaller die, which are generally easier to yield during wafer manufacture compared to larger area chips of the same semiconductor technology and complexity and provide means to support shorter wiring lengths compared to two-dimensional silicon integration or traditional chip packaging.

Abstract

A silicon carrier structure for electronic packaging includes a base substrate, a silicon carrier substrate disposed on the base substrate, a memory chip disposed on the silicon carrier substrate, a microprocessor chip disposed on the silicon carrier substrate, an input/output chip disposed on the silicon carrier substrate, and a clocking chip disposed on the silicon carrier substrate.

Description

    CROSS-REFERENCE TO RELATED APPLICATION
  • This is divisional application of U.S. application Ser. No. 12/507,591, filed Jul. 22, 2009, the disclosure of which is herein incorporated by reference in its entirety.
  • BACKGROUND OF THE INVENTION
  • 1. Technical Field
  • The present disclosure relates to electronic systems and, more particularly, to packaging of electronic systems.
  • 2. Discussion of Related Art
  • In the computer industry, packaging methods include building circuits forming a system on a single die, referred to as a SoC (System on Chip) device, building circuits forming a system on two or more chips according to chip device type, or packaging each chip into an electronic package and later assembling them on an organic substrate or a printed circuit board (PCB). Chips, such as SoC devices, may be used for high-performance computing solutions or complex combined technology solutions. SoC implementations can result in large die sizes and drive semiconductor die costs up due to additional process steps and complexity. The ability to process multiple complex mixed logic and memory devices as well as other technologies on one wafer may not lead to a robust, high-yield, and low-cost product due to, for example, the complexity of each function not being common with other circuitry or unique processes to obtain system-level functionality.
  • The gap between processor speeds and memory performance, sometimes referred to as the “memory wall” problem, has become an obstacle to improved computer system performance. One reason for this difficulty is that the memory system resides “off-chip” and packaging constraints limit what can be accomplished to improve the average time to access memory.
  • One proposed approach to solve this difficulty uses multiple cache levels integrated into a single processor die. This approach has the disadvantage that the processor die becomes large and therefore expensive. Typically, high-end processor chips are spatially dominated by memory allocated for these cache levels.
  • Memory latency, which refers to the time between the initiation of a memory request and its completion, and bandwidth, which refers to the rate at which the memory system can service requests from the processor, are closely related. Bandwidth is one bottleneck in the performance of a memory system. It is desirable to ensure that the flow of data from memory to the processor is fully balanced, thus increasing overall performance by making efficient use of the processor. One approach to balancing the flow of data is to place a large amount of memory in close proximity to the processor and to allow that memory to communicate with the processor through a wider bus than is typically used (e.g., 1024 channels instead of 16, 32 or 64 running at DDR). Attempting to embed a large amount of memory on the processor chip, as proposed in some SoC designs, would take up chip area while simultaneously driving overall yield down. However, due to aggressive groundrule targets in the future and die yield considerations, it is advantageous for the processor to be as small as is practically permitted by power density and thermal design considerations.
  • Various techniques to provide redundancy in wiring for interconnection of transistors and to provide a module level cooling solution by leveraging a silicon substrate use a passive silicon substrate as an interconnection to a system.
  • Microwave silicon devices and low loss transmission lines may be integrated using a highly doped silicon wafer by placing active circuits in single crystal silicon and transmission lines using polycrystalline silicon. This method provides means for microwave transmission between moat regions with active devices and high resistivity regions surrounding each moat region on the semiconductor device but does not provide for modular design (reuse of prior designs), lower power or improved performance at lower cost for system level applications.
  • Monolithic microwave integrated circuits (MMIC) use high resistivity silicon which may have MMIC placed into etched recesses in the silicon. In this case, gallium arsenide (GaAs) devices are placed into the etched recesses and then interconnected to provide function. For example, MMIC solutions have been used to connect GaAs for microwave applications.
  • Stacked IC structures, which may provide increased integration due to historical limitations with SOI (silicon on insulator) technology, have been used for memory applications. For example, a method of forming a three-dimensional stacked IC on a base plate is known. A three-dimensional stacked IC may include a unit semiconductor IC, which has constituent ICs formed on one or both surfaces of a substrate. By stacking a plurality of unit ICs on the base plate, a very large scale IC can be fabricated. This method can be applied to the fabrication of a ROM structure such as a PROM or MASK ROM, using single unit semiconductor ICs, wherein a wiring for the ROM can be faulted on the second surface of the substrate.
  • In these methods for producing chip-stacks, 3D integration of die is typically limited to memory products including Flash, SRAM and DRAM, which are edge wire-bonded. These methods do not address the memory bottleneck.
  • Therefore, a need exists for new packaging techniques to increase the bandwidth to main memory while also reducing the latency to memory.
  • BRIEF SUMMARY
  • According to an exemplary embodiment of the present invention, a silicon carrier structure for electronic packaging includes a base substrate, a silicon carrier substrate disposed on the base substrate, a memory chip disposed on the silicon carrier substrate, a microprocessor chip disposed on the silicon carrier substrate, an input/output chip disposed on the silicon carrier substrate, and a clocking chip disposed on the silicon carrier substrate.
  • According to an exemplary embodiment of the present invention, a method of forming a silicon carrier structure includes etching a wafer to form etched vertical holes in the wafer, forming via insulation and metallization using thermal oxidation, forming back-end-of-line wiring to form X-Y wiring interconnections for space transformation connections, power and ground, forming at least one of surface pads, microbumps or copper interconnections, and dicing the wafer to produce one or more silicon carrier structures.
  • The present invention will become readily apparent to those of ordinary skill in the art when descriptions of exemplary embodiments thereof are read with reference to the accompanying drawings.
  • BRIEF DESCRIPTION OF THE SEVERAL VIEWS OF THE DRAWINGS
  • FIG. 1 is a block diagram schematically illustrating a silicon carrier structure, according to an exemplary embodiment of the present invention.
  • FIG. 2 is a block diagram schematically illustrating a silicon carrier structure, according to an exemplary embodiment of the present invention.
  • FIG. 3 is a flowchart illustrating a method of fabricating a silicon carrier structure, according to an exemplary embodiment of the present invention.
  • FIG. 4 is a flowchart illustrating a method of assembling a silicon structure, according to an exemplary embodiment of the present invention.
  • DETAILED DESCRIPTION
  • Hereinafter, exemplary embodiments of the present invention will be described with reference to the accompanying drawings.
  • Various exemplary embodiments of the present invention include a surface mountable integrated circuit fabricated in a silicon carrier or wafer with interconnection to silicon chips or connection with a printed circuit board as well as a structure and method for high-performance electronic packaging assembly using a silicon interposer to connect microprocessor and memory chips.
  • A silicon carrier structure, according to an exemplary embodiment of the present invention, includes flip-chips attached without the use of engineering pad changes between the semiconductor devices, creating a shorter path for interconnection and permitting testing and changes on the opposite side of the substrate, and permitting reduced length connections. Further, top surface repair may be substantially avoided.
  • Various exemplary embodiments of the present invention include a plurality of semiconductor chips and integrate the packaging with active and passive circuitry using a silicon carrier with active circuits, through silicon (Si) via technology and passive circuitry and wiring to improve performance, modular design and lower power to benefit the total solution with a lower cost product.
  • An exemplary embodiment of the present invention includes integrated active circuits in the package and low inductance through Si vias, and may improve performance at higher frequencies. Mixed semiconductor technologies can be supported, each using cost efficient semiconductor processing. For example, simple die can be fabricated independently, such as SiGe die, memory die, microprocessor die, analog die, optoelectronic die, etc.
  • A silicon carrier structure, according to an exemplary embodiment of the present invention, may lower the power needed by the product and optionally use simple (I/O) input/output drivers and receivers that consume low power due to reduced interconnection length, smaller feature sizes and/or improved electrical shielding for signals enhancing signal integrity and signal transmission between circuits at a given length.
  • A silicon carrier structure, according to an exemplary embodiment of the present invention, leverages options for passive circuits such as through-silicon-vias, wiring, integrated fuses, capacitors, inductors and resistors and/or active circuits in silicon carrier packaging which can provide repeaters that boost signal swing, clocking distribution for multiple die placed on one or more locations on or in a silicon carrier. A silicon carrier structure, according to an exemplary embodiment of the present invention, improves the mechanical and reliability properties of silicon carrier package by use of coefficient of expansion match or improved match with balancing stress or reducing stress in a silicon carrier by using X-Y wiring and ground planes on one or more sides of the silicon carrier, use of stress balancing depositions such as SiOx, SiNx, TaN or like materials, which have ability to improve wafer level stress during wafer processing and in the final chip(s)-package(s) assemblies, and/or improve reliability for use in advanced silicon technology which may employ copper metallurgy and low K dielectric materials which typically have lower modulus and structural mechanical properties even though they may possess superior electrical properties to improve performance or reduce power consumption.
  • FIG. 1 is a block diagram schematically illustrating a silicon carrier structure, according to an exemplary embodiment of the present invention. Referring to FIG. 1, the silicon carrier structure 100 includes a base substrate 110, a silicon carrier substrate 120, a memory chip 130, a microprocessor chip 140, an I/O chip 150, and a clocking chip such as a phase lock loop (PLL) chip 160.
  • The silicon carrier structure 100 may include active devices for use in any function such as to provide driver or repeater or buffer for signal propagation and may be optimized to minimize total power or distribute power or to manage hot spots by reducing power in or about certain areas on one or more chips, one or more Si carriers in a module and for associate packaging. The silicon carrier structure 100 may include active devices such as memory in close proximity to one or more microprocessors or other architectures. The silicon carrier structure 100 may include active devices such as ESD diodes to protect circuits.
  • The silicon carrier structure 100 may utilize polymer or filled polymer adhesives in addition to electrical interconnections such as solder to provide structure and or thermal enhancement between semiconductors, silicon carriers and/or supporting packages and or boards.
  • The silicon carrier structure 100 may be co-designed between chip(s), silicon carrier(s) and packaging to optimize for low cost and high yield, for wiring, performance and/or power.
  • The silicon carrier structure 100 may be utilized for applications such as a virtual chip, high bandwidth microprocessor(s), communications, networking, games, automotive, military, super computer, server, pervasive solution or alternate application.
  • The silicon carrier structure 100 may be fabricated using semiconductor tooling to create conductive and insulating structures in X, Y and Z dimensions.
  • The base substrate 110 may comprise, but is not limited to, a ceramic base substrate, organic base substrate or a printed wiring board.
  • The silicon carrier substrate 120 may comprise of active and passive circuitry and/or components to enhance the silicon carrier function. Active circuitry may include active transistors used to support signal boost for performance improvement, to lower power consumption on-chip compared to large single signal drivers on-chip, to distribute power off-chip to permit increased power density designed for microprocessor performance enhancement rather than current level of shared power density for microprocessor performance and I/O drivers for off-chip connections though traditional interconnections which require larger driver power. Passive components may include electrostatic discharge (ESD) protection devices, integrated capacitors, resistors and inductors for voltage regulation and power distribution at one or more voltage levels.
  • The silicon carrier substrate 120 may include surface pads and features that allow the assembly with close proximity between multiple chips such as microprocessor and memory and fine pitch interconnections that assure high bandwidth interface between chips. Fine pitch interconnections may have a pitch such as at 50 micron pitch, 20 micron pitch, <4 micron pitch. The fine pitch interconnections may have a large pitch such as between about 100 microns and 200 microns. In an exemplary embodiment of the present invention, the fine pitch interconnections have a pitch in the range of about 5 microns to about 50 microns pitch.
  • The silicon carrier substrate 120 may include single or multiple wiring levels fabricated using bulk epitaxial developed silicon, use of SiOx dielectric, polyimide dielectric, or alternate low k dielectric, and/or metallic elements such as copper, aluminum, etc. The substrate 120 may include integrated decoupling capacitance with trench and/or planar capacitors with use of SiOx, SiNx or high k dielectrics or alternate dielectric materials, where needed to support high K, low inductance decoupling.
  • The silicon carrier substrate 120 may include one or multiple levels of wiring as well as one or multiple through-vias and micro bumps for signal propagation as well as power and ground distribution and shielding for signal lines.
  • The silicon carrier substrate 120 may include active devices for use in any function such as integrated optical drivers or receivers or devices added to the silicon carrier for optical interconnection on and/or off module.
  • The silicon carrier substrate 120 may utilize conductors such as metals, for example, copper, nickel, solder, Ti, Ta, TiW, Cr, Cr/Cu, Ni, Au, or composite metals, metal-containing polymers or alternate conductors structures to connect chip to Si carrier and/or silicon carrier to base substrate 110 and/or to connect multiple silicon carrier substrates on a base substrate.
  • The silicon carrier substrate 120 may contain one or more micron size connections which are less than 200 microns in size where said structures may be minimized in size to result into small parasitic capacitance (such as with connections of less than 1 micron to 100 micron height and/or diameter of less than 1 micron to over 200 microns) to support high speed and high bandwidth communication between multiple chips assembled on silicon carrier substrate.
  • The memory chip 130 may comprise of a single die or multiple stacked die memory chip such as Flash, SRAM, DRAM or MRAM.
  • The microprocessor chip 140 may comprise of single core or multiple core microprocessor chip.
  • The I/O chip 150 may comprise of low or high speed input/output driver/receiver chip.
  • The clocking chip 160 may comprise of clocking chip such as a phase lock loop (PLL) chip.
  • FIG. 2 is a block diagram schematically illustrating a silicon carrier structure, according to an exemplary embodiment of the present invention. Referring to FIG. 2, the silicon carrier structure 200 includes a base substrate 210, a silicon carrier substrate 220, memory chips 230-1, 230-2 and 230-3, microprocessor chips 240-1 and 240-2, I/O chip 250, PLL chip 260, and switch chip 270.
  • The silicon carrier structure 200 may include active devices for use in any function such as to provide driver or repeater or buffer for signal propagation and may be optimized to minimize total power or distribute power or to manage hot spots by reducing power in or about certain areas on one or more chips, one or more Si carriers in a module and for associate packaging. The silicon carrier structure 200 may include active devices such as memory in close proximity to one or more microprocessors or other architectures. The silicon carrier structure 200 may include active devices such as ESD diodes to protect circuits.
  • The silicon carrier structure 200 may utilize, polymer or filled polymer adhesives in addition to electrical interconnections such as solder, to provide structure and or thermal enhancement between semiconductors, silicon carriers and or supporting packages and or boards.
  • The silicon carrier structure 200 may be co-designed between chip(s), silicon carrier(s) and packaging to optimize for low cost and high yield, for wiring, performance and/or power.
  • The silicon carrier structure 200 may be utilized for applications such as a virtual chip, high bandwidth microprocessor(s), communications, networking, games, automotive, military, super computer, server, pervasive solution or alternate application.
  • The silicon carrier structure 200 may be fabricated using semiconductor tooling to create conductive and insulating structures in X, Y and Z dimensions.
  • The base substrate 210 may comprise of, but is not limited to, a ceramic base substrate, organic base substrate or a printed wiring board. The silicon carrier substrate 220 may comprise of active and passive circuitry and/or components to enhance the silicon carrier function. Active circuitry may include active transistors used to support signal boost for performance improvement, to lower power consumption on chip compared to large single signal drivers on chip, to distribute power off chip to permit increased power density designed for microprocessor performance enhancement rather than current level of shared power density for microprocessor performance and I/O drivers for off chip connections though traditional interconnections which require larger driver power. Passive components may include electrostatic discharge (ESD) protection devices, integrated capacitors, resistors and inductors for voltage regulation and power distribution at one or more voltage levels.
  • The silicon carrier substrate 220 may include surface pads and features that allow the assembly with close proximity between multiple chips such as microprocessor and memory and fine pitch interconnections that assure high bandwidth interface between chips.
  • The silicon carrier substrate 220 may include single or multiple wiring levels fabricated using bulk epitaxial developed silicon, use of SiOx dielectric, polyimide dielectric, or alternate low k dielectric, and/or metallic elements such as copper, aluminum, etc. The substrate 220 may include integrated decoupling capacitance with trench and/or planar capacitors with use of SiOx, SiNx or high k dielectrics or alternate dielectric materials, where needed to support high K, low inductance decoupling.
  • The silicon carrier substrate 220 may include one or multiple levels of wiring as well as one or multiple through-vias and micro bumps for signal propagation as well as power and ground distribution and shielding for signal lines.
  • The silicon carrier substrate 220 may include active devices for use in any function such as integrated optical drivers or receivers or devices added to the silicon carrier for optical interconnection on and/or off module.
  • The silicon carrier substrate 220 may utilize conductors such as metals, for example, copper, nickel, solder, Ti, Ta, TiW, Cr, Cr/Cu, Ni, Au, or composite metals, metal-containing polymers or alternate conductors structures to connect chip to Si carrier and/or silicon carrier to base substrate 210 and/or to connect multiple silicon carrier substrates on a base substrate.
  • The silicon carrier substrate 220 may contain one or more micron size connections which are less than 200 microns in size where said structures may be minimized in size to result into small parasitic capacitance (such as with connections of less than 1 micron to 100 micron height and/or diameter of less than 1 micron to over 200 microns) to support high speed and high bandwidth communication between multiple chips assembled on silicon carrier substrate.
  • The memory chip 230-1, 230-2, and 230-3 may comprise of one or more single die or multiple stacked die memory chip(s) such as flash, static random access memory (SRAM), dynamic random access memory (DRAM) or magnetoresistive random access memory (MRAM).
  • The microprocessor chip 240-1 and 240-2 may comprise of single core or multiple core singular or multiple stacked microprocessor chip(s).
  • The I/O chip 250 may comprise of low or high speed singular or multiple stacked input/output driver/receiver chip(s).
  • The clocking chip 260 may comprise of singular or multiple stacked clocking chip(s) such as a PLL.
  • The switch chip 270 may comprise of singular or multiple stacked switch chip(s) such as a crossbar switch.
  • FIG. 3 is a flowchart illustrating a method of fabricating a silicon carrier structure, according to an exemplary embodiment of the present invention.
  • Referring to FIG. 3, a wafer 310 undergoes deep reactive ion etch (DRIE) 320 to form etched vertical holes in the silicon. In block 330, via insulation and metallization can be achieved using thermal oxidation to create an SiO2 layer or using SiOx or SiNx depositions such as plasma-enhanced chemical vapor deposition (PECVD) or other deposition techniques. A liner such as TaITaN and a metal conductor such as tungsten (W) can be deposited by CVD to form a vertical electrical connection or through silicon via (TSV).
  • In block 340, active and passive devices and back-end-of-line (BEOL) wiring are fabricated. For example, BEOL wiring can be deposited to form X-Y wiring interconnections for redistribution or space transformation connections, power and ground. For integrated decoupling capacitors and/or active circuit integrated fabrication into a silicon carrier structure, following via insulation in block 330, a temporary poly silicon is deposited, followed by circuits and/or decoupling capacitor (active and/or passive devices) processing using standard front-end-of-line (FEOL) processing, after which the poly-silicon is removed from the TSV and a liner/conductor of Ta/TaN and W can be deposited followed by BEOL wiring. The wafer can be finished with surface pads.
  • In block 350, a glass handle wafer is attached, for example, using a polymer adhesive, followed by wafer thinning where mechanical grinding, polish and/or RIE are used to thin the wafer. In block 360, the back side receives oxide deposition over exposed silicon, for example, using PECVD.
  • In block 370, surface pads and microbumps or copper interconnections are deposited, for example, using lithography and depositions or plating. In block 380, the silicon carriers are diced and tested to known specifications and are ready for further module assembly.
  • FIG. 4 is a flowchart illustrating a method of assembling a silicon carrier structure assembly, according to an exemplary embodiment of the present invention.
  • Referring to FIG. 4, a silicon carrier structure (e.g., 120 or 220) is attached to a substrate, such as base substrate 110 or 210, using solder reflow or copper to copper bonding, in block 410. Next, in block 420, the mechanical handler is removed. For example, the mechanical handler may be removed using a laser scan to release the adhesive or chemical release to dissolve the adhesive. The surface may be cleaned using oxygen ashing, chemical clean or other cleaning method to remove any residue.
  • In block 430, a single or multiple chips and cooling module hardware are attached to the Si carrier structure.
  • In block 440, the base substrate 110 or 210 is attached to the next level of packaging such as a system printed wiring board (PWB). For example, the substrate may be attached to the PWB using surface mount attach BGA or CGA or may be attached with a socket/LGA connection. The assembly undergoes test evaluation of the silicon carrier structure involving mounting into the tester to be ready for die or wafer testing, in block 450.
  • In an exemplary embodiment of the present invention, a signal can be traversed between circuits by leveraging Z interconnections in addition to X and Y wiring, and increased bandwidth and/or reduced latency may be achieved.
  • In various exemplary embodiments of the present invention, driver power may be reduced, for example, due to shorter interconnection lengths and lower resistance, capacitance (RC) circuits, use of adjacent flip-chip decoupling capacitance, finer pitch power grid, finer pitch chip and/or package I/O, with increased performance, lower test costs and/or supporting higher frequency system needs.
  • A silicon carrier structure for electronic packaging that includes through silicon connections, according to various exemplary embodiments of the present invention, can leverage use of smaller die, which are generally easier to yield during wafer manufacture compared to larger area chips of the same semiconductor technology and complexity and provide means to support shorter wiring lengths compared to two-dimensional silicon integration or traditional chip packaging.
  • Although exemplary embodiments of the present invention have been described in detail with reference to the accompanying drawings for the purpose of illustration and description, it is to be understood that the inventive processes and apparatus are not to be construed as limited thereby. It will be apparent to those of ordinary skill in the art that various modifications to the foregoing exemplary embodiments may be made without departing from the scope of the invention as defined by the appended claims, with equivalents of the claims to be included therein.

Claims (4)

1. A method of forming a silicon carrier structure, comprising:
etching a wafer to form etched vertical holes in the wafer;
forming via insulation and metallization using thermal oxidation;
forming back-end-of-line wiring to form X-Y wiring interconnections for space transformation connections, power and ground;
forming at least one of surface pads, microbumps or copper interconnections; and
dicing the wafer to produce one or more silicon carrier structures.
2. The method of claim 1, further comprising:
attaching a glass handle to the wafer using a polymer adhesive; and
thinning the wafer using mechanical grinding or polishing.
3. The method of claim 1, further comprising testing the one or more silicon carrier structures.
4. A design structure for a silicon carrier structure embodied in a computer readable storage medium, the design structure comprising:
a base substrate;
a silicon carrier substrate disposed on the base substrate;
a memory chip disposed on the silicon carrier substrate;
a microprocessor chip disposed on the silicon carrier substrate;
an input/output chip disposed on the silicon carrier substrate; and
a clocking chip disposed on the silicon carrier substrate.
US13/569,872 2009-07-22 2012-08-08 Silicon carrier structure and method of forming same Abandoned US20120301977A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US13/569,872 US20120301977A1 (en) 2009-07-22 2012-08-08 Silicon carrier structure and method of forming same

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/507,591 US8295056B2 (en) 2009-07-22 2009-07-22 Silicon carrier structure and method of forming same
US13/569,872 US20120301977A1 (en) 2009-07-22 2012-08-08 Silicon carrier structure and method of forming same

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US12/507,591 Division US8295056B2 (en) 2009-07-22 2009-07-22 Silicon carrier structure and method of forming same

Publications (1)

Publication Number Publication Date
US20120301977A1 true US20120301977A1 (en) 2012-11-29

Family

ID=43497156

Family Applications (2)

Application Number Title Priority Date Filing Date
US12/507,591 Expired - Fee Related US8295056B2 (en) 2009-07-22 2009-07-22 Silicon carrier structure and method of forming same
US13/569,872 Abandoned US20120301977A1 (en) 2009-07-22 2012-08-08 Silicon carrier structure and method of forming same

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US12/507,591 Expired - Fee Related US8295056B2 (en) 2009-07-22 2009-07-22 Silicon carrier structure and method of forming same

Country Status (1)

Country Link
US (2) US8295056B2 (en)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103424293A (en) * 2013-06-26 2013-12-04 复旦大学 Method for measuring bending modulus and yield stress of TSV copper columns
US8704384B2 (en) * 2012-02-17 2014-04-22 Xilinx, Inc. Stacked die assembly
US8704364B2 (en) 2012-02-08 2014-04-22 Xilinx, Inc. Reducing stress in multi-die integrated circuit structures
US8869088B1 (en) 2012-06-27 2014-10-21 Xilinx, Inc. Oversized interposer formed from a multi-pattern region mask
US8957512B2 (en) 2012-06-19 2015-02-17 Xilinx, Inc. Oversized interposer
US9026872B2 (en) 2012-08-16 2015-05-05 Xilinx, Inc. Flexible sized die for use in multi-die integrated circuit
US20160180013A1 (en) * 2014-12-22 2016-06-23 Hyundai Autron Co., Ltd. Method for designing vehicle controller-only semiconductor based on die and vehicle controller-only semiconductor by the same
WO2016132273A1 (en) * 2015-02-20 2016-08-25 International Business Machines Corporation Supercomputer using wafer scale integration
US9547034B2 (en) 2013-07-03 2017-01-17 Xilinx, Inc. Monolithic integrated circuit die having modular die regions stitched together
US9915869B1 (en) 2014-07-01 2018-03-13 Xilinx, Inc. Single mask set used for interposer fabrication of multiple products

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10026720B2 (en) 2015-05-20 2018-07-17 Broadpak Corporation Semiconductor structure and a method of making thereof
US8014166B2 (en) * 2008-09-06 2011-09-06 Broadpak Corporation Stacking integrated circuits containing serializer and deserializer blocks using through silicon via
US9818680B2 (en) 2011-07-27 2017-11-14 Broadpak Corporation Scalable semiconductor interposer integration
US9893004B2 (en) 2011-07-27 2018-02-13 Broadpak Corporation Semiconductor interposer integration
US9064715B2 (en) * 2010-12-09 2015-06-23 Taiwan Semiconductor Manufacturing Company, Ltd. Networking packages based on interposers
KR20130025985A (en) * 2011-01-31 2013-03-13 에스케이하이닉스 주식회사 Semiconductor apparatus
TWI595671B (en) * 2012-09-06 2017-08-11 聯華電子股份有限公司 Capacitor fabricating method
US9059161B2 (en) 2012-09-20 2015-06-16 International Business Machines Corporation Composite wiring board with electrical through connections
US9773866B2 (en) 2015-06-18 2017-09-26 Qualcomm Incorporated Semiconductor integrated circuits (ICs) employing localized low dielectric constant (low-K) material in inter-layer dielectric (ILD) material for improved speed performance

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6952301B2 (en) * 1995-06-19 2005-10-04 Reflectivity, Inc Spatial light modulators with light blocking and absorbing areas
US7488680B2 (en) * 2005-08-30 2009-02-10 International Business Machines Corporation Conductive through via process for electronic device carriers
US7538413B2 (en) * 2006-12-28 2009-05-26 Micron Technology, Inc. Semiconductor components having through interconnects
US7750459B2 (en) * 2008-02-01 2010-07-06 International Business Machines Corporation Integrated module for data processing system

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5287247A (en) * 1990-09-21 1994-02-15 Lsi Logic Corporation Computer system module assembly
TW334581B (en) * 1996-06-04 1998-06-21 Handotai Energy Kenkyusho Kk Semiconductor integrated circuit and fabrication method thereof
US5841686A (en) * 1996-11-22 1998-11-24 Ma Laboratories, Inc. Dual-bank memory module with shared capacitors and R-C elements integrated into the module substrate
US6154834A (en) * 1997-05-27 2000-11-28 Intel Corporation Detachable processor module containing external microcode expansion memory
US6388207B1 (en) * 2000-12-29 2002-05-14 Intel Corporation Electronic assembly with trench structures and methods of manufacture
WO2002057921A1 (en) * 2001-01-19 2002-07-25 Hitachi,Ltd Electronic circuit device
JP2004064912A (en) * 2002-07-30 2004-02-26 Hitachi Ltd Control device for automobile
KR101499826B1 (en) * 2002-10-22 2015-03-10 제이슨 에이. 설리반 Robust customizable computing system, processing control unit, and wireless computing network apparatus
US7102380B2 (en) * 2004-07-07 2006-09-05 Kao Richard F C High speed integrated circuit
WO2008040028A2 (en) * 2006-09-28 2008-04-03 Virident Systems, Inc. Systems, methods, and apparatus with programmable memory control for heterogeneous main memory
JP4353976B2 (en) * 2006-12-22 2009-10-28 Necエレクトロニクス株式会社 System in package

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6952301B2 (en) * 1995-06-19 2005-10-04 Reflectivity, Inc Spatial light modulators with light blocking and absorbing areas
US7488680B2 (en) * 2005-08-30 2009-02-10 International Business Machines Corporation Conductive through via process for electronic device carriers
US7538413B2 (en) * 2006-12-28 2009-05-26 Micron Technology, Inc. Semiconductor components having through interconnects
US7750459B2 (en) * 2008-02-01 2010-07-06 International Business Machines Corporation Integrated module for data processing system

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8704364B2 (en) 2012-02-08 2014-04-22 Xilinx, Inc. Reducing stress in multi-die integrated circuit structures
US8704384B2 (en) * 2012-02-17 2014-04-22 Xilinx, Inc. Stacked die assembly
US8957512B2 (en) 2012-06-19 2015-02-17 Xilinx, Inc. Oversized interposer
US8869088B1 (en) 2012-06-27 2014-10-21 Xilinx, Inc. Oversized interposer formed from a multi-pattern region mask
US9026872B2 (en) 2012-08-16 2015-05-05 Xilinx, Inc. Flexible sized die for use in multi-die integrated circuit
CN103424293A (en) * 2013-06-26 2013-12-04 复旦大学 Method for measuring bending modulus and yield stress of TSV copper columns
US9547034B2 (en) 2013-07-03 2017-01-17 Xilinx, Inc. Monolithic integrated circuit die having modular die regions stitched together
US9915869B1 (en) 2014-07-01 2018-03-13 Xilinx, Inc. Single mask set used for interposer fabrication of multiple products
US20160180013A1 (en) * 2014-12-22 2016-06-23 Hyundai Autron Co., Ltd. Method for designing vehicle controller-only semiconductor based on die and vehicle controller-only semiconductor by the same
US20170103974A1 (en) * 2014-12-22 2017-04-13 Hyundai Autron Co., Ltd. Method for designing vehicle controller-only semiconductor based on die and vehicle controller-only semiconductor by the same
US10748887B2 (en) * 2014-12-22 2020-08-18 Hyundai Autron Co., Ltd. Method for designing vehicle controller-only semiconductor based on die and vehicle controller-only semiconductor by the same
US10903199B2 (en) * 2014-12-22 2021-01-26 Hyundai Autron Co., Ltd. Method for designing vehicle controller-only semiconductor based on die and vehicle controller-only semiconductor by the same
GB2550791A (en) * 2015-02-20 2017-11-29 Ibm Supercomputer using wafer scale integration
WO2016132273A1 (en) * 2015-02-20 2016-08-25 International Business Machines Corporation Supercomputer using wafer scale integration
GB2550791B (en) * 2015-02-20 2020-07-29 Ibm Supercomputer using wafer scale integration

Also Published As

Publication number Publication date
US8295056B2 (en) 2012-10-23
US20110019368A1 (en) 2011-01-27

Similar Documents

Publication Publication Date Title
US8295056B2 (en) Silicon carrier structure and method of forming same
US11417628B2 (en) Method for manufacturing semiconductor structure
US8143726B2 (en) Semiconductor device and method of making semiconductor device
US6762076B2 (en) Process of vertically stacking multiple wafers supporting different active integrated circuit (IC) devices
US6887769B2 (en) Dielectric recess for wafer-to-wafer and die-to-die metal bonding and method of fabricating the same
US7473577B2 (en) Integrated chip carrier with compliant interconnect
US8012796B2 (en) Apparatus and methods for constructing semiconductor chip packages with silicon space transformer carriers
US20130154112A1 (en) Method for Forming Isolation Trenches in Micro-Bump Interconnect Structures and Devices Obtained Thereof
US20100019346A1 (en) Ic having flip chip passive element and design structure
US20070093066A1 (en) Stacked wafer or die packaging with enhanced thermal and device performance
Tummala et al. Heterogeneous and homogeneous package integration technologies at device and system levels
US11121070B2 (en) Integrated fan-out package
US20100022063A1 (en) Method of forming on-chip passive element
TW202303890A (en) Deep trench capacitors in an inter-layer medium on an interconnect layer of an integrated circuit die and related methods
US20230130354A1 (en) Three-dimensional semiconductor package having a stacked passive device
US10229901B2 (en) Immersion interconnections for semiconductor devices and methods of manufacture thereof
US20220084936A1 (en) Embedded three-dimensional electrode capacitor
US11610810B2 (en) Maskless air gap enabled by a single damascene process
US20220404474A1 (en) Silicon photonic systems for lidar applications
US11948909B2 (en) Package comprising spacers between integrated devices
EP4156260A1 (en) Topological crack stop (tcs) passivation layer
US20240063071A1 (en) Inorganic material deposition for inter-die fill in multi-chip composite structures
Gutmann et al. Wafer-level three-dimensional ICs for advanced CMOS integration
TW202316618A (en) Package comprising a substrate and a multi-capacitor integrated passive device
WO2022060511A1 (en) Integrated circuit (ic) with reconstituted die interposer for improved connectivity, and related methods of fabrication

Legal Events

Date Code Title Description
AS Assignment

Owner name: GLOBALFOUNDRIES U.S. 2 LLC, NEW YORK

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:INTERNATIONAL BUSINESS MACHINES CORPORATION;REEL/FRAME:036550/0001

Effective date: 20150629

AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:GLOBALFOUNDRIES U.S. 2 LLC;GLOBALFOUNDRIES U.S. INC.;REEL/FRAME:036779/0001

Effective date: 20150910

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. INC., NEW YORK

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WILMINGTON TRUST, NATIONAL ASSOCIATION;REEL/FRAME:056987/0001

Effective date: 20201117