US20120279944A1 - Annealing apparatus - Google Patents

Annealing apparatus Download PDF

Info

Publication number
US20120279944A1
US20120279944A1 US13/551,133 US201213551133A US2012279944A1 US 20120279944 A1 US20120279944 A1 US 20120279944A1 US 201213551133 A US201213551133 A US 201213551133A US 2012279944 A1 US2012279944 A1 US 2012279944A1
Authority
US
United States
Prior art keywords
light
light emitting
power supply
annealing apparatus
emitting devices
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US13/551,133
Inventor
Shigeru Kasai
Hiroyuki Miyashita
Masatake Yoneda
Tomohiro Suzuki
Sumi Tanaka
Masamichi Nomura
Miwa Shimizu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from PCT/JP2007/067053 external-priority patent/WO2008029742A1/en
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to US13/551,133 priority Critical patent/US20120279944A1/en
Publication of US20120279944A1 publication Critical patent/US20120279944A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/186Particular post-treatment for the devices, e.g. annealing, impurity gettering, short-circuit elimination, recrystallisation
    • H01L31/1864Annealing
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E10/00Energy generation through renewable energy sources
    • Y02E10/50Photovoltaic [PV] energy
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P70/00Climate change mitigation technologies in the production process for final industrial or consumer products
    • Y02P70/50Manufacturing or production processes characterised by the final manufactured product

Definitions

  • U.S. application Ser. No. 12/440,034 is a National Stage Entry of PCT/JP2007/067053, filed on Aug. 31, 2007, and claims priority to Japanese Patent Application No. 2006-240420, filed on Sep. 5, 2006; Japanese Patent Application No. 2007-034417, filed on Feb. 15, 2007; and Japanese Patent Application No. 2007-081609, filed on Mar. 27, 2007.
  • the present invention relates to an annealing apparatus for annealing a semiconductor wafer and the like by irradiating thereto lights emitted from light emitting devices such as LEDs or the like.
  • a semiconductor wafer (hereinafter simply referred to as “wafer”) as a substrate to be processed is subjected to film formation, oxidative diffusion, modification and various kinds of heat treatments such as annealing and the like.
  • the annealing performed after ion implantation requires high-speed heating and cooling for the purpose of minimizing diffusion.
  • an annealing apparatus capable of performing the high-speed heating and cooling, there has been proposed an annealing apparatus that employs LEDs (Light-Emitting Diodes) as a heating source (see, e.g., Patent Document 1).
  • the annealing apparatus described above employs a multiplicity of LEDs and therefore has a complicated power supply mechanism.
  • a simple and easy-to-use power supply mechanism there is a need for a simple and easy-to-use power supply mechanism.
  • an object of the present invention to provide an annealing apparatus using light emitting devices such as LEDs or the like as a heating source, which is free from a problem of reduced light energy efficiency resulted by the reduction of light emission amount due to a heat generation and which is capable of maintaining stable performance.
  • Another object of the present invention is to provide an annealing apparatus capable of supplying a power to light emitting devices in a simple and easy manner.
  • an annealing apparatus including: a processing chamber for accommodating an object to be processed; a heating source including a plurality of light emitting devices and provided to face at least one surface of the object to irradiate light on the object; a light-transmitting member arranged in alignment with the heating source to transmit the light emitted from the light emitting devices; a cooling member supporting the light-transmitting member at opposite side to the processing chamber to make direct contact with the heating source and made of a material of high thermal conductivity; a cooling mechanism for cooling the cooling member with a coolant; a gas exhaust mechanism for exhausting an inside of the processing chamber; and a processing gas supply mechanism for supplying a processing gas into the processing chamber.
  • the heating source may have a plurality of light emitting device arrays each including a support body provided with a rear surface making surface-to-surface contact with the cooling member and made of a material of high thermal conductivity, a plurality of electrodes arranged to make surface-to-surface contact with the support body and a plurality of light emitting devices arranged to make surface-to-surface contact with the electrodes.
  • the cooling member is preferably made of copper and the support body is made of AlN.
  • a space may be defined between the cooling member and the light-transmitting member, the heating source being provided in the space.
  • transparent resin may be filled in the space.
  • the transparent resin may includes a relatively hard resin provided in a portion including the light emitting devices at the side of the cooling member and a relatively soft resin provided at the side of the light-transmitting member.
  • an inert gas may be filled in the space.
  • the annealing apparatus may further includes an exhaust mechanism for exhausting the space to vacuum and an inert gas supply mechanism for supplying the insert gas into the space.
  • a space may be defined between the cooling member and the light-transmitting member, wherein a liquid, which has a refractive index falling between refractive indices of the light emitting devices and the light-transmitting member, is filled in the space and wherein the support body is screw-fixed to the cooling member through a heat transfer layer.
  • the support body may include an external frame arranged to make contact with the cooling member, the external frame having an inner reflection surface and a liquid through hole.
  • the heating source includes a plurality of light emitting device arrays.
  • Each of the light emitting device array is formed of a unit having: a support body provided to support a plurality of light emitting devices and made of a material of high thermal conductivity; a thermal diffusion member soldered or brazed to a rear surface of the support body and made of a material of high thermal conductivity; a resin layer provided to cover the light emitting devices supported by the support body and made of transparent resin; and a power supply electrode passing through the thermal diffusion member and the support body to supply a power to the light emitting devices, the light emitting device arrays being screw-fixed to the cooling member via paste of high thermal conductivity.
  • the cooling member and the thermal diffusion member preferably made of copper and the support body is made of AlN.
  • a space may be defined between the resin layer and the light-transmitting member, and the apparatus may further include an exhaust mechanism for exhausting the space to vacuum.
  • the exhaust mechanism may include an exhaust path communicating with the space, a buffer member provided in the exhaust path and a pump for exhausting the space to vacuum via the exhaust path and the buffer member.
  • the cooling member may include a plurality of attachment members to which the light emitting device arrays are attached, each of the attachment members having a frame member as a spacer provided to surround the light emitting device arrays and to make contact with the cooling member.
  • the annealing apparatus may further include a power supply member connected to the power supply electrode via the cooling member for supplying a power to the power supply electrode.
  • an annealing apparatus including: a processing chamber for accommodating an object to be processed; a heating source including a plurality of light emitting devices and provided to face at least one surface of the object to irradiate light on the object; a light-transmitting member arranged in alignment with the heating source to transmit the light emitted from the light emitting devices; a support member for supporting the heating source; and a power supply mechanism provided at a rear surface side of the support member for supplying a power to the light emitting devices via the support member.
  • the apparatus further includes a gas exhaust mechanism for exhausting an inside of the processing chamber and a processing gas supply mechanism for supplying a processing gas into the processing chamber.
  • the heating source may include a plurality of light emitting device arrays each having: a support body provided at the support member; a plurality of electrodes formed on the support body; a plurality of light emitting devices formed on the electrodes; and a power supply electrode for supplying a power to the light emitting devices
  • the power supply mechanism has a plurality of electrode rods connected to the power supply electrode of each of the light emitting device arrays and extending through the support member and a plurality of power supply members through which to supply a power to the electrode rods.
  • the electrode rods and the power supply members may be brought into contact with each other by spring-biased pins.
  • the light emitting devices are preferably divided to be arranged in each of power supply areas, wherein the power supply area includes a plurality of the power supply electrodes in a corresponding relationship therewith, and wherein the power supply electrodes are arranged along a straight line.
  • the power supply electrodes may include a plurality of negative electrodes and a common positive electrode.
  • the light emitting devices may be provided in the power supply areas in a form of a parallel connection of a plurality of sets of serially connected light emitting devices.
  • the light emitting devices may be light-emitting diodes.
  • the cooling member made of a material of high thermal conductivity is provided to make direct contact with the heating source and is cooled with a coolant in the cooling mechanism. This makes it possible to effectively cool the light emitting devices by using the cooling member having a heat capacity greater than that of the light emitting devices.
  • the annealing apparatus is free from the problem of reduced light energy efficiency attributable to the reduction in light emission amount caused by the influence of heat and is capable of maintaining stable performance.
  • the applicant of the prevent invention has filed Japanese Patent Application No. 2006-184457 disclosing a technique for solving the problem of reduced light energy efficiency by directly cooling the LEDs as light emitting devices with a coolant.
  • LEDs are cooled with high efficiency by bringing a liquid coolant into direct contact with the LEDs.
  • the cooling efficiency may be insufficient without circulating a low-temperature coolant across the light-emitting surfaces at all times. This makes it necessary to circulate a large quantity of coolant.
  • the cooling member made of a material of high thermal conductivity such as copper or the like are cooled by a coolant so that cold heat can be accumulated in the cooling member.
  • the light emitting devices are cooled with the accumulated cold heat. Therefore, the light emitting devices can be sufficiently cooled by the accumulated cold energy without having to circulate a large quantity of coolant during the annealing operation. In addition, there is no need to bring the coolant into contact with the light-emitting surfaces of the light emitting devices, thus excluding the bubble generation problem.
  • a power is supplied to the light emitting devices via the support member at the rear surface side of the latter. This makes it possible to supply the power to a multiplicity of light emitting devices in an easy and simple manner.
  • FIG. 1 is a view showing a schematic configuration of an annealing apparatus in accordance with an embodiment of the present invention.
  • FIG. 2 is an enlarged cross sectional view illustrating a heating source of the annealing apparatus shown in FIG. 1 .
  • FIG. 3 is an enlarged cross sectional view illustrating the portion through which to supply a power to LEDs of the annealing apparatus shown in FIG. 1 .
  • FIGS. 4A and 4B are views showing a control board of the annealing apparatus shown in FIG. 1 .
  • FIG. 5 is a view showing the arrangement of LEDs in an LED array of the annealing apparatus shown in FIG. 1 and illustrating a power supplying method.
  • FIG. 6 is a view showing a connection form of LEDs.
  • FIG. 7 is a bottom view illustrating the heating source of the annealing apparatus shown in FIG. 1 .
  • FIG. 8 is a view showing major parts of one modified example of the annealing apparatus shown in FIG. 1 .
  • FIG. 9 is a view showing major parts of another modified example of the annealing apparatus shown in FIG. 1 .
  • FIG. 10 is a view showing major parts of still another modified example of the annealing apparatus shown in FIG. 1 .
  • FIG. 11 is a view showing major parts of a still another modified example of the annealing apparatus shown in FIG. 1 .
  • FIG. 12 is a view showing major parts of a still another modified example of the annealing apparatus shown in FIG. 1 .
  • FIG. 13 is a view illustrating an exhaust mechanism for exhausting the space to vacuum, the space being defined between a cooling member and a light-transmitting member of the annealing apparatus shown in FIG. 12 after the LED array is mounted in place.
  • FIGS. 14A to 14I are views illustrating the steps of assembling the LED array and the cooling member together and the steps of mounting the LED array in place.
  • FIG. 1 is a sectional view showing a schematic configuration of an annealing apparatus in accordance with the embodiment of the present invention.
  • FIG. 2 is an enlarged sectional view illustrating a heating source of the annealing apparatus shown in FIG. 1 .
  • FIG. 3 is an enlarged sectional view illustrating the portion through which to supply a power to LEDs of the annealing apparatus shown in FIG. 1 .
  • the annealing apparatus 100 includes an airtightly sealed processing chamber 1 into which a wafer W is loaded.
  • the processing chamber 1 has a cylindrical annealing portion la in which the wafer W is positioned and a gas diffusing portion 1 b formed in a doughnut shape outside the annealing portion 1 a.
  • the gas diffusing portion 1 b is greater in height than the annealing portion la so that the processing chamber 1 has a H-shaped cross section as a whole.
  • the gas diffusing portion 1 b of the processing chamber 1 is defined by a chamber 2 . Circular holes 3 a and 3 b are formed in the top wall 2 a and the bottom wall 2 b of the chamber 2 in alignment with the annealing portion 1 a.
  • Cooling members 4 a and 4 b made of a highly conductive material such as copper or the like are fitted into these holes 3 a and 3 b, respectively.
  • the cooling members 4 a and 4 b are provided with flange portions 5 a and 5 b that make contact with the top wall 2 a and the bottom wall 2 b of the chamber 2 via seal members 6 a and 6 b, respectively.
  • the cooling members 4 a and 4 b define the annealing portion 1 a.
  • a support member 7 for horizontally mounting the wafer W within the annealing portion la is provided in the processing chamber 1 .
  • the support member 7 can be moved up and down by a lifting mechanism (not shown).
  • a processing gas inlet opening 8 In the top wall 2 a of the chamber 2 , there is formed a processing gas inlet opening 8 through which a predetermined processing gas is introduced from a processing gas supply mechanism (not shown).
  • a processing gas line 9 for supplying the processing gas is connected to the processing gas inlet opening 8 .
  • a gas exhaust port 10 is formed in the bottom wall 2 b of the chamber 2 .
  • a gas exhaust line 11 leading to a gas exhaust unit (not shown) is connected to the gas exhaust port 10 .
  • a loading/unloading port 12 for loading/unloading the wafer W into and out of the chamber 2 .
  • the loading/unloading port 12 can be opened and closed by a gate valve 13 .
  • a temperature sensor 14 for measuring the temperature of the wafer W mounted on the support member 7 is provided in the processing chamber 1 .
  • the temperature sensor 14 is connected to a measurement unit 15 disposed outside the chamber 2 .
  • a temperature detection signal is output from the measurement unit 15 to a process controller 60 which will be described later.
  • cooling members 4 a and 4 b On the surfaces of the cooling members 4 a and 4 b facing the wafer W mounted on the support member 7 , there are formed circular recess portions 16 a and 16 b in alignment with the wafer W. Within the recess portions 16 a and 16 b, heating sources 17 a and 17 b including light-emitting diodes (LEDs) are provided to make direct contact with the cooling members 4 a and 4 b.
  • LEDs light-emitting diodes
  • Light-transmitting members 18 a and 18 b through which the lights emitted from the LEDs in the heating sources 17 a and 17 b are transmitted to the wafer W, are screw-fixed to the surfaces of the cooling members 4 a and 4 b facing the wafer W to cover the recess portions 16 a and 16 b.
  • the light-transmitting members 18 a and 18 b are formed with a material that can effectively transmit the lights emitted from the LEDs, e.g., quartz.
  • a transparent resin 20 (see FIGS. 1 and 3 ) is filled in a space defined by the recess portion 16 a and the light-transmitting member 18 a and that defined by the recess portion 16 b and the light-transmitting member 18 b.
  • the transparent resin 20 a silicone resin and an epoxy resin can be used. In the resin filling process, it is desirable to fill the transparent resin 20 while evacuating the spaces so that no bubble should remain in the transparent resin 20 .
  • the transparent resin 20 a hard resin layer disposed at the side of the LEDs and a soft resin layer disposed at the side of the light-transmitting members 18 a and 18 b. That is, in a case where some of the LEDs are replaced with new ones, it becomes difficult to detach the light-transmitting members 18 a and 18 b if the transparent resin 20 is formed of only a hard resin. In contrast, if the transparent resin 20 is formed of only a soft resin, the LEDs are pulled and detached together with the transparent resin 20 while detaching the light-transmitting members 18 a and 18 b, which makes it difficult to reuse the LEDs.
  • Use of the transparent resin 20 having two-layer structure makes it easy to detach the light-transmitting members 18 a and 18 b and makes it possible to protect the LEDs when detaching the light-transmitting members 18 a and 18 b.
  • the cooling members 4 a and 4 b are provided with coolant flow paths 21 a and 21 b through which a liquid phase coolant capable of cooling the cooling members 4 a and 4 b to 0° C. or less, e.g., about ⁇ 50° C., flows.
  • a liquid phase coolant capable of cooling the cooling members 4 a and 4 b to 0° C. or less, e.g., about ⁇ 50° C.
  • a fluorine-based inert liquid a product name of Fluorinert, Galden or the like
  • Coolant supply lines 22 a and 22 b and coolant discharge lines 23 a and 23 b are connected to the coolant flow paths 21 a and 21 b. This makes it possible to circulate a coolant through the coolant flow paths 21 a and 21 b, thereby cooling the cooling members 4 a and 4 b.
  • Cooling water flow lines 25 through which cooling water kept at the room temperature flows, are formed in the top wall 2 a and the bottom wall 2 b of the chamber 2 . Accordingly, the temperature of the chamber 2 is prevented from increasing excessively.
  • each of the heating sources 17 a and 17 b includes a plurality of LED arrays 34 each having a support body 32 made of an insulating material with high thermal conductivity, typically an AlN-based ceramic material, and a multiplicity of LEDs 33 mounted on the support body 32 .
  • the rear surfaces of the LED arrays 34 are brought into surface-to-surface contact with the bottom surface of the cooling member 4 a of the heating source 17 a and with the top surface of the cooling member 4 b of the heating source 17 b by, e.g., soldering.
  • Highly conductive electrodes 35 are provided between the support body 32 and the LEDs of each of the LED arrays 34 in a surface-to-surface contact state. Electrodes 35 and adjacent LEDs 33 are connected by a wire 36 .
  • cold heat is efficiently transferred from the coolant to the cooling members 4 a and 4 b of high thermal conductivity and then reaches the LEDs 33 via the support body 32 and the electrodes 35 that have high thermal conductivity and make surface-to-surface contact (total contact) with each other. Consequently, the LEDs 33 are cooled in a high efficient manner.
  • Control boxes 37 a and 37 b for controlling the supply of electric power to the LEDs 33 are provided above the cooling member 4 a and below the cooling member 4 b, respectively. Wiring lines extending from a power source (not shown) are connected to the control boxes 37 a and 37 b. Thus, the supply of the electric power to the LEDs 33 is controlled by the control boxes 37 a and 37 b.
  • electrode rods 38 (not shown in FIG. 2 ) extending through the cooling members 4 a and 4 b are connected to the electrodes 35 .
  • a plurality of, e.g., eight, electrode rods are provided in each of the LED arrays 34 (only two electrode rods 38 are shown in FIGS. 1 and 3 ).
  • Each of the electrode rods 38 is covered with a protection cover 38 a made of an insulating material.
  • Each of the electrode rods 38 extends from the vicinity of the electrodes 35 to the upper end portion of the cooling member 4 a or the lower end portion of the cooling member 4 b and is screw coupled with an accommodating member 39 .
  • An insulating ring 40 is interposed between the accommodating member 39 and each of the cooling members 4 a and 4 b.
  • the tip end portion of each of the electrode rods 38 is soldered to one of the electrodes 35 .
  • solder is filled in gaps between the protection cover 38 a and each of the cooling members 4 a and 4 b and in gaps between the protection cover 38 a and each of the electrode rods 38 , thereby forming a so-called feed-through.
  • a plurality of control boards 42 is provided in each of the control boxes 37 a and 37 b. As shown in FIGS. 4A and 4B , each of the control boards 42 includes a connecting member 42 a to which a power supply member 41 corresponding to each electrode rod 38 is connected and a power supply connector 43 to which a wiring line extending from a power supply is connected. Each of the power supply members 41 extends downwards to be connected with the accommodating member 39 coupled with each of the electrode rods 38 .
  • Each of the power supply members 41 is covered with a protection cover 44 made of an insulating material.
  • Each of the power supply members 41 is provided at its tip end with a pogo pin (spring pin) 41 a that makes contact with the corresponding accommodating member 39 . This ensures that a power is supplied from the control boxes 37 a and 37 b to the LEDs 33 via the power supply members 41 , the electrode rods 38 and the electrodes 35 of the heating sources 17 a and 17 b. Responsive to the supply of a power, the LEDs 33 emit light to heat the surface of the wafer W, consequently subjecting the wafer W to annealing.
  • the pogo pin 41 a is spring-biased toward the accommodating member 39 . This makes sure that the power supply members 41 and the electrode rods 38 are kept in contact with each other even when the control boards 42 are installed out of alignment. Although three power supply members 41 are shown in FIGS. 4A and 4B , this is for the sake of illustration.
  • Each of the LED arrays 34 has a hexagonal shape as illustrated in FIG. 5 which shows the arrangement of the LEDs 33 in each of the LED arrays 34 and a power supplying method.
  • those are important that a sufficiently high power is supplied to the LEDs 33 and further that a large number of the LEDs 33 is provided while reducing the area loss of a power supplying area.
  • each of the LED arrays 34 is divided into six power supply areas. More specifically, two areas 341 and 342 are defined by bisecting each of the LED arrays 34 of hexagonal shape along a line joining the midpoints of two opposing sides. The area 341 is divided into three power supply areas 341 a, 341 b and 341 c and the area 342 is divided into three power supply areas 342 a, 342 b and 342 c.
  • each of the areas 341 and 342 is divided in the following manner.
  • the power supply area 341 a is a generally triangular area defined by two non-bisected adjoining sides of a hexagon and a rectilinear line joining the distal ends of these adjoining sides.
  • the power supply areas 341 b and the 341 c are the generally rectangular areas formed by bisecting the remaining area of the area 341 along a rectilinear line parallel to the bisected opposing sides of the hexagon. This holds true in case of the area 342 .
  • the power supply area 342 a is formed of a generally triangular area and the power supply areas 342 b and 342 c are formed of generally rectangular areas formed by bisecting the remaining area of the area 342 .
  • three negative electrodes 51 a, 51 b and 51 c and a single common positive electrode 52 are disposed along a straight line in the area 341 .
  • three negative electrodes 53 a, 53 b and 53 c and a single common positive electrode 54 are arranged along a straight line in the area 342 .
  • the reason for arranging these electrodes along a straight line is that there is a need to provide the electrode rods 38 in a region between the coolant flow paths 21 a and 21 b of the cooling members 4 a and 4 b.
  • the common positive electrode 52 supplies a power to the power supply areas 341 a, 341 b and 342 c therethrough, whereas the common positive electrode 54 supplies a power to the power supply areas 342 a, 342 b and 341 c therethrough.
  • each of the power supply areas Four hundreds of LEDs 33 are arranged in each of the power supply areas.
  • the LEDs 33 of each of the power supply areas are of a parallel connection of two sets of serially connected LEDs. This makes it possible to reduce the variation between the LEDs and the variation in voltage.
  • the LED arrays 34 having the structure set forth above are provided as illustrated in FIG. 7 , for example. 2000/5000 LEDs 33 (2400 LEDs 33 in the afore-mentioned example) are mounted in each of the LED arrays 34 .
  • the LEDs 33 used herein may emit light whose wavelength is in a range between an ultraviolet ray wavelength and an infrared ray wavelength, preferably in a range of from 0.36 to 1.0 ⁇ m. Examples of such LEDs 33 include compound semiconductors based on GaN, GaAs or the like.
  • the cooling members 4 a and 4 b are cooled, the regions in which the power supply members 41 are provided are maintained at a low temperature by a cold heat of the cooling members 4 a and 4 b. Therefore, the power supply members 41 can suffer from electric trouble due to dew condensation if an air of high humidity exists near the power supply members 41 . For that reason, a dry gas is introduced into the space between the control boxes 37 a and 37 b and the cooling members 4 a and 4 b through gas lines 45 a and 45 b (see FIG. 1 ).
  • the respective component parts of the annealing apparatus 100 are connected to and controlled by a process controller 60 provided with a microprocessor (i.e., a computer).
  • a microprocessor i.e., a computer
  • the power control of the control boxes 37 a and 37 b, the drive system control and the gas supply control are performed by the process controller 60 .
  • a user interface 61 is connected to the process controller 60 , wherein the user interface 61 includes a key board for a process manager to input commands to operate the annealing apparatus 100 and a display for showing an operational status of the annealing apparatus 100 .
  • a storage unit 62 is connected to the process controller 60 .
  • the storage unit 62 stores a control program for enabling the process controller 60 to control various kinds of processing performed in the annealing apparatus 100 and a program, i.e., recipes to be used in operating the respective component parts of the annealing apparatus 100 to carry out processes in accordance with processing conditions.
  • the recipes can be stored in a hard disk or a semiconductor memory, or can be set at a certain position of the storage unit 62 while being recorded on a portable storage medium such as a CDROM, a DVD or the like.
  • the recipes may be suitably transmitted from other devices to the annealing apparatus 100 through, e.g., a dedicated communication line. If necessary, arbitrary one of the recipes is retrieved from the storage unit 62 under the instructions inputted through the user interface 61 to be executed by the process controller 60 . Thus the annealing apparatus 100 performs desired processing under the control of the process controller 60 .
  • the gate valve 13 is opened. Then, the wafer W is loaded into the processing chamber 1 through the loading/unloading port 12 to be mouned on the support member 7 . Then the gate valve 13 is closed to keep the processing chamber 1 in an airtightly sealed state. While a specific processing gas, e.g., an argon gas or a nitrogen gas, is introduced from a processing gas supply unit (not shown) into the processing chamber 1 via a processing gas line 9 and a processing gas inlet opening 8 , the processing chamber 1 is evacuated through the gas exhaust port 11 by a gas exhaust unit (not shown). Accordingly, the pressure of processing chamber 1 is maintained at a predetermined value in a range of, e.g., from 100 to 10000 Pa.
  • a specific processing gas e.g., an argon gas or a nitrogen gas
  • a liquid phase coolant e.g., a fluorine-based inert liquid (a product name of Fluorinert, Galden or the like) circulates in the coolant flow paths 21 a and 21 b, thereby cooling the LEDs 33 to a specific temperature of 0° C. or less, preferably ⁇ 50° C. or less.
  • a fluorine-based inert liquid a product name of Fluorinert, Galden or the like
  • the LEDs 33 are turned on by supplying a power from a power supply (not shown) to the LEDs 33 via the control boxes 37 a and 37 b, the power supply members 41 , the electrode rods 38 and the electrodes 35 .
  • the LEDs 33 are maintained at a room temperature, the light emission amount of the LEDs 33 is reduced by a self-generated heat. In the present embodiment, however, a coolant is allowed to flow through the cooling members 4 a and 4 b so that the LEDs 33 can be cooled with a cold heat transferred via the cooling members 4 a and 4 b, the support bodies 32 and the electrodes 35 as shown in FIG. 2 . This makes it possible to cool the LEDs 33 with increased efficiency.
  • LEDs are cooled with increased efficiency by bringing a liquid coolant into direct contact with the LEDs.
  • a liquid coolant In order to efficiently cool the LEDs, however, there is a need to bring the coolant into contact with the light-emitting surfaces of the LEDs. This may generate bubbles on the light-emitting surfaces, thereby reducing the light-irradiating efficiency.
  • the cooling efficiency may be reduced unless circulating a low-temperature coolant across the light-emitting surfaces at all times. Therefore, a large quantity of coolant needs to be circulated.
  • the cooling members 4 a and 4 b made of a material of high thermal conductivity such as copper or the like are cooled by a coolant so that cold heat can be accumulated in the cooling members 4 a and 4 b to cool the LEDs 33 by the accumulated cold heat.
  • the cooling members 4 a and 4 b have a heat capacity far greater than that of the LEDs 33 .
  • the LEDs 33 are cooled by supplying the cold heat of the cooling members 4 a and 4 b thereto through the electrodes 35 and the support bodies 32 , both of which exhibit high thermal conductivity and make surface-to-surface contact with each other.
  • the LEDs 33 can be sufficiently cooled by the accumulated cold heat without having to circulate a large quantity of coolant during the annealing operation. In addition, there is no need to bring the coolant into contact with the light-emitting surfaces of the LEDs 33 , thus preventing the bubble generation.
  • the annealing time is approximately one second per sheet of wafer and the wafer replacing time is about thirty seconds. Thus it is possible to design the annealing apparatus 100 so that the cooling members 4 a and 4 b can be cooled for the wafer replacing time, i.e., thirty seconds, and the LEDs 33 can be maintained at 100° C. or less during the annealing operation.
  • the differential pressure between a processing chamber kept in a vacuum and an LED accommodating space kept at an atmospheric pressure is withstood by a light-transmitting member made of quartz or the like. Therefore, there is a need to increase the thickness of the light-transmitting member.
  • the differential pressure between the processing chamber 1 and the atmosphere is withstood by the metal-made cooling members 4 a and 4 b, which makes it possible to reduce the thickness of the light-transmitting members 18 a and 18 b. This restrains accumulation of heat in the light-transmitting members 18 a and 18 b, thereby making it possible to fully assure thermal insulation between the portions cooled by the cooling members 4 a and 4 b and the heating portions in the processing chamber 1 .
  • clamp screws of the light-transmitting members 18 a and 18 b may preferably be made of a resin or a ceramic of low thermal conductivity. Reduction in thickness of the light-transmitting members 18 a and 18 b ensures that heat is efficiently radiated from the wafer W toward the cooling members 4 a and 4 b, thereby improving cooling characteristics of the wafer.
  • AlN as the support bodies 32 of the LED arrays allows the support bodies 32 not only to reflect the light emitted from the LEDs 33 but also to absorb the radiant heat from the wafer W heated to about 1000° C. This also improves the heating and cooling characteristics.
  • a power is supplied to the LEDs 33 of the LED arrays 34 via the power supply members 41 and the electrode rods 38 at the rear surface side of the cooling members 4 a and 4 b, it is possible to supply a power to a multiplicity of LEDs 33 in a relatively easy and simple manner. Further, since the pogo pins 41 a is used in bringing the power supply members 41 and the accommodating members 39 into contact with each other, it is possible to simply and reliably provide the contact between the power supply members 41 and the electrode rods 38 with the biasing force of a spring even when the control boards 42 are installed out of alignment.
  • the spaces between the cooling members 4 a and 4 b and the light-transmitting members 18 a and 18 b are filled with an argon gas 46 in place of a resin.
  • an argon gas 46 in place of a resin.
  • a small amount of ambient air may possibly be introduced through the feed-through. Therefore, it is preferable that the LED arrays 34 are subjected to dampproof coating.
  • a vacuum pump 48 for evacuating the spaces between the cooling members 4 a and 4 b and the light-transmitting members 18 a and 18 b and a gas introduction mechanism 49 for introducing an argon gas or the like into the spaces. Therefore, the spaces are kept in a vacuum atmosphere.
  • transparent electrodes 50 made of ITO (Indium Tin Oxide), IZO (Indium Zinc Oxide) or the like are formed on the light-emitting surfaces of the LEDs 33 and are bonded to the light-transmitting members 18 a and 18 b, instead of interconnecting the electrodes 35 and the LEDs 33 with the wire 36 .
  • ITO Indium Tin Oxide
  • IZO Indium Zinc Oxide
  • Boiling heat transfer may occur by controlling the coolant temperature during the cooling operation depending on the boiling point of the coolant.
  • the boiling heat transfer allows the coolant to have a temperature higher than the boiling point thereof. Therefore, the cooling operation can be performed by the evaporative latent heat as well as the cold heat of the coolant, which makes it possible to realize a highly efficient cooling operation.
  • a modified example illustrated in FIG. 11 is made by taking into account the efficient release of light and the ease of maintenance and repair.
  • the transition from the refractive index of a solid light-emitting material to the refractive index of a light-irradiated space occurs gradually. This is the reason why the resin such as silicone or the like is filled around the LEDs 33 and the light-transmitting members 18 a and 18 b are made of quartz in the embodiment shown in FIG. 1 .
  • the ease of maintenance and repair becomes deteriorated due to the possibility that, when detaching the light-transmitting members 18 a and 18 b for maintenance purposes, the gel-like resin is peeled off together with the light-transmitting members 18 a and 18 b, eventually destroying the normal LEDs as well as the defective ones.
  • the annealing apparatus As shown in FIG. 1 , there is a need to use several hundreds of thousands of LEDs. Since it is impossible for all of the LEDs to normally operate for a long period of time, it is preferable that the annealing apparatus is designed to replace the LEDs on a unit-by-unit basis.
  • a liquid 71 e.g., such as Fluorinert, Galden or Novec is deaerated in advance and then filled in the space where the LEDs exist.
  • the liquid 71 is a liquid in which a gas is insoluble or hardly solved.
  • the liquid 71 has a refractive index, which is equivalent to the midpoint value between the refractive indices of the LEDs and the quartz forming the light-transmitting members, and a low vapor pressure. Since the liquid 71 is deaerated and used merely as a filler with no cooling function, it seldom generates bubbles which may reduce the light irradiation efficiency.
  • a thermally conductive layer 72 with high thermal conductivity such as a silver paste layer or a silicon grease layer is formed on the rear surfaces of the LED arrays 34 .
  • the LED arrays 34 are mounted on the cooling members 4 a and 4 b by screws 73 .
  • the LED arrays 34 can be easily detached by detaching the light-transmitting members 18 a and 18 b, draining the liquid 71 and loosening the screws 73 .
  • the screws 73 may be used independently, but it is preferable that the screws 73 are used in combination with washers or leaf springs made of a material of high Young's modulus, e.g., Si 3 N 4 .
  • each of the LED arrays 34 is provided with an external frame 74 leading to each of the light-transmitting members 18 a and 18 b.
  • the external frame serves as a reflector plate and a support member for supporting each of the light-transmitting members 18 a and 18 b. This makes it possible to further increase the light irradiation efficiency and to further reduce the thickness of the light-transmitting members 18 a and 18 b.
  • the external frame 74 has liquid through holes 75 through which the liquid 71 is dispersed over the space where the LEDs 33 exists. The operation of filling the liquid 71 is performed by a suitable method after the light-transmitting members 18 a and 18 b are mounted in place.
  • FIG. 12 illustrates a modified example by which the ease of maintenance and repair, particularly the ease of replacement of the LEDs, is increased without reducing the cooling efficiency.
  • a heating source 17 a or 17 b includes a plurality of LED arrays 34 ′, each of which is formed of a unit including: a support body 32 disposed to support a multiplicity of LEDs 33 and made of a material with high thermal conductivity, e.g., AlN; a thermal diffusion member 81 soldered or brazed to the rear surface of the support body 32 and made of a material of high thermal conductivity, e.g., Cu; a resin layer 82 provided to cover the LEDs 33 supported by the support body 32 and made of, e.g., a silicon-based transparent resin (a resin lens or a resin mold); and a power supply electrode 83 for supplying a power to the LEDs 33 , the power supply electrode 83 being inserted into and penetrated through a through-hole 81 a of the thermal diffusion member 81 and a through-hole 32 a of the support body 32 .
  • a support body 32 disposed to support a multiplicity of LEDs 33 and made of a material with
  • the LED arrays 34 ′ are fixed by screws 84 to the cooling member 4 a or 4 b via paste such as silicon grease, silver paste or the like of high thermal conductivity.
  • a seal ring 89 is interposed between the cooling member 4 a or 4 b and the thermal diffusion member 81 .
  • the power supply electrode 83 is provided with ports disposed at a corresponding position at a rear side of the thermal diffusion member 81 . Therefore, each of the power supply members 41 ′ passing through the cooling members 4 a and 4 b (only 4 a shown in FIG. 12 ) is connected to the power supply electrode 83 via each of the ports 85 .
  • Each of the cooling members 4 a and 4 b includes a plurality of attachment portions 86 to which the LED arrays 34 ′ are attached.
  • Each of the attachment portions 86 has a frame member 87 that functions as a spacer making contact with the light-transmitting member 18 a or 18 b.
  • the frame member 87 is attached to surround the attachment region of each of the LED arrays 34 ′.
  • a space 88 kept in vacuum exist between the resin layer 82 of each of the LED arrays 34 ′ attached to the attachment portions 86 and the light-transmitting member 18 a or 18 b.
  • the cooling member 4 a has a gas passage 93 communicating with a space 92 defined by a recess 16 a when the light-transmitting member 18 a is mounted to the cooling member 4 a.
  • a gas exhaust line 94 is connected to the gas passage 93 of the cooling member 4 a.
  • the gas passage 93 and the gas exhaust line 94 form a gas exhaust path.
  • a buffer member 95 having a buffer space greater in diameter than the gas exhaust line 94 is provided during the gas exhaust line 94 .
  • the space 88 is evacuated by a gas exhaust unit 96 via the gas passage 93 , the gas exhaust line 94 and the buffer member 95 to be kept in a vacuum state. This is also applied to the cooling member 4 b.
  • the space 88 is small and therefore would be hardly depressurized by a typical evacuation operation. Provision of the buffer space makes it possible to easily evacuate the space 88 .
  • a gas exhaust hole 91 is formed in the frame member 87 so that all of the spaces 88 can be evacuated via the gas exhaust hole 91 .
  • a resin is filled between the cooling members 4 a and 4 b and the light-transmitting members 18 a and 18 b. Due to the increase in the filling quantity of the resin, a difficulty may be encountered in filling the resin and the efficiency of the LEDs may be reduced due to bubbles or the like.
  • the resin layer 82 is formed in a small thickness to merely cover the LEDs 33 . The remaining space is evacuated as mentioned above. This makes it possible to avoid the drawbacks inherent in the embodiment shown in FIG. 1 .
  • the support body 32 having a hexagonal shape is cut from an AlN-made plate material and the through-holes 32 a through which the power supply electrodes and the screws are inserted are formed in the support body 32 (see FIG. 14A ).
  • the front surface of the copper-made thermal diffusion member 81 having the same shape as the support body 32 and having the through-holes 81 a formed in alignment with the through-holes 32 a is bonded to the rear surface of the support body 32 by the soldering that makes use of solder paste (see FIG. 14B ).
  • the power supply electrodes 83 are inserted into the through-holes 32 a and 81 a to pass through the support body 32 and the thermal diffusion member 81 and are soldered to the support body 32 (see FIG. 14C ).
  • solder paste is put on the front surface of the support body 32 and the LEDs 33 are placed on the solder paste.
  • the support body 32 and the LEDs 33 are heat-treated and soldered in a batch furnace (see FIG. 14D ).
  • a bonding operation is performed by using the wire 36 (see FIG. 14E ).
  • the LEDs 33 are covered with a transparent resin (a resin lens or a resin mold) to thereby form the resin layer 82 .
  • An epoxy-based resin is filled in the space between the power supply electrodes 83 and the through-holes 81 a to provide a hermetic seal, thereby producing the LED array 34 ′ (see FIG. 14F ).
  • the cooling member 4 a or 4 b is fabricated (see FIG. 14G ).
  • the LED array 34 ′ is mounted to the cooling member 4 a or 4 b (see FIG. 14H ).
  • the power supply members 41 ′ are connected to the power supply electrodes 83 and the LED array 34 ′ is fixed to the cooling member 4 a or 4 b by screws 84 (see FIG. 14I ).
  • the operation of mounting the LED array 34 ′ is completed through the steps described above. Subsequently, the light-transmitting member 18 a or 18 b is mounted in place to establish the state shown in FIG. 12 .
  • the LED array 34 ′ is formed into a unit and attached to the cooling member 4 a or 4 b by the screws 84 in the annealing apparatus shown in FIG. 12 . Therefore, the LED array 34 ′ can be attached and detached with ease. Since the LED array 34 ′ can be easily replaced on a unit-by-unit basis when there is a need to replace the LEDs 33 , it is possible to greatly increase the ease of maintenance and repair. Further, the AlN-made support body and the copper-made thermal diffusion member 81 are brought into surface-to-surface contact with each other by soldering (with cream solder).
  • the thermal diffusion member 81 and the cooling member 4 a or 4 b are also brought into surface-to-surface contact with each other by using paste such as silicon grease, silver paste or the like of high thermal conductivity. Therefore, the LEDs 33 can be cooled with a reduced thermal resistance.
  • use of the resin layer 82 provides a structure in which the LEDs 33 , the resin layer 82 and the space 88 have gradually decreasing refractive indices.
  • the total reflection of light which can arise from the big difference between the refractive indices is hard to occur, thereby preventing the reduction in light irradiation efficiency.
  • the present invention is not limited to the embodiment and the modified examples described above but may be changed or modified in many different forms.
  • the afore-mentioned embodiment is directed to an example in which the heating sources with LEDs are provided at the opposite sides of the wafer as an object to be processed, it may be possible to provide a single heating source at only one side of the wafer.
  • LEDs are used as the light emitting devices in the afore-mentioned embodiment, it may be possible to use other light emitting devices such as a semiconductor laser and the like.
  • the object to be processed is not limited to the semiconductor wafer but may be other objects such as a glass substrate for flat panel displays and the like.
  • the present invention can be appropriately used to perform rapid heating, e.g., in annealing a semiconductor wafer after impurities are implanted into the same.

Abstract

Provided is an annealing apparatus, which is free from a problem of reduced light energy efficiency resulted by the reduction of light emission amount due to a heat generation and capable of maintaining stable performance. The apparatus includes: a processing chamber 1 for accommodating a wafer W; heating sources 17 a and 17 b including LEDs 33 and facing the surface of the wafer W to irradiate light on the wafer W; light-transmitting members 18 a and 18 b arranged in alignment with the heating sources 17 a and 17 b to transmit the light emitted from the LEDs 33; cooling members 4 a and 4 b supporting the light-transmitting members 18 a and 18 b at opposite side to the processing chamber 1 to make direct contact with the heating sources 17 a and 17 b and made of a material of high thermal conductivity; and a cooling mechanism for cooling the cooling members 4 a and 4 b with a coolant.

Description

    CROSS-REFERENCE(S) TO RELATED APPLICATIONS
  • This application is a divisional of U.S. application Ser. No. 12/440,034, filed on Mar. 5, 2009, the entire content of which is incorporated herein by reference. U.S. application Ser. No. 12/440,034 is a National Stage Entry of PCT/JP2007/067053, filed on Aug. 31, 2007, and claims priority to Japanese Patent Application No. 2006-240420, filed on Sep. 5, 2006; Japanese Patent Application No. 2007-034417, filed on Feb. 15, 2007; and Japanese Patent Application No. 2007-081609, filed on Mar. 27, 2007.
  • FIELD OF THE INVENTION
  • The present invention relates to an annealing apparatus for annealing a semiconductor wafer and the like by irradiating thereto lights emitted from light emitting devices such as LEDs or the like.
  • BACKGROUND OF THE INVENTION
  • In a manufacturing process of a semiconductor device, a semiconductor wafer (hereinafter simply referred to as “wafer”) as a substrate to be processed is subjected to film formation, oxidative diffusion, modification and various kinds of heat treatments such as annealing and the like. To meet high-speed and high-integration requirements in the semiconductor devices, the annealing performed after ion implantation requires high-speed heating and cooling for the purpose of minimizing diffusion. As an annealing apparatus capable of performing the high-speed heating and cooling, there has been proposed an annealing apparatus that employs LEDs (Light-Emitting Diodes) as a heating source (see, e.g., Patent Document 1).
  • In case the LEDs are used as a heating source of the annealing apparatus, there is a need to generate a great light energy in keeping with the rapid heating. This makes it necessary to mount the LEDs in high density.
  • However, it is known that heat (heat generation) reduces the light emission amount of the LEDs. Therefore, if the influence of the heat generated in the LEDs (i.e., the influence of the input energy not converted to light) grows heavier by the high-density mounting of the LEDs, it is difficult to obtain an enough light emission amount from the LEDs. Thus far, no way is known to effectively cool the LEDs and to allow them to make a stable performance.
  • In addition, the annealing apparatus described above employs a multiplicity of LEDs and therefore has a complicated power supply mechanism. Thus, there is a need for a simple and easy-to-use power supply mechanism.
  • SUMMARY OF THE INVENTION
  • In view of the above, it is an object of the present invention to provide an annealing apparatus using light emitting devices such as LEDs or the like as a heating source, which is free from a problem of reduced light energy efficiency resulted by the reduction of light emission amount due to a heat generation and which is capable of maintaining stable performance.
  • Another object of the present invention is to provide an annealing apparatus capable of supplying a power to light emitting devices in a simple and easy manner.
  • In accordance with a first aspect of the present invention, there is provided an annealing apparatus including: a processing chamber for accommodating an object to be processed; a heating source including a plurality of light emitting devices and provided to face at least one surface of the object to irradiate light on the object; a light-transmitting member arranged in alignment with the heating source to transmit the light emitted from the light emitting devices; a cooling member supporting the light-transmitting member at opposite side to the processing chamber to make direct contact with the heating source and made of a material of high thermal conductivity; a cooling mechanism for cooling the cooling member with a coolant; a gas exhaust mechanism for exhausting an inside of the processing chamber; and a processing gas supply mechanism for supplying a processing gas into the processing chamber.
  • In accordance with the first aspect, the heating source may have a plurality of light emitting device arrays each including a support body provided with a rear surface making surface-to-surface contact with the cooling member and made of a material of high thermal conductivity, a plurality of electrodes arranged to make surface-to-surface contact with the support body and a plurality of light emitting devices arranged to make surface-to-surface contact with the electrodes. In this case, the cooling member is preferably made of copper and the support body is made of AlN.
  • Further, a space may be defined between the cooling member and the light-transmitting member, the heating source being provided in the space. Furthermore, transparent resin may be filled in the space. The transparent resin may includes a relatively hard resin provided in a portion including the light emitting devices at the side of the cooling member and a relatively soft resin provided at the side of the light-transmitting member.
  • Further, an inert gas may be filled in the space. Besides, the annealing apparatus may further includes an exhaust mechanism for exhausting the space to vacuum and an inert gas supply mechanism for supplying the insert gas into the space.
  • Further, in the configuration having the light emitting device arrays, a space may be defined between the cooling member and the light-transmitting member, wherein a liquid, which has a refractive index falling between refractive indices of the light emitting devices and the light-transmitting member, is filled in the space and wherein the support body is screw-fixed to the cooling member through a heat transfer layer. In this case, the support body may include an external frame arranged to make contact with the cooling member, the external frame having an inner reflection surface and a liquid through hole.
  • Further, in accordance with the first aspect, the heating source includes a plurality of light emitting device arrays. Each of the light emitting device array is formed of a unit having: a support body provided to support a plurality of light emitting devices and made of a material of high thermal conductivity; a thermal diffusion member soldered or brazed to a rear surface of the support body and made of a material of high thermal conductivity; a resin layer provided to cover the light emitting devices supported by the support body and made of transparent resin; and a power supply electrode passing through the thermal diffusion member and the support body to supply a power to the light emitting devices, the light emitting device arrays being screw-fixed to the cooling member via paste of high thermal conductivity. In this case, the cooling member and the thermal diffusion member preferably made of copper and the support body is made of AlN.
  • Further, a space may be defined between the resin layer and the light-transmitting member, and the apparatus may further include an exhaust mechanism for exhausting the space to vacuum. The exhaust mechanism may include an exhaust path communicating with the space, a buffer member provided in the exhaust path and a pump for exhausting the space to vacuum via the exhaust path and the buffer member.
  • The cooling member may include a plurality of attachment members to which the light emitting device arrays are attached, each of the attachment members having a frame member as a spacer provided to surround the light emitting device arrays and to make contact with the cooling member.
  • The annealing apparatus may further include a power supply member connected to the power supply electrode via the cooling member for supplying a power to the power supply electrode.
  • In accordance with a second aspect there is provided an annealing apparatus including: a processing chamber for accommodating an object to be processed; a heating source including a plurality of light emitting devices and provided to face at least one surface of the object to irradiate light on the object; a light-transmitting member arranged in alignment with the heating source to transmit the light emitted from the light emitting devices; a support member for supporting the heating source; and a power supply mechanism provided at a rear surface side of the support member for supplying a power to the light emitting devices via the support member.
  • The apparatus further includes a gas exhaust mechanism for exhausting an inside of the processing chamber and a processing gas supply mechanism for supplying a processing gas into the processing chamber.
  • In accordance with the second aspect, the heating source may include a plurality of light emitting device arrays each having: a support body provided at the support member; a plurality of electrodes formed on the support body; a plurality of light emitting devices formed on the electrodes; and a power supply electrode for supplying a power to the light emitting devices, and wherein the power supply mechanism has a plurality of electrode rods connected to the power supply electrode of each of the light emitting device arrays and extending through the support member and a plurality of power supply members through which to supply a power to the electrode rods. The electrode rods and the power supply members may be brought into contact with each other by spring-biased pins.
  • The light emitting devices are preferably divided to be arranged in each of power supply areas, wherein the power supply area includes a plurality of the power supply electrodes in a corresponding relationship therewith, and wherein the power supply electrodes are arranged along a straight line. In this case, the power supply electrodes may include a plurality of negative electrodes and a common positive electrode. Further, the light emitting devices may be provided in the power supply areas in a form of a parallel connection of a plurality of sets of serially connected light emitting devices.
  • The light emitting devices may be light-emitting diodes.
  • In accordance with the first aspect of the present invention, the cooling member made of a material of high thermal conductivity is provided to make direct contact with the heating source and is cooled with a coolant in the cooling mechanism. This makes it possible to effectively cool the light emitting devices by using the cooling member having a heat capacity greater than that of the light emitting devices. Thus the annealing apparatus is free from the problem of reduced light energy efficiency attributable to the reduction in light emission amount caused by the influence of heat and is capable of maintaining stable performance.
  • The applicant of the prevent invention has filed Japanese Patent Application No. 2006-184457 disclosing a technique for solving the problem of reduced light energy efficiency by directly cooling the LEDs as light emitting devices with a coolant. In the technique, LEDs are cooled with high efficiency by bringing a liquid coolant into direct contact with the LEDs. In order to efficiently cool the LEDs, however, there is a need to bring the coolant into contact with the light-emitting surfaces of the LEDs. This may generate bubbles on the light-emitting surfaces, thereby reducing the light-irradiating efficiency. Further, the cooling efficiency may be insufficient without circulating a low-temperature coolant across the light-emitting surfaces at all times. This makes it necessary to circulate a large quantity of coolant.
  • In accordance with the first aspect of the present invention, the cooling member made of a material of high thermal conductivity such as copper or the like are cooled by a coolant so that cold heat can be accumulated in the cooling member. The light emitting devices are cooled with the accumulated cold heat. Therefore, the light emitting devices can be sufficiently cooled by the accumulated cold energy without having to circulate a large quantity of coolant during the annealing operation. In addition, there is no need to bring the coolant into contact with the light-emitting surfaces of the light emitting devices, thus excluding the bubble generation problem.
  • In accordance with the second aspect of the present invention, a power is supplied to the light emitting devices via the support member at the rear surface side of the latter. This makes it possible to supply the power to a multiplicity of light emitting devices in an easy and simple manner.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a view showing a schematic configuration of an annealing apparatus in accordance with an embodiment of the present invention.
  • FIG. 2 is an enlarged cross sectional view illustrating a heating source of the annealing apparatus shown in FIG. 1.
  • FIG. 3 is an enlarged cross sectional view illustrating the portion through which to supply a power to LEDs of the annealing apparatus shown in FIG. 1.
  • FIGS. 4A and 4B are views showing a control board of the annealing apparatus shown in FIG. 1.
  • FIG. 5 is a view showing the arrangement of LEDs in an LED array of the annealing apparatus shown in FIG. 1 and illustrating a power supplying method.
  • FIG. 6 is a view showing a connection form of LEDs.
  • FIG. 7 is a bottom view illustrating the heating source of the annealing apparatus shown in FIG. 1.
  • FIG. 8 is a view showing major parts of one modified example of the annealing apparatus shown in FIG. 1.
  • FIG. 9 is a view showing major parts of another modified example of the annealing apparatus shown in FIG. 1.
  • FIG. 10 is a view showing major parts of still another modified example of the annealing apparatus shown in FIG. 1.
  • FIG. 11 is a view showing major parts of a still another modified example of the annealing apparatus shown in FIG. 1.
  • FIG. 12 is a view showing major parts of a still another modified example of the annealing apparatus shown in FIG. 1.
  • FIG. 13 is a view illustrating an exhaust mechanism for exhausting the space to vacuum, the space being defined between a cooling member and a light-transmitting member of the annealing apparatus shown in FIG. 12 after the LED array is mounted in place.
  • FIGS. 14A to 14I are views illustrating the steps of assembling the LED array and the cooling member together and the steps of mounting the LED array in place.
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • Hereinafter, embodiments of the invention will be described with reference to the accompanying drawings. An annealing apparatus for annealing a wafer of which surface is implanted with impurities will be described herein by way of example.
  • FIG. 1 is a sectional view showing a schematic configuration of an annealing apparatus in accordance with the embodiment of the present invention. FIG. 2 is an enlarged sectional view illustrating a heating source of the annealing apparatus shown in FIG. 1. FIG. 3 is an enlarged sectional view illustrating the portion through which to supply a power to LEDs of the annealing apparatus shown in FIG. 1. The annealing apparatus 100 includes an airtightly sealed processing chamber 1 into which a wafer W is loaded.
  • The processing chamber 1 has a cylindrical annealing portion la in which the wafer W is positioned and a gas diffusing portion 1 b formed in a doughnut shape outside the annealing portion 1 a. The gas diffusing portion 1 b is greater in height than the annealing portion la so that the processing chamber 1 has a H-shaped cross section as a whole. The gas diffusing portion 1 b of the processing chamber 1 is defined by a chamber 2. Circular holes 3 a and 3 b are formed in the top wall 2 a and the bottom wall 2 b of the chamber 2 in alignment with the annealing portion 1 a. Cooling members 4 a and 4 b made of a highly conductive material such as copper or the like are fitted into these holes 3 a and 3 b, respectively. The cooling members 4 a and 4 b are provided with flange portions 5 a and 5 b that make contact with the top wall 2 a and the bottom wall 2 b of the chamber 2 via seal members 6 a and 6 b, respectively. The cooling members 4 a and 4 b define the annealing portion 1 a.
  • A support member 7 for horizontally mounting the wafer W within the annealing portion la is provided in the processing chamber 1. When replacing the wafer W, the support member 7 can be moved up and down by a lifting mechanism (not shown). In the top wall 2 a of the chamber 2, there is formed a processing gas inlet opening 8 through which a predetermined processing gas is introduced from a processing gas supply mechanism (not shown). A processing gas line 9 for supplying the processing gas is connected to the processing gas inlet opening 8. A gas exhaust port 10 is formed in the bottom wall 2 b of the chamber 2. A gas exhaust line 11 leading to a gas exhaust unit (not shown) is connected to the gas exhaust port 10.
  • In the side wall of the chamber 2, there is formed a loading/unloading port 12 for loading/unloading the wafer W into and out of the chamber 2. The loading/unloading port 12 can be opened and closed by a gate valve 13. A temperature sensor 14 for measuring the temperature of the wafer W mounted on the support member 7 is provided in the processing chamber 1. The temperature sensor 14 is connected to a measurement unit 15 disposed outside the chamber 2. A temperature detection signal is output from the measurement unit 15 to a process controller 60 which will be described later.
  • On the surfaces of the cooling members 4 a and 4 b facing the wafer W mounted on the support member 7, there are formed circular recess portions 16 a and 16 b in alignment with the wafer W. Within the recess portions 16 a and 16 b, heating sources 17 a and 17 b including light-emitting diodes (LEDs) are provided to make direct contact with the cooling members 4 a and 4 b.
  • Light-transmitting members 18 a and 18 b, through which the lights emitted from the LEDs in the heating sources 17 a and 17 b are transmitted to the wafer W, are screw-fixed to the surfaces of the cooling members 4 a and 4 b facing the wafer W to cover the recess portions 16 a and 16 b. The light-transmitting members 18 a and 18 b are formed with a material that can effectively transmit the lights emitted from the LEDs, e.g., quartz. A transparent resin 20 (see FIGS. 1 and 3) is filled in a space defined by the recess portion 16 a and the light-transmitting member 18 a and that defined by the recess portion 16 b and the light-transmitting member 18 b. As the transparent resin 20, a silicone resin and an epoxy resin can be used. In the resin filling process, it is desirable to fill the transparent resin 20 while evacuating the spaces so that no bubble should remain in the transparent resin 20.
  • Considering maintenance and repair, it is desirable to use, as the transparent resin 20, a hard resin layer disposed at the side of the LEDs and a soft resin layer disposed at the side of the light-transmitting members 18 a and 18 b. That is, in a case where some of the LEDs are replaced with new ones, it becomes difficult to detach the light-transmitting members 18 a and 18 b if the transparent resin 20 is formed of only a hard resin. In contrast, if the transparent resin 20 is formed of only a soft resin, the LEDs are pulled and detached together with the transparent resin 20 while detaching the light-transmitting members 18 a and 18 b, which makes it difficult to reuse the LEDs. Use of the transparent resin 20 having two-layer structure makes it easy to detach the light-transmitting members 18 a and 18 b and makes it possible to protect the LEDs when detaching the light-transmitting members 18 a and 18 b.
  • The cooling members 4 a and 4 b are provided with coolant flow paths 21 a and 21 b through which a liquid phase coolant capable of cooling the cooling members 4 a and 4 b to 0° C. or less, e.g., about −50° C., flows. As the coolant a fluorine-based inert liquid (a product name of Fluorinert, Galden or the like) can be used. Coolant supply lines 22 a and 22 b and coolant discharge lines 23 a and 23 b are connected to the coolant flow paths 21 a and 21 b. This makes it possible to circulate a coolant through the coolant flow paths 21 a and 21 b, thereby cooling the cooling members 4 a and 4 b.
  • Cooling water flow lines 25, through which cooling water kept at the room temperature flows, are formed in the top wall 2 a and the bottom wall 2 b of the chamber 2. Accordingly, the temperature of the chamber 2 is prevented from increasing excessively.
  • As illustrated in FIG. 2 on an enlarged scale, each of the heating sources 17 a and 17 b includes a plurality of LED arrays 34 each having a support body 32 made of an insulating material with high thermal conductivity, typically an AlN-based ceramic material, and a multiplicity of LEDs 33 mounted on the support body 32. The rear surfaces of the LED arrays 34 are brought into surface-to-surface contact with the bottom surface of the cooling member 4 a of the heating source 17 a and with the top surface of the cooling member 4 b of the heating source 17 b by, e.g., soldering.
  • Highly conductive electrodes 35, copper plated with gold, are provided between the support body 32 and the LEDs of each of the LED arrays 34 in a surface-to-surface contact state. Electrodes 35 and adjacent LEDs 33 are connected by a wire 36. Thus, cold heat is efficiently transferred from the coolant to the cooling members 4 a and 4 b of high thermal conductivity and then reaches the LEDs 33 via the support body 32 and the electrodes 35 that have high thermal conductivity and make surface-to-surface contact (total contact) with each other. Consequently, the LEDs 33 are cooled in a high efficient manner.
  • Control boxes 37 a and 37 b for controlling the supply of electric power to the LEDs 33 are provided above the cooling member 4 a and below the cooling member 4 b, respectively. Wiring lines extending from a power source (not shown) are connected to the control boxes 37 a and 37 b. Thus, the supply of the electric power to the LEDs 33 is controlled by the control boxes 37 a and 37 b.
  • As illustrated in FIG. 3 on an enlarged scale, electrode rods 38 (not shown in FIG. 2) extending through the cooling members 4 a and 4 b are connected to the electrodes 35. A plurality of, e.g., eight, electrode rods are provided in each of the LED arrays 34 (only two electrode rods 38 are shown in FIGS. 1 and 3). Each of the electrode rods 38 is covered with a protection cover 38 a made of an insulating material. Each of the electrode rods 38 extends from the vicinity of the electrodes 35 to the upper end portion of the cooling member 4 a or the lower end portion of the cooling member 4 b and is screw coupled with an accommodating member 39. An insulating ring 40 is interposed between the accommodating member 39 and each of the cooling members 4 a and 4 b. The tip end portion of each of the electrode rods 38 is soldered to one of the electrodes 35. In this regard, solder is filled in gaps between the protection cover 38 a and each of the cooling members 4 a and 4 b and in gaps between the protection cover 38 a and each of the electrode rods 38, thereby forming a so-called feed-through.
  • A plurality of control boards 42 is provided in each of the control boxes 37 a and 37 b. As shown in FIGS. 4A and 4B, each of the control boards 42 includes a connecting member 42 a to which a power supply member 41 corresponding to each electrode rod 38 is connected and a power supply connector 43 to which a wiring line extending from a power supply is connected. Each of the power supply members 41 extends downwards to be connected with the accommodating member 39 coupled with each of the electrode rods 38.
  • Each of the power supply members 41 is covered with a protection cover 44 made of an insulating material. Each of the power supply members 41 is provided at its tip end with a pogo pin (spring pin) 41 a that makes contact with the corresponding accommodating member 39. This ensures that a power is supplied from the control boxes 37 a and 37 b to the LEDs 33 via the power supply members 41, the electrode rods 38 and the electrodes 35 of the heating sources 17 a and 17 b. Responsive to the supply of a power, the LEDs 33 emit light to heat the surface of the wafer W, consequently subjecting the wafer W to annealing. The pogo pin 41 a is spring-biased toward the accommodating member 39. This makes sure that the power supply members 41 and the electrode rods 38 are kept in contact with each other even when the control boards 42 are installed out of alignment. Although three power supply members 41 are shown in FIGS. 4A and 4B, this is for the sake of illustration.
  • Each of the LED arrays 34 has a hexagonal shape as illustrated in FIG. 5 which shows the arrangement of the LEDs 33 in each of the LED arrays 34 and a power supplying method. In each of the LED arrays 34, those are important that a sufficiently high power is supplied to the LEDs 33 and further that a large number of the LEDs 33 is provided while reducing the area loss of a power supplying area. In order to supply a sufficiently high power, each of the LED arrays 34 is divided into six power supply areas. More specifically, two areas 341 and 342 are defined by bisecting each of the LED arrays 34 of hexagonal shape along a line joining the midpoints of two opposing sides. The area 341 is divided into three power supply areas 341 a, 341 b and 341 c and the area 342 is divided into three power supply areas 342 a, 342 b and 342 c.
  • At this time, each of the areas 341 and 342 is divided in the following manner. Taking the area 341 as an example, the power supply area 341 a is a generally triangular area defined by two non-bisected adjoining sides of a hexagon and a rectilinear line joining the distal ends of these adjoining sides. The power supply areas 341 b and the 341 c are the generally rectangular areas formed by bisecting the remaining area of the area 341 along a rectilinear line parallel to the bisected opposing sides of the hexagon. This holds true in case of the area 342. Specifically, the power supply area 342 a is formed of a generally triangular area and the power supply areas 342 b and 342 c are formed of generally rectangular areas formed by bisecting the remaining area of the area 342.
  • As the electrodes through which a power is supplied to these power supply areas, three negative electrodes 51 a, 51 b and 51 c and a single common positive electrode 52 are disposed along a straight line in the area 341. Similarly, three negative electrodes 53 a, 53 b and 53 c and a single common positive electrode 54 are arranged along a straight line in the area 342. The reason for arranging these electrodes along a straight line is that there is a need to provide the electrode rods 38 in a region between the coolant flow paths 21 a and 21 b of the cooling members 4 a and 4 b.
  • The common positive electrode 52 supplies a power to the power supply areas 341 a, 341 b and 342 c therethrough, whereas the common positive electrode 54 supplies a power to the power supply areas 342 a, 342 b and 341 c therethrough.
  • Four hundreds of LEDs 33 are arranged in each of the power supply areas. As can be seen in FIG. 6, the LEDs 33 of each of the power supply areas are of a parallel connection of two sets of serially connected LEDs. This makes it possible to reduce the variation between the LEDs and the variation in voltage.
  • The LED arrays 34 having the structure set forth above are provided as illustrated in FIG. 7, for example. 2000/5000 LEDs 33 (2400 LEDs 33 in the afore-mentioned example) are mounted in each of the LED arrays 34. The LEDs 33 used herein may emit light whose wavelength is in a range between an ultraviolet ray wavelength and an infrared ray wavelength, preferably in a range of from 0.36 to 1.0 μm. Examples of such LEDs 33 include compound semiconductors based on GaN, GaAs or the like.
  • Since the cooling members 4 a and 4 b are cooled, the regions in which the power supply members 41 are provided are maintained at a low temperature by a cold heat of the cooling members 4 a and 4 b. Therefore, the power supply members 41 can suffer from electric trouble due to dew condensation if an air of high humidity exists near the power supply members 41. For that reason, a dry gas is introduced into the space between the control boxes 37 a and 37 b and the cooling members 4 a and 4 b through gas lines 45 a and 45 b (see FIG. 1).
  • Referring again to FIG. 1, the respective component parts of the annealing apparatus 100 are connected to and controlled by a process controller 60 provided with a microprocessor (i.e., a computer). For example, the power control of the control boxes 37 a and 37 b, the drive system control and the gas supply control are performed by the process controller 60. A user interface 61 is connected to the process controller 60, wherein the user interface 61 includes a key board for a process manager to input commands to operate the annealing apparatus 100 and a display for showing an operational status of the annealing apparatus 100.
  • A storage unit 62 is connected to the process controller 60. The storage unit 62 stores a control program for enabling the process controller 60 to control various kinds of processing performed in the annealing apparatus 100 and a program, i.e., recipes to be used in operating the respective component parts of the annealing apparatus 100 to carry out processes in accordance with processing conditions. The recipes can be stored in a hard disk or a semiconductor memory, or can be set at a certain position of the storage unit 62 while being recorded on a portable storage medium such as a CDROM, a DVD or the like.
  • Alternatively, the recipes may be suitably transmitted from other devices to the annealing apparatus 100 through, e.g., a dedicated communication line. If necessary, arbitrary one of the recipes is retrieved from the storage unit 62 under the instructions inputted through the user interface 61 to be executed by the process controller 60. Thus the annealing apparatus 100 performs desired processing under the control of the process controller 60.
  • Hereinafter, there will be described an annealing operation performed by the annealing apparatus 100. First, the gate valve 13 is opened. Then, the wafer W is loaded into the processing chamber 1 through the loading/unloading port 12 to be mouned on the support member 7. Then the gate valve 13 is closed to keep the processing chamber 1 in an airtightly sealed state. While a specific processing gas, e.g., an argon gas or a nitrogen gas, is introduced from a processing gas supply unit (not shown) into the processing chamber 1 via a processing gas line 9 and a processing gas inlet opening 8, the processing chamber 1 is evacuated through the gas exhaust port 11 by a gas exhaust unit (not shown). Accordingly, the pressure of processing chamber 1 is maintained at a predetermined value in a range of, e.g., from 100 to 10000 Pa.
  • In the cooling members 4 a and 4 b, a liquid phase coolant, e.g., a fluorine-based inert liquid (a product name of Fluorinert, Galden or the like) circulates in the coolant flow paths 21 a and 21 b, thereby cooling the LEDs 33 to a specific temperature of 0° C. or less, preferably −50° C. or less.
  • Then, the LEDs 33 are turned on by supplying a power from a power supply (not shown) to the LEDs 33 via the control boxes 37 a and 37 b, the power supply members 41, the electrode rods 38 and the electrodes 35.
  • If the LEDs 33 are maintained at a room temperature, the light emission amount of the LEDs 33 is reduced by a self-generated heat. In the present embodiment, however, a coolant is allowed to flow through the cooling members 4 a and 4 b so that the LEDs 33 can be cooled with a cold heat transferred via the cooling members 4 a and 4 b, the support bodies 32 and the electrodes 35 as shown in FIG. 2. This makes it possible to cool the LEDs 33 with increased efficiency.
  • In a technique disclosed in Japanese Patent Application No. 2006-184457, LEDs are cooled with increased efficiency by bringing a liquid coolant into direct contact with the LEDs. In order to efficiently cool the LEDs, however, there is a need to bring the coolant into contact with the light-emitting surfaces of the LEDs. This may generate bubbles on the light-emitting surfaces, thereby reducing the light-irradiating efficiency. Furthermore, the cooling efficiency may be reduced unless circulating a low-temperature coolant across the light-emitting surfaces at all times. Therefore, a large quantity of coolant needs to be circulated.
  • Therefore, in the present invention, the cooling members 4 a and 4 b made of a material of high thermal conductivity such as copper or the like are cooled by a coolant so that cold heat can be accumulated in the cooling members 4 a and 4 b to cool the LEDs 33 by the accumulated cold heat. The cooling members 4 a and 4 b have a heat capacity far greater than that of the LEDs 33. The LEDs 33 are cooled by supplying the cold heat of the cooling members 4 a and 4 b thereto through the electrodes 35 and the support bodies 32, both of which exhibit high thermal conductivity and make surface-to-surface contact with each other.
  • Therefore, the LEDs 33 can be sufficiently cooled by the accumulated cold heat without having to circulate a large quantity of coolant during the annealing operation. In addition, there is no need to bring the coolant into contact with the light-emitting surfaces of the LEDs 33, thus preventing the bubble generation. The annealing time is approximately one second per sheet of wafer and the wafer replacing time is about thirty seconds. Thus it is possible to design the annealing apparatus 100 so that the cooling members 4 a and 4 b can be cooled for the wafer replacing time, i.e., thirty seconds, and the LEDs 33 can be maintained at 100° C. or less during the annealing operation.
  • In the conventional LED-type annealing apparatus, the differential pressure between a processing chamber kept in a vacuum and an LED accommodating space kept at an atmospheric pressure is withstood by a light-transmitting member made of quartz or the like. Therefore, there is a need to increase the thickness of the light-transmitting member. In the present embodiment, the differential pressure between the processing chamber 1 and the atmosphere is withstood by the metal-made cooling members 4 a and 4 b, which makes it possible to reduce the thickness of the light-transmitting members 18 a and 18 b. This restrains accumulation of heat in the light-transmitting members 18 a and 18 b, thereby making it possible to fully assure thermal insulation between the portions cooled by the cooling members 4 a and 4 b and the heating portions in the processing chamber 1.
  • With a view to further enhance the thermal insulation, clamp screws of the light-transmitting members 18 a and 18 b may preferably be made of a resin or a ceramic of low thermal conductivity. Reduction in thickness of the light-transmitting members 18 a and 18 b ensures that heat is efficiently radiated from the wafer W toward the cooling members 4 a and 4 b, thereby improving cooling characteristics of the wafer.
  • Use of AlN as the support bodies 32 of the LED arrays allows the support bodies 32 not only to reflect the light emitted from the LEDs 33 but also to absorb the radiant heat from the wafer W heated to about 1000° C. This also improves the heating and cooling characteristics.
  • Since a power is supplied to the LEDs 33 of the LED arrays 34 via the power supply members 41 and the electrode rods 38 at the rear surface side of the cooling members 4 a and 4 b, it is possible to supply a power to a multiplicity of LEDs 33 in a relatively easy and simple manner. Further, since the pogo pins 41 a is used in bringing the power supply members 41 and the accommodating members 39 into contact with each other, it is possible to simply and reliably provide the contact between the power supply members 41 and the electrode rods 38 with the biasing force of a spring even when the control boards 42 are installed out of alignment.
  • Next, description will be made on certain modified examples of the annealing apparatus of in accordance with the above-described embodiment.
  • In a modified example shown in FIG. 8, the spaces between the cooling members 4 a and 4 b and the light-transmitting members 18 a and 18 b are filled with an argon gas 46 in place of a resin. In this case, a small amount of ambient air may possibly be introduced through the feed-through. Therefore, it is preferable that the LED arrays 34 are subjected to dampproof coating.
  • In a modified example shown in FIG. 9, there are provided a vacuum pump 48 for evacuating the spaces between the cooling members 4 a and 4 b and the light-transmitting members 18 a and 18 b and a gas introduction mechanism 49 for introducing an argon gas or the like into the spaces. Therefore, the spaces are kept in a vacuum atmosphere.
  • In a modified example shown in FIG. 10, transparent electrodes 50 made of ITO (Indium Tin Oxide), IZO (Indium Zinc Oxide) or the like are formed on the light-emitting surfaces of the LEDs 33 and are bonded to the light-transmitting members 18 a and 18 b, instead of interconnecting the electrodes 35 and the LEDs 33 with the wire 36.
  • Boiling heat transfer may occur by controlling the coolant temperature during the cooling operation depending on the boiling point of the coolant. The boiling heat transfer allows the coolant to have a temperature higher than the boiling point thereof. Therefore, the cooling operation can be performed by the evaporative latent heat as well as the cold heat of the coolant, which makes it possible to realize a highly efficient cooling operation.
  • A modified example illustrated in FIG. 11 is made by taking into account the efficient release of light and the ease of maintenance and repair.
  • In order to efficiently release the light, it is preferable that the transition from the refractive index of a solid light-emitting material to the refractive index of a light-irradiated space occurs gradually. This is the reason why the resin such as silicone or the like is filled around the LEDs 33 and the light-transmitting members 18 a and 18 b are made of quartz in the embodiment shown in FIG. 1.
  • In this case, however, the ease of maintenance and repair becomes deteriorated due to the possibility that, when detaching the light-transmitting members 18 a and 18 b for maintenance purposes, the gel-like resin is peeled off together with the light-transmitting members 18 a and 18 b, eventually destroying the normal LEDs as well as the defective ones. For realization of the annealing apparatus as shown in FIG. 1, there is a need to use several hundreds of thousands of LEDs. Since it is impossible for all of the LEDs to normally operate for a long period of time, it is preferable that the annealing apparatus is designed to replace the LEDs on a unit-by-unit basis.
  • If only the ease of maintenance and repair is taken into account, it would be desirable to fill the gas as in the modified example illustrated in FIG. 8. However, this is undesirable in that the transition of the refractive indices does not occur gradually, which leads to reduction in the light efficiency.
  • In a modified example illustrated in FIG. 11, therefore, a liquid 71, e.g., such as Fluorinert, Galden or Novec is deaerated in advance and then filled in the space where the LEDs exist. The liquid 71 is a liquid in which a gas is insoluble or hardly solved. The liquid 71 has a refractive index, which is equivalent to the midpoint value between the refractive indices of the LEDs and the quartz forming the light-transmitting members, and a low vapor pressure. Since the liquid 71 is deaerated and used merely as a filler with no cooling function, it seldom generates bubbles which may reduce the light irradiation efficiency.
  • In this modified example, a thermally conductive layer 72 with high thermal conductivity such as a silver paste layer or a silicon grease layer is formed on the rear surfaces of the LED arrays 34. The LED arrays 34 are mounted on the cooling members 4 a and 4 b by screws 73. When performing maintenance and repair or replacement of the LEDs, the LED arrays 34 can be easily detached by detaching the light-transmitting members 18 a and 18 b, draining the liquid 71 and loosening the screws 73. In this case, the screws 73 may be used independently, but it is preferable that the screws 73 are used in combination with washers or leaf springs made of a material of high Young's modulus, e.g., Si3N4.
  • In this modified example, each of the LED arrays 34 is provided with an external frame 74 leading to each of the light-transmitting members 18 a and 18 b. The external frame serves as a reflector plate and a support member for supporting each of the light-transmitting members 18 a and 18 b. This makes it possible to further increase the light irradiation efficiency and to further reduce the thickness of the light-transmitting members 18 a and 18 b. The external frame 74 has liquid through holes 75 through which the liquid 71 is dispersed over the space where the LEDs 33 exists. The operation of filling the liquid 71 is performed by a suitable method after the light-transmitting members 18 a and 18 b are mounted in place.
  • FIG. 12 illustrates a modified example by which the ease of maintenance and repair, particularly the ease of replacement of the LEDs, is increased without reducing the cooling efficiency.
  • It is important to cool the LEDs 33 when they emit light with high power. For that reason, there is a need to strongly bond the LEDs 33 to the cooling surfaces by soldering or other bonding methods. In case of an apparatus that performs rapid heating of a wafer by using LEDs, the repair and replacement of LEDs is very important and therefore the ease of replacement needs to be higher than that offered by the configuration illustrated in FIG. 11.
  • In a modified example illustrated in FIG. 12, a heating source 17 a or 17 b includes a plurality of LED arrays 34′, each of which is formed of a unit including: a support body 32 disposed to support a multiplicity of LEDs 33 and made of a material with high thermal conductivity, e.g., AlN; a thermal diffusion member 81 soldered or brazed to the rear surface of the support body 32 and made of a material of high thermal conductivity, e.g., Cu; a resin layer 82 provided to cover the LEDs 33 supported by the support body 32 and made of, e.g., a silicon-based transparent resin (a resin lens or a resin mold); and a power supply electrode 83 for supplying a power to the LEDs 33, the power supply electrode 83 being inserted into and penetrated through a through-hole 81 a of the thermal diffusion member 81 and a through-hole 32 a of the support body 32. The LED arrays 34′ are fixed by screws 84 to the cooling member 4 a or 4 b via paste such as silicon grease, silver paste or the like of high thermal conductivity. A seal ring 89 is interposed between the cooling member 4 a or 4 b and the thermal diffusion member 81.
  • The power supply electrode 83 is provided with ports disposed at a corresponding position at a rear side of the thermal diffusion member 81. Therefore, each of the power supply members 41′ passing through the cooling members 4 a and 4 b (only 4 a shown in FIG. 12) is connected to the power supply electrode 83 via each of the ports 85.
  • Each of the cooling members 4 a and 4 b includes a plurality of attachment portions 86 to which the LED arrays 34′ are attached. Each of the attachment portions 86 has a frame member 87 that functions as a spacer making contact with the light-transmitting member 18 a or 18 b. The frame member 87 is attached to surround the attachment region of each of the LED arrays 34′. A space 88 kept in vacuum exist between the resin layer 82 of each of the LED arrays 34′ attached to the attachment portions 86 and the light-transmitting member 18 a or 18 b.
  • As shown in FIG. 13, the cooling member 4 a has a gas passage 93 communicating with a space 92 defined by a recess 16 a when the light-transmitting member 18 a is mounted to the cooling member 4 a. A gas exhaust line 94 is connected to the gas passage 93 of the cooling member 4 a. The gas passage 93 and the gas exhaust line 94 form a gas exhaust path. A buffer member 95 having a buffer space greater in diameter than the gas exhaust line 94 is provided during the gas exhaust line 94. The space 88 is evacuated by a gas exhaust unit 96 via the gas passage 93, the gas exhaust line 94 and the buffer member 95 to be kept in a vacuum state. This is also applied to the cooling member 4 b. The space 88 is small and therefore would be hardly depressurized by a typical evacuation operation. Provision of the buffer space makes it possible to easily evacuate the space 88.
  • A gas exhaust hole 91 is formed in the frame member 87 so that all of the spaces 88 can be evacuated via the gas exhaust hole 91.
  • In case of the embodiment shown in FIG. 1, a resin is filled between the cooling members 4 a and 4 b and the light-transmitting members 18 a and 18 b. Due to the increase in the filling quantity of the resin, a difficulty may be encountered in filling the resin and the efficiency of the LEDs may be reduced due to bubbles or the like. In the modified example illustrated in FIGS. 12 and 13, however, the resin layer 82 is formed in a small thickness to merely cover the LEDs 33. The remaining space is evacuated as mentioned above. This makes it possible to avoid the drawbacks inherent in the embodiment shown in FIG. 1.
  • Next, the steps of assembling the LED arrays 34′ and the cooling members 4 a and 4 b together and the steps of mounting the LED arrays 34′ in place will be described with reference to FIGS. 14A to 14I.
  • First, the support body 32 having a hexagonal shape is cut from an AlN-made plate material and the through-holes 32 a through which the power supply electrodes and the screws are inserted are formed in the support body 32 (see FIG. 14A). Then, the front surface of the copper-made thermal diffusion member 81 having the same shape as the support body 32 and having the through-holes 81 a formed in alignment with the through-holes 32 a is bonded to the rear surface of the support body 32 by the soldering that makes use of solder paste (see FIG. 14B). The power supply electrodes 83 are inserted into the through- holes 32 a and 81 a to pass through the support body 32 and the thermal diffusion member 81 and are soldered to the support body 32 (see FIG. 14C).
  • Thereafter, solder paste is put on the front surface of the support body 32 and the LEDs 33 are placed on the solder paste. In this state, the support body 32 and the LEDs 33 are heat-treated and soldered in a batch furnace (see FIG. 14D). A bonding operation is performed by using the wire 36 (see FIG. 14E). In order to protect the LEDs 33 and to adjust the refractive index, the LEDs 33 are covered with a transparent resin (a resin lens or a resin mold) to thereby form the resin layer 82. An epoxy-based resin is filled in the space between the power supply electrodes 83 and the through-holes 81 a to provide a hermetic seal, thereby producing the LED array 34′ (see FIG. 14F). Concurrently with the above operation, the cooling member 4 a or 4 b is fabricated (see FIG. 14G).
  • Thereafter, the LED array 34′ is mounted to the cooling member 4 a or 4 b (see FIG. 14H). The power supply members 41′ are connected to the power supply electrodes 83 and the LED array 34′ is fixed to the cooling member 4 a or 4 b by screws 84 (see FIG. 14I).
  • The operation of mounting the LED array 34′ is completed through the steps described above. Subsequently, the light-transmitting member 18 a or 18 b is mounted in place to establish the state shown in FIG. 12.
  • As described above, the LED array 34′ is formed into a unit and attached to the cooling member 4 a or 4 b by the screws 84 in the annealing apparatus shown in FIG. 12. Therefore, the LED array 34′ can be attached and detached with ease. Since the LED array 34′ can be easily replaced on a unit-by-unit basis when there is a need to replace the LEDs 33, it is possible to greatly increase the ease of maintenance and repair. Further, the AlN-made support body and the copper-made thermal diffusion member 81 are brought into surface-to-surface contact with each other by soldering (with cream solder). The thermal diffusion member 81 and the cooling member 4 a or 4 b are also brought into surface-to-surface contact with each other by using paste such as silicon grease, silver paste or the like of high thermal conductivity. Therefore, the LEDs 33 can be cooled with a reduced thermal resistance.
  • In the space defined between the cooling member 4 a or 4 b and the light-transmitting member 18 a or 18 b, only the portion where the LEDs 33 is installed is covered with the resin layer 82. The remaining space 82 is evacuated. This makes it possible to avoid the difficulty which can be encountered when the whole space is filled with a resin as mentioned earlier. It is also possible to mitigate the reduction in light irradiation efficiency which can be caused by the difference in refractive index between the LEDs 33 and the vacuum space when the whole space is evacuated without forming the resin layer 82.
  • In other words, use of the resin layer 82 provides a structure in which the LEDs 33, the resin layer 82 and the space 88 have gradually decreasing refractive indices. The total reflection of light which can arise from the big difference between the refractive indices is hard to occur, thereby preventing the reduction in light irradiation efficiency.
  • The present invention is not limited to the embodiment and the modified examples described above but may be changed or modified in many different forms. For example, although the afore-mentioned embodiment is directed to an example in which the heating sources with LEDs are provided at the opposite sides of the wafer as an object to be processed, it may be possible to provide a single heating source at only one side of the wafer. Although LEDs are used as the light emitting devices in the afore-mentioned embodiment, it may be possible to use other light emitting devices such as a semiconductor laser and the like. The object to be processed is not limited to the semiconductor wafer but may be other objects such as a glass substrate for flat panel displays and the like.
  • INDUSTRIAL APPLICABILITY
  • The present invention can be appropriately used to perform rapid heating, e.g., in annealing a semiconductor wafer after impurities are implanted into the same.

Claims (12)

1. An annealing apparatus comprising:
a processing chamber for accommodating an object to be processed;
a heating source including a plurality of light emitting devices and provided to face at least one surface of the object to irradiate light on the object;
a light-transmitting member arranged in alignment with the heating source to transmit the light emitted from the light emitting devices;
a support member for supporting the heating source;
a power supply mechanism provided at a rear surface side of the support member for supplying a power to the light emitting devices via the support member;
a gas exhaust mechanism for exhausting an inside of the processing chamber; and
a processing gas supply mechanism for supplying a processing gas into the processing chamber.
2. The annealing apparatus of claim 1, wherein the heating source comprises a plurality of light emitting device arrays each including:
a support body provided at the support member;
a plurality of electrodes formed on the support body;
a plurality of light emitting devices formed on the electrodes; and
a power supply electrode for supplying a power to the light emitting devices, and wherein the power supply mechanism has a plurality of electrode rods connected to the power supply electrode of each of the light emitting device arrays and extending through the support member and a plurality of power supply members through which to supply a power to the electrode rods.
3. The annealing apparatus of claim 2, wherein the electrode rods and the power supply members are brought into contact with each other by spring-biased pins.
4. The annealing apparatus of claim 2, wherein the light emitting devices are divided to be arranged in each of power supply areas, wherein the power supply area includes a plurality of the power supply electrodes in a corresponding relationship therewith, and wherein the power supply electrodes are arranged along a straight line.
5. The annealing apparatus of claim 4, wherein the power supply electrodes include a plurality of negative electrodes and a common positive electrode.
6. The annealing apparatus of claim 4, wherein the light emitting devices are provided in the power supply areas in a form of a parallel connection of a plurality of sets of serially connected light emitting devices.
7. The annealing apparatus of claim 1, wherein the light emitting devices are light-emitting diodes.
8. The annealing apparatus of claim 2, wherein the light emitting devices are light-emitting diodes.
9. The annealing apparatus of claim 3, wherein the light emitting devices are light-emitting diodes.
10. The annealing apparatus of claim 4, wherein the light emitting devices are light-emitting diodes.
11. The annealing apparatus of claim 5, wherein the light emitting devices are light-emitting diodes.
12. The annealing apparatus of claim 6, wherein the light emitting devices are light-emitting diodes.
US13/551,133 2006-09-05 2012-07-17 Annealing apparatus Abandoned US20120279944A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US13/551,133 US20120279944A1 (en) 2006-09-05 2012-07-17 Annealing apparatus

Applications Claiming Priority (9)

Application Number Priority Date Filing Date Title
JP2006-240420 2006-09-05
JP2006240420 2006-09-05
JP2007034417 2007-02-15
JP2007-034417 2007-02-15
JP2007081609A JP5138253B2 (en) 2006-09-05 2007-03-27 Annealing equipment
JP2007-081609 2007-03-27
PCT/JP2007/067053 WO2008029742A1 (en) 2006-09-05 2007-08-31 Annealing apparatus
US44003409A 2009-03-05 2009-03-05
US13/551,133 US20120279944A1 (en) 2006-09-05 2012-07-17 Annealing apparatus

Related Parent Applications (2)

Application Number Title Priority Date Filing Date
PCT/JP2007/067053 Division WO2008029742A1 (en) 2006-09-05 2007-08-31 Annealing apparatus
US44003409A Division 2006-09-05 2009-03-05

Publications (1)

Publication Number Publication Date
US20120279944A1 true US20120279944A1 (en) 2012-11-08

Family

ID=39845645

Family Applications (2)

Application Number Title Priority Date Filing Date
US12/440,034 Active 2029-06-25 US8246900B2 (en) 2006-09-05 2007-08-31 Annealing apparatus
US13/551,133 Abandoned US20120279944A1 (en) 2006-09-05 2012-07-17 Annealing apparatus

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US12/440,034 Active 2029-06-25 US8246900B2 (en) 2006-09-05 2007-08-31 Annealing apparatus

Country Status (5)

Country Link
US (2) US8246900B2 (en)
JP (1) JP5138253B2 (en)
KR (2) KR20110022740A (en)
CN (1) CN101405842B (en)
TW (1) TWI389170B (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120063752A1 (en) * 2010-05-07 2012-03-15 Cochran Don W Corner-cube irradiation control
EP3550313A4 (en) * 2016-11-29 2020-07-01 Tokyo Electron Limited Placement stand and electronic device inspecting apparatus
US11340283B2 (en) * 2018-03-05 2022-05-24 Tokyo Electron Limited Testing device

Families Citing this family (305)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5138253B2 (en) * 2006-09-05 2013-02-06 東京エレクトロン株式会社 Annealing equipment
JP2009099925A (en) * 2007-09-27 2009-05-07 Tokyo Electron Ltd Annealing apparatus
WO2009041466A1 (en) * 2007-09-27 2009-04-02 Tokyo Electron Limited Annealing apparatus
JP5351479B2 (en) 2008-01-28 2013-11-27 東京エレクトロン株式会社 Cooling structure of heating source
JP2009253242A (en) * 2008-04-11 2009-10-29 Tokyo Electron Ltd Annealing apparatus
KR20110009187A (en) * 2008-06-25 2011-01-27 도쿄엘렉트론가부시키가이샤 Annealing apparatus
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
JP5394730B2 (en) * 2008-12-26 2014-01-22 東京エレクトロン株式会社 Annealing apparatus and annealing method
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5526876B2 (en) 2010-03-09 2014-06-18 東京エレクトロン株式会社 Heating device and annealing device
JP5635378B2 (en) * 2010-11-30 2014-12-03 日東電工株式会社 Semiconductor wafer transfer method and semiconductor wafer transfer apparatus
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
TWI481058B (en) * 2012-05-24 2015-04-11 Sunshine Pv Corp Annealing device for a thin-film solar cell
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9318360B2 (en) * 2013-10-11 2016-04-19 Applied Materials, Inc. Linear high packing density for LED arrays
JP6461168B2 (en) * 2014-01-17 2019-01-30 コーニンクレッカ フィリップス エヌ ヴェKoninklijke Philips N.V. Heating system with semiconductor light source
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (en) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. Semiconductor device and manufacuring method thereof
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6475054B2 (en) * 2015-03-26 2019-02-27 日本碍子株式会社 Components for semiconductor manufacturing equipment
WO2016181615A1 (en) * 2015-05-13 2016-11-17 パナソニックIpマネジメント株式会社 Solar cell module manufacturing device and solar cell module manufacturing method
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (en) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. Method of forming metal interconnection and method of fabricating semiconductor device using the same
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (en) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
CA3048220A1 (en) 2016-12-30 2018-07-05 Frequency Therapeutics, Inc. 1h-pyrrole-2,5-dione compounds and methods of using them to induce self-renewal of stem/progenitor supporting cells
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (en) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. Storage device for storing wafer cassettes used in batch furnaces
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (en) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 Deposition method
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (en) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 Method for depositing ruthenium-containing films on substrates by cyclical deposition processes
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
TW202344708A (en) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TW202349473A (en) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
JP7042158B2 (en) * 2018-05-23 2022-03-25 東京エレクトロン株式会社 Inspection device and temperature control method
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (en) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
KR20210027265A (en) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. Periodic deposition method for forming metal-containing material and film and structure comprising metal-containing material
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
US11444245B2 (en) * 2018-10-22 2022-09-13 The Board Of Trustees Of The University Of Alabama Rapid layer-specific photonic annealing of perovskite thin films
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (en) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 Method of forming device structure, structure formed by the method and system for performing the method
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
TW202104632A (en) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
KR102638425B1 (en) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for filling a recess formed within a substrate surface
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
KR20200108248A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. STRUCTURE INCLUDING SiOCN LAYER AND METHOD OF FORMING SAME
JP2020167398A (en) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
KR20200123380A (en) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (en) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 Method of forming topologically controlled amorphous carbon polymer films
TW202113936A (en) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (en) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. Liquid level sensor for a chemical source vessel
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
TW202115273A (en) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11109452B2 (en) * 2019-11-14 2021-08-31 Applied Materials, Inc. Modular LED heater
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
JP7398935B2 (en) 2019-11-25 2023-12-15 東京エレクトロン株式会社 Mounting table and inspection device
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
CN112992667A (en) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 Method of forming vanadium nitride layer and structure including vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
KR20210100010A (en) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
KR20210116249A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. lockout tagout assembly and system and method of using same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
CN113394086A (en) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 Method for producing a layer structure having a target topological profile
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (en) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Vertical batch furnace assembly, and method for cooling vertical batch furnace
KR20210132576A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Method of forming vanadium nitride-containing layer and structure comprising the same
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210134226A (en) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. Solid source precursor vessel
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
KR20220010438A (en) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
TW202235675A (en) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 Injector, and substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5689614A (en) * 1990-01-19 1997-11-18 Applied Materials, Inc. Rapid thermal heating apparatus and control therefor
US6121579A (en) * 1996-02-28 2000-09-19 Tokyo Electron Limited Heating apparatus, and processing apparatus
US6818864B2 (en) * 2002-08-09 2004-11-16 Asm America, Inc. LED heat lamp arrays for CVD heating
US8041197B2 (en) * 2005-11-14 2011-10-18 Tokyo Electron Limited Heating apparatus, heat treatment apparatus, computer program and storage medium
US8246900B2 (en) * 2006-09-05 2012-08-21 Tokyo Electron Limited Annealing apparatus

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62160981A (en) 1986-01-08 1987-07-16 Mitsubishi Heavy Ind Ltd Reconstruction method for oil tanker
KR920004854B1 (en) 1988-06-14 1992-06-19 삼성전자 주식회사 Page up/down mode processing method of multi channel system
JPH04207020A (en) * 1990-11-30 1992-07-29 Fujitsu Ltd Device and method for manufacturing semiconductor
JP2003077852A (en) * 2001-09-03 2003-03-14 Dainippon Screen Mfg Co Ltd Heat treatment apparatus and method
JP4207020B2 (en) 2002-07-30 2009-01-14 コニカミノルタオプト株式会社 Imaging lens
JP3989412B2 (en) * 2002-10-21 2007-10-10 オリンパス株式会社 Illumination device and image projection device
US6998777B2 (en) * 2002-12-24 2006-02-14 Toyoda Gosei Co., Ltd. Light emitting diode and light emitting diode array
JP2006059931A (en) * 2004-08-18 2006-03-02 Canon Anelva Corp Rapid thermal process device

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5689614A (en) * 1990-01-19 1997-11-18 Applied Materials, Inc. Rapid thermal heating apparatus and control therefor
US6121579A (en) * 1996-02-28 2000-09-19 Tokyo Electron Limited Heating apparatus, and processing apparatus
US6818864B2 (en) * 2002-08-09 2004-11-16 Asm America, Inc. LED heat lamp arrays for CVD heating
US7173216B2 (en) * 2002-08-09 2007-02-06 Asm America, Inc. LED heat lamp arrays for CVD heating
US8041197B2 (en) * 2005-11-14 2011-10-18 Tokyo Electron Limited Heating apparatus, heat treatment apparatus, computer program and storage medium
US8246900B2 (en) * 2006-09-05 2012-08-21 Tokyo Electron Limited Annealing apparatus

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120063752A1 (en) * 2010-05-07 2012-03-15 Cochran Don W Corner-cube irradiation control
US11774648B2 (en) * 2010-05-07 2023-10-03 Pti Ip Llc Corner-cube irradiation control
EP3550313A4 (en) * 2016-11-29 2020-07-01 Tokyo Electron Limited Placement stand and electronic device inspecting apparatus
US11340283B2 (en) * 2018-03-05 2022-05-24 Tokyo Electron Limited Testing device

Also Published As

Publication number Publication date
CN101405842B (en) 2010-09-01
TWI389170B (en) 2013-03-11
CN101405842A (en) 2009-04-08
KR20090045314A (en) 2009-05-07
JP2008227435A (en) 2008-09-25
JP5138253B2 (en) 2013-02-06
US8246900B2 (en) 2012-08-21
US20100038833A1 (en) 2010-02-18
TW200830354A (en) 2008-07-16
KR101059314B1 (en) 2011-08-24
KR20110022740A (en) 2011-03-07

Similar Documents

Publication Publication Date Title
US8246900B2 (en) Annealing apparatus
KR101156944B1 (en) Annealing apparatus
TWI382561B (en) Power light emitting die package with reflecting lens and the method of making the same
US20120325795A1 (en) Heating apparatus and annealing apparatus
JP2010153734A (en) Annealing device and annealing method
JP2009076705A (en) Load lock device and vacuum processing system
WO2009125727A1 (en) Annealing apparatus
CN101828251A (en) Annealing apparatus
JP2015056624A (en) Substrate temperature control device and substrate processor using the same device
WO2008029742A1 (en) Annealing apparatus
US10823346B2 (en) LED module, LED light fixture and method for production thereof
WO2009116400A1 (en) Annealing apparatus and overheat prevention system
JP2012113943A (en) Led light-emitting unit and its manufacturing method
WO2008016116A1 (en) Annealing apparatus and annealing method
KR20070096693A (en) Semiconductor package having a buffer layer
JP2008060560A (en) Annealing apparatus and method
CN1702927A (en) Nitride semiconductor laser device
KR100663912B1 (en) Buffer layer and light emitting diode using the same
Yang et al. Design for reliability of solid state lighting products
Busek et al. Overview of Various Failures of Luminaires Assembled with SMD LED Chips
US20080090334A1 (en) Method for Manufacturing Semiconductor Device
Yuan et al. Thermal enhancement of optical-thermal-electrical isolation package structure for UVA LEDs
KR20160106396A (en) Lead frame and the semiconductor package comprising the same
TW508833B (en) Light emitting diode with direct cooling
WO2021239154A1 (en) Device for preventing housing of optical fiber coupling semiconductor laser module from generating condensed water

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION