US20120180983A1 - Cluster tool architecture for processing a substrate - Google Patents

Cluster tool architecture for processing a substrate Download PDF

Info

Publication number
US20120180983A1
US20120180983A1 US13/411,120 US201213411120A US2012180983A1 US 20120180983 A1 US20120180983 A1 US 20120180983A1 US 201213411120 A US201213411120 A US 201213411120A US 2012180983 A1 US2012180983 A1 US 2012180983A1
Authority
US
United States
Prior art keywords
substrate
robot
processing
assembly
chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US13/411,120
Inventor
Tetsuya Ishikawa
Rick J. Roberts
Helen R. Armer
Leon Volfovski
Jay D. Pinson
Michael Rice
David H. Quach
Mohsen S. Salek
Robert Lowrance
John A. Backer
William Tyler Weaver
Charles Carlson
Chongyang Wang
Jeffrey Hudgens
Harald Herchen
Brian Lue
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US13/411,120 priority Critical patent/US20120180983A1/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: WANG, CHONGYANG, ROBERTS, RICK J., HERCHEN, HARALD, PINSON, JAY D., LUE, BRIAN C., ARMER, HELEN R., ISHIKAWA, TETSUYA, QUACH, DAVID H., SALEK, MOHSEN S., VOLFOVSKI, LEON, HUDGENS, JEFFREY, LOWRANCE, ROBERT, RICE, MICHAEL, BACKER, JOHN A., CARLSON, CHARLES, WEAVER, WILLIAM TYLER
Publication of US20120180983A1 publication Critical patent/US20120180983A1/en
Assigned to SOKUDO CO., LTD. reassignment SOKUDO CO., LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: APPLIED MATERIALS, INC.
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: SOKUDO CO., LTD.
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67225Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one lithography chamber
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03BAPPARATUS OR ARRANGEMENTS FOR TAKING PHOTOGRAPHS OR FOR PROJECTING OR VIEWING THEM; APPARATUS OR ARRANGEMENTS EMPLOYING ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ACCESSORIES THEREFOR
    • G03B27/00Photographic printing apparatus
    • G03B27/32Projection printing apparatus, e.g. enlarger, copying camera
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03DAPPARATUS FOR PROCESSING EXPOSED PHOTOGRAPHIC MATERIALS; ACCESSORIES THEREFOR
    • G03D13/00Processing apparatus or accessories therefor, not covered by groups G11B3/00 - G11B11/00
    • G03D13/006Temperature control of the developer
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM]
    • G05B19/41815Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM] characterised by the cooperation between machine tools, manipulators and conveyor or other workpiece supply system, workcell
    • G05B19/41825Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM] characterised by the cooperation between machine tools, manipulators and conveyor or other workpiece supply system, workcell machine tools and manipulators only, machining centre
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/6715Apparatus for applying a liquid, a resin, an ink or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67178Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers vertical arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67754Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a batch of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6838Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping with gripping and holding devices using a vacuum; Bernoulli devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/40Robotics, robotics mapping to robotics vision
    • G05B2219/40476Collision, planning for collision free path
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/49Nc machine tool, till multiple
    • G05B2219/49137Store working envelop, limit, allowed zone
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/136Associated with semiconductor wafer handling including wafer orienting means
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/53Means to assemble or disassemble
    • Y10T29/5313Means to assemble electrical device
    • Y10T29/53187Multiple station assembly apparatus
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/53Means to assemble or disassemble
    • Y10T29/5313Means to assemble electrical device
    • Y10T29/532Conductor
    • Y10T29/53209Terminal or connector
    • Y10T29/53213Assembled to wire-type conductor
    • Y10T29/53222Means comprising hand-manipulatable implement
    • Y10T29/5323Fastening by elastic joining

Definitions

  • Embodiments of the invention generally relate to an integrated processing system containing multiple processing stations and robots that are capable of processing multiple substrates in parallel.
  • a typical cluster tool used to deposit (i.e., coat) and develop a photoresist material commonly known as a track lithography tool, will include a mainframe that houses at least one substrate transfer robot which transports substrates between a pod/cassette mounting device and multiple processing chambers that are connected to the mainframe.
  • Cluster tools are often used so that substrates can be processed in a repeatable way in a controlled processing environment.
  • a controlled processing environment has many benefits which include minimizing contamination of the substrate surfaces during transfer and during completion of the various substrate processing steps. Processing in a controlled environment thus reduces the number of generated defects and improves device yield.
  • a process sequence is generally defined as the sequence of device fabrication steps, or process recipe steps, completed in one or more processing chambers in the cluster tool.
  • a process sequence may generally contain various substrate (or wafer) electronic device fabrication processing steps.
  • a typical track lithography process sequence will generally include the following steps: depositing one or more uniform photoresist (or resist) layers on the surface of a substrate, then transferring the substrate out of the cluster tool to a separate stepper or scanner tool to pattern the substrate surface by exposing the photoresist layer to a photoresist modifying electromagnetic radiation, and then developing the patterned photoresist layer.
  • the substrate throughput in a cluster tool is not robot limited, the longest process recipe step will generally limit the throughput of the processing sequence. This is usually not the case in track lithography process sequences, due to the short processing times and large number of processing steps.
  • Typical system throughput for the conventional fabrication processes, such as a track lithography tool running a typical process will generally be between 100-120 substrates per hour.
  • the present invention generally provides a cluster tool for processing a substrate.
  • the cluster tool comprises at least one processing rack, which comprises a first plurality of substrate processing chambers that are positioned adjacent to each other and aligned in a first direction, a second plurality of substrate processing chambers that are positioned adjacent to each other and adjacent to at least one of the first plurality of substrate processing chambers, the second plurality of substrate processing chambers being positioned in a second direction relative to the first direction, a first shuttle robot movable in the first direction for moving substrates between each of the first plurality of substrate processing chambers, and a second shuttle robot movable in the second direction for moving substrates between each of the second plurality of substrate processing chambers.
  • the cluster tool comprises at least one processing rack, which comprises a first plurality of substrate processing chambers that are positioned adjacent to each other and aligned in a horizontal direction, a second plurality of substrate processing chambers that are positioned adjacent to each other and adjacent to each of the first plurality of substrate processing chambers in a vertical direction, a first shuttle robot movable in the horizontal direction for moving substrates between each of the first plurality of substrate processing chambers, and a second shuttle robot movable in the horizontal direction for moving substrates between each of the second plurality of substrate processing chambers.
  • the cluster tool comprises at least a first processing rack, which comprises a first plurality of substrate processing chambers that are positioned adjacent to each other and aligned in a horizontal direction, each of the first plurality of processing chambers being isolated from each other and having a shuttle robot movable in the horizontal direction for moving substrates between each of the first plurality of substrate processing chambers, and a second plurality of substrate processing chambers that are positioned adjacent to each other and adjacent to each of the first plurality of substrate processing chambers in a vertical direction, each of the second plurality of processing chambers being isolated from each other and having a shuttle robot movable in the horizontal direction for moving substrates between each of the second plurality of substrate processing chambers.
  • FIG. 1A is an isometric view illustrating a cluster tool according to an embodiment of the invention.
  • FIG. 1B is a plan view of the processing system illustrated in FIG. 1A wherein the present invention may be used to advantage.
  • FIG. 1C is another isometric view illustrating a view from the opposite side shown in FIG. 1A .
  • FIG. 2A is a plan view that illustrates another embodiment of cluster tool that only contains a front end module, which is adapted to communicate with a stepper/scanner tool.
  • FIG. 2B is a plan view that illustrates another embodiment of cluster tool that only contains a stand-alone front end module.
  • FIG. 2C is a plan view that illustrates another embodiment of cluster tool that contains a front end module and a central module, wherein the central module is adapted to communicate with a stepper/scanner tool.
  • FIG. 2D is a plan view that illustrates another embodiment of cluster tool that contains a front end module, a central module and a rear module, wherein the rear module contains a first rear processing rack and a second rear processing rack and the rear robot is adapted to communicate with a stepper/scanner tool.
  • FIG. 2E is a plan view of a processing system illustrated in FIG. 1A , that contains a twin coater/developer chamber 350 and integrated bake/chill chamber 800 wherein the present invention may be used to advantage.
  • FIG. 2F is a plan view that illustrates another embodiment of cluster tool that contains a front end module and a central processing module, which each contain two processing racks.
  • FIG. 2G is a plan view that illustrates another embodiment of cluster tool that contains a front end module, central processing module and a rear processing module, which each contain two processing racks.
  • FIG. 2H is a plan view that illustrates another embodiment of cluster tool that contains a front end module and a central processing module, which each contain two processing racks and a slide assembly to allow the base of the front end and central robots to translate.
  • FIG. 2I is a plan view that illustrates another embodiment of cluster tool that contains a front end module, central processing module and a rear processing module, which each contain two processing racks and two slide assemblies to allow the base of the front end, central robot and rear robots to translate.
  • FIG. 3A illustrates one embodiment of a process sequence containing various process recipe steps that may be used in conjunction with the various embodiments of the cluster tool described herein.
  • FIG. 3B illustrates another embodiment of a process sequence containing various process recipe steps that may be used in conjunction with the various embodiments of the cluster tool described herein.
  • FIG. 3C illustrates another embodiment of a process sequence containing various process recipe steps that may be used in conjunction with the various embodiments of the cluster tool described herein.
  • FIG. 4A is a side view that illustrates one embodiment of the front end processing rack 52 according to the present invention.
  • FIG. 4B is a side view that illustrates one embodiment of the first processing rack 152 according to the present invention.
  • FIG. 4C is a side view that illustrates one embodiment of the second processing rack 154 according to the present invention.
  • FIG. 4D is a side view that illustrates one embodiment of the rear processing rack 202 according to the present invention.
  • FIG. 4E is a side view that illustrates one embodiment of the first rear processing rack 302 according to the present invention.
  • FIG. 4F is a side view that illustrates one embodiment of the second rear processing rack 304 according to the present invention.
  • FIG. 4G is a side view that illustrates one embodiment of the first processing rack 308 according to the present invention.
  • FIG. 4H is a side view that illustrates one embodiment of the second processing rack 309 according to the present invention.
  • FIG. 4I is a side view that illustrates one embodiment of the first central processing rack 312 and the first rear processing rack 318 , according to the present invention.
  • FIG. 4J is a side view that illustrates one embodiment of the second central processing rack 314 and the second rear processing rack 319 , according to the present invention.
  • FIG. 4K is a side view that illustrates one embodiment of the first processing rack 322 according to the present invention.
  • FIG. 5A is a side view that illustrates one embodiment of a coater chamber wherein the present invention may be used to advantage.
  • FIG. 5B is a side view that illustrates one embodiment of a coater chamber wherein the present invention may be used to advantage.
  • FIG. 5C is a side view that illustrates one embodiment of a coater/developer chamber that contains a showerhead assembly wherein the present invention may be used to advantage
  • FIG. 5D is a side view that illustrates one embodiment of a developer chamber wherein the present invention may be used to advantage.
  • FIG. 6A is an exploded isometric view of one embodiment of the fluid source assembly.
  • FIG. 6B is an exploded isometric view of one embodiment of the fluid source assembly.
  • FIG. 7A illustrates a plan view of one embodiment of a coater chamber that contains a fluid dispense arm that has a single degree of freedom.
  • FIG. 7B illustrates a plan view of one embodiment of a coater chamber that contains a fluid dispense arm that has a two degrees of freedom.
  • FIG. 8A is a side view of one embodiment of the developer chamber 60 B that contains a developer endpoint detector assembly 1400 .
  • FIG. 8B is process method step used to improve the endpoint detection process described in conjunction with FIG. 8A .
  • FIG. 8C is a side view of one embodiment of the developer chamber 60 B that contains a developer endpoint detector assembly 1400 .
  • FIG. 9A is a plan view of a twin coater/developer chamber 350 according to the present invention.
  • FIG. 9B is a plan view of a twin coater/developer chamber 350 according to the present invention.
  • FIG. 10A is a side view that illustrates one embodiment of a chill chamber wherein the present invention may be used to advantage.
  • FIG. 10B is a side view that illustrates one embodiment of a bake chamber wherein the present invention may be used to advantage.
  • FIG. 10C is a side view that illustrates one embodiment of a HMDS process chamber wherein the present invention may be used to advantage.
  • FIG. 10D is a side view that illustrates one embodiment of a Post Exposure Bake (PEB) chamber wherein the present invention may be used to advantage.
  • PEB Post Exposure Bake
  • FIG. 11A is side view that illustrates one embodiment of a plate assembly that may be used to rapidly heat and cool a substrate.
  • FIG. 12A is a side view of a bake chamber, PEB chamber or HMDS process chamber that contains one embodiment of a process endpoint detection system.
  • FIG. 12B is a side view of a bake chamber, PEB chamber or HMDS process chamber that contains another embodiment of the process endpoint detection system.
  • FIG. 12C is process method step used to improve the endpoint detection process described in conjunction with FIGS. 12A-B .
  • FIG. 13A is a side view of a processing chamber that illustrates one embodiment of a plate assembly that has improved thermal coupling and reduced contact with the substrate surface.
  • FIG. 13B is a plan view of the top of the plate assembly shown in FIG. 13A .
  • FIG. 13C is a cross-sectional view of a seed crystal imbedded in the surface of the plate assembly shown in FIG. 13A .
  • FIG. 13D is a cross-sectional view of a seed crystal imbedded in the surface of the plate assembly shown in FIG. 13A , that has a selectively deposited layer on its surface.
  • FIG. 14A is a plan view of a processing system illustrated in FIG. 1B that illustrates a transfer path of a substrate through the cluster tool following the process sequence illustrated in FIG. 3A .
  • FIG. 14B is a plan view of a processing system illustrated in FIG. 2F that illustrates a transfer path of a substrate through the cluster tool following the process sequence illustrated in FIG. 3A .
  • FIG. 15A is an isometric view illustrating one embodiment of a cluster tool of the invention that contains a frog-leg robot.
  • FIG. 15B is a plan view of a processing system illustrated in FIG. 15A , according to the present invention.
  • FIG. 15C is an isometric view illustrating one embodiment of a frog-leg robot assembly according to the present invention.
  • FIG. 15D is a plan view of a frog-leg robot assembly of the invention.
  • FIG. 16A is an isometric view illustrating one embodiment of a dual blade 6-axis articulated robot assembly according to the present invention.
  • FIG. 16B is an isometric view illustrating one embodiment of the dual blade assembly shown in FIG. 16A .
  • FIG. 16C is an isometric view illustrating one embodiment of the dual blade assembly shown in FIG. 16A .
  • FIG. 16D is an isometric view illustrating one embodiment of the dual blade assembly shown in FIG. 16A that allows a variable pitch between robot blades.
  • FIG. 16E illustrates a cross-sectional view of an over/under type dual blade assembly where a single blade has been extended to access a substrate in a cassette in a pod assembly.
  • FIG. 16F is an isometric view illustrating one embodiment of a single blade 6-axis articulated robot assembly wherein the present invention may be used to advantage.
  • FIG. 16G is an isometric view illustrating one embodiment of the single blade assembly shown in FIG. 16F .
  • FIG. 16H is an isometric view illustrating one embodiment of a dual blade 6-axis articulated robot assembly and slide assembly according to the present invention.
  • FIG. 16I illustrates a cross-sectional view of a dual blade assembly where the blades are positioned to transfer substrates from in a pair of cassettes.
  • FIG. 17A is an isometric view of one embodiment of a bake chamber, a chill chamber and a robot adapted to transfer the substrate between the chambers.
  • FIG. 17B is an isometric view of one embodiment of a bake chamber, a chill chamber and a robot adapted to transfer the substrate between the chambers.
  • FIG. 17C is an isometric view showing the opposing side of the view shown in FIG. 17A which illustrates the robot adapted to transfer the substrate between the chambers.
  • FIG. 18A is an isometric view of one embodiment of a bake/chill chamber 800 .
  • FIG. 18B is an isometric view showing the opposing side of the view shown in FIG. 18A which illustrates the robot adapted to transfer the substrate between the chambers.
  • FIG. 19A is a plan view that illustrates another embodiment of cluster tool and stepper/scanner tool, where the stepper/scanner is separated from the cluster tool.
  • the stepper/scanner has at least one PEB chamber integrated into the stepper/scanner.
  • FIG. 19B illustrates one embodiment of a process sequence containing various process recipe steps that may be used in conjunction with the various embodiments of the cluster tool shown in FIG. 19A .
  • FIG. 20A is a side view of the robot illustrated in FIG. 16A which is used in a processing rack configuration that is configured to conform to the robot's reach.
  • FIG. 20B is an isometric view another embodiment of a processing rack configuration that is adapted to conform to the reach of a robot having a central mounting point.
  • FIG. 21A is an isometric view illustrating another embodiment of a cluster tool of the invention.
  • FIG. 21B is a plan view of the processing system illustrated in FIG. 21A , according to the present invention.
  • FIG. 21C is a side view of the processing system illustrated in FIG. 21A , according to the present invention.
  • FIG. 21D is a side view that illustrates one embodiment of the first processing rack 460 of the cluster tool illustrated in FIG. 21A .
  • FIG. 21E is a side view that illustrates one embodiment of the second processing rack 480 according to the present invention.
  • FIG. 21F illustrates one embodiment of a process sequence containing various process recipe steps that may be used in conjunction with the various embodiments of the cluster tool described herein.
  • FIG. 21G is an isometric view illustrating one embodiment of a robot that may be adapted to transfer substrates in various embodiments of the cluster tool.
  • FIG. 21H is an isometric view illustrating one embodiment of a robot shown in FIG. 21G that utilizes a single arm robot. In this view the enclosure components have been removed.
  • FIG. 21I is an isometric view illustrating one embodiment of a horizontal motion assembly shown in FIGS. 21G and 21H .
  • FIG. 22A illustrates an isometric view of processing chambers retained in a processing rack that have a substrate position error detection and correction systems mounted outside each of their openings.
  • the present invention generally provides an apparatus and method for processing substrates using a multi-chamber processing system (e.g., a cluster tool) that has an increased system throughput, increased system reliability, more repeatable wafer processing history (or wafer history) within the cluster tool, and also a reduced footprint of the cluster tool.
  • a multi-chamber processing system e.g., a cluster tool
  • the cluster tool is adapted to perform a track lithography process in which a substrate is coated with a photosensitive material, is then transferred to a stepper/scanner, which exposes the photosensitive material to some form of radiation to form a pattern in the photosensitive material, and then certain portions of the photosensitive material are removed in a developing process completed in the cluster tool.
  • FIGS. 1A and 1C are isometric views of one embodiment of a cluster tool 10 that illustrates a number of the aspects of the present invention that may be used to advantage.
  • One embodiment of the cluster tool 10 contains a front end module 50 , a central module 150 , and a rear module 200 .
  • the front end module 50 generally contains one or more pod assemblies 105 (e.g., items 105 A-D), a front end robot 108 ( FIG. 1B ), and a front end processing rack 52 .
  • the central module 150 will generally contain a first central processing rack 152 , a second central processing rack 154 , and a central robot 107 ( FIG. 1B ).
  • the rear module 200 will generally contain a rear processing rack 202 and a rear robot 109 ( FIG. 1B ).
  • the cluster tool 10 contains: a front end robot 108 adapted to access processing chambers in the front end processing rack 52 ; a central robot 107 that is adapted to access processing chambers in the front end processing rack 52 , the first central processing rack 152 , the second central processing rack 154 and/or the rear processing rack 202 ; and a rear robot 109 that is adapted to access processing chambers in the rear processing rack 202 and in some cases exchange substrates with a stepper/scanner 5 ( FIG. 1B ).
  • a shuttle robot 110 is adapted to transfer substrates between two or more adjacent processing chambers retained in one or more processing racks (e.g., front end processing rack 52 , first central processing rack 152 , etc.).
  • a front end enclosure 104 is used to control the environment around the front end robot 108 and between the pod assemblies 105 and front end processing rack 52 .
  • FIG. 1B illustrates a plan view of one embodiment illustrated in FIG. 1A , which contains more detail of possible process chamber configurations found in aspects of the invention.
  • the front end module 50 generally contains one or more pod assemblies 105 , a front end robot 108 and a front end processing rack 52 .
  • the one or more pod assemblies 105 or front-end opening unified pods (FOUPs), are generally adapted to accept one or more cassettes 106 that may contain one or more substrates “W”, or wafers, that are to be processed in the cluster tool 10 .
  • the front end processing rack 52 contains multiple processing chambers (e.g., bake chamber 90 , chill chamber 80 , etc.) that are adapted to perform the various processing steps found in the substrate processing sequence.
  • the front end robot 108 is adapted to transfer substrates between a cassette mounted in a pod assembly 105 and between the one or more processing chambers retained in the front end processing rack 52 .
  • the central module 150 generally contains a central robot 107 , a first central processing rack 152 and a second central processing rack 154 .
  • the first central processing rack 152 and a second central processing rack 154 contain various processing chambers (e.g., coater/developer chamber 60 , bake chamber 90 , chill chamber 80 , etc.) that are adapted to perform the various processing steps found in the substrate processing sequence.
  • the central robot 107 is adapted to transfer substrates between the front end processing rack 52 , the first central processing rack 152 , the second central processing rack 154 and/or the rear processing rack 202 .
  • the central robot 107 is positioned in a central location between the first central processing rack 152 and a second central processing rack 154 of the central module 150 .
  • the rear module 200 generally contains a rear robot 109 and a rear processing rack 202 .
  • the rear processing rack 202 generally contains processing chambers (e.g., coater/developer chamber 60 , bake chamber 90 , chill chamber 80 , etc.) that are adapted to perform the various processing steps found in the substrate processing sequence.
  • the rear robot 109 is adapted to transfer substrates between the rear processing rack 202 and a stepper/scanner 5 .
  • the stepper/scanner 5 which may be purchased from Canon USA, Inc. of San Jose, Calif., Nikon Precision Inc. of Belmont, Calif., or ASML US, Inc. of Tempe, Ariz., is a lithographic projection apparatus used, for example, in the manufacture of integrated circuits (ICs).
  • the scanner/stepper tool 5 exposes a photosensitive material (photoresist), deposited on the substrate in the cluster tool, to some form of electromagnetic radiation to generate a circuit pattern corresponding to an individual layer of the integrated circuit (IC) device to be formed on the substrate surface.
  • a photosensitive material photoresist
  • a system controller 101 is used to control all of the components and processes performed in the cluster tool 10 .
  • the system controller 101 is generally adapted to communicate with the stepper/scanner 5 , monitor and control aspects of the processes performed in the cluster tool 10 , and is adapted to control all aspects of the complete substrate processing sequence.
  • the system controller 101 which is typically a microprocessor-based controller, is configured to receive inputs from a user and/or various sensors in one of the processing chambers and appropriately control the processing chamber components in accordance with the various inputs and software instructions retained in the controller's memory.
  • the system controller 101 generally contains memory and a CPU (not shown) which are utilized by the controller to retain various programs, process the programs, and execute the programs when necessary.
  • the memory (not shown) is connected to the CPU, and may be one or more of a readily available memory, such as random access memory (RAM), read only memory (ROM), floppy disk, hard disk, or any other form of digital storage, local or remote.
  • Software instructions and data can be coded and stored within the memory for instructing the CPU.
  • the support circuits (not shown) are also connected to the CPU for supporting the processor in a conventional manner.
  • the support circuits may include cache, power supplies, clock circuits, input/output circuitry, subsystems, and the like all well known in the art.
  • a program (or computer instructions) readable by the system controller 101 determines which tasks are performable in the processing chamber(s).
  • the program is software readable by the system controller 101 and includes instructions to monitor and control the process based on defined rules and input data.
  • FIG. 2A is a plan view that illustrates another embodiment of cluster tool 10 that contains a front end module 50 that is attached to the stepper/scanner 5 .
  • the front end module 50 in this configuration may contain a front end robot 108 , a front end processing rack 52 , and a rear robot 109 A, which is in communication with the stepper/scanner 5 .
  • the front end processing rack 52 contains multiple processing chambers (e.g., coater/developer chamber 60 , bake chamber 90 , chill chamber 80 , etc.) that are adapted to perform the various processing steps found in the substrate processing sequence.
  • the front end robot 108 is adapted to transfer substrates between a cassette 106 mounted in a pod assembly 105 and the one or more processing chambers retained in the front end processing rack 52 .
  • the rear robot 109 A is adapted to transfer substrates between the front end processing rack 52 and a stepper/scanner 5 .
  • a shuttle robot 110 is adapted to transfer substrates between two or more adjacent processing chambers retained in one or more processing racks (e.g., front end processing rack 52 , first central processing rack 152 ( FIG. 1B ), etc.).
  • the cluster tool 10 contains the front end module 50 , but does not contain a rear robot 109 A and does not interface with the stepper/scanner 5 .
  • FIG. 2B is a plan view that illustrates another embodiment of cluster 10 shown in FIG. 2A , that is not adapted to communicate with the stepper/scanner 5 .
  • the cluster tool 10 may be used as a stand alone tool to perform a desired process sequence utilizing the process chambers contained in the front end processing rack 52 .
  • FIG. 2C is a plan view that illustrates yet another embodiment of the cluster tool 10 that contains a front end module 50 and a central module 150 that are attached to the stepper/scanner 5 and serviced by the front end robot 108 and the central robot 107 .
  • the central robot 107 is adapted to transfer substrates between the front end processing rack 52 , the first central processing rack 152 , the second central processing rack 154 and/or the stepper/scanner 5 .
  • a shuttle robot 110 is adapted to transfer substrates between two or more adjacent processing chambers retained in one or more processing racks (e.g., front end processing rack 52 , first central processing rack 152 , etc.).
  • FIG. 2D is a plan view of yet another embodiment of the cluster tool 10 that contains front end module 50 , a central module 150 , and a rear module 300 , where the rear processing rack 302 is configured to contain a first rear processing rack 302 and a second rear processing rack 304 .
  • the rear robot 109 may be adapted to transfer substrates from the first central processing rack 152 , the second central processing rack 154 , the first rear processing rack 302 , the second rear processing rack 304 , the central robot 107 , and/or the stepper/scanner 5 .
  • the central robot 107 may be adapted to transfer substrates from the first central processing rack 152 , the second central processing rack 154 , the first rear processing rack 302 , the second rear processing rack 304 , and/or the rear robot 109 .
  • a shuttle robot 110 is adapted to transfer substrates between two or more adjacent processing chambers retained in one or more processing racks (e.g., front end processing rack 52 , first central processing rack 152 , etc.).
  • FIG. 2E illustrates a plan view of one embodiment illustrated in FIG. 1B , which contains a twin coater/developer chamber 350 ( FIGS. 9A-B ) mounted in the second central processing rack 314 ( FIG. 4J ), that may adapted to perform a photoresist coat step 520 ( FIGS. 3A-C ) or a develop step 550 ( FIGS. 3A-C ) in both of the process chambers 370 .
  • This configuration is advantageous since it allows some of the common components found in the two process chambers 370 to be shared thus reducing the system cost, complexity and footprint of the tool.
  • FIGS. 9A-B illustrated below, illustrates the various aspects of the twin coater/developer chamber 350 .
  • FIG. 9A-B illustrated below, illustrates the various aspects of the twin coater/developer chamber 350 .
  • 2E also contains a bake/chill chamber 800 mounted in a first central processing rack 322 ( FIG. 4K ), that may be adapted to perform the various bake steps (e.g., post BARC bake step 512 , PEB step 540 , etc. ( FIGS. 3A-C )) and chill steps (e.g., post BARC chill step 514 , post PEB chill step 542 , etc. ( FIGS. 3A-C )) in the desired processing sequence.
  • the bake/chill chamber 800 is described below in conjunction with FIGS. 18A-B .
  • FIG. 2F is a plan view of yet another embodiment of the cluster tool 10 , which contains a front end module 306 , and a central module 310 .
  • the front end module 306 may contain a first processing rack 308 and a second processing rack 309
  • the central module 310 may contain a first central processing rack 312 and a second central processing rack 314 .
  • the front end robot 108 is adapted to transfer substrates between a cassette 106 mounted in a pod assembly 105 , the first processing rack 308 , the second processing rack 309 , the first central processing rack 312 , the second central processing rack 314 , and/or the central robot 107 .
  • the central robot 107 is adapted to transfer substrates between the first processing rack 308 , the second processing rack 309 , the first central processing rack 312 , the second central processing rack 314 , the front end robot 108 , and/or the stepper/scanner 5 .
  • the front end robot 108 , and the central robot 107 are articulated robots (described below).
  • a shuttle robot 110 is adapted to transfer substrates between two or more adjacent processing chambers retained in one or more processing racks (e.g., first processing rack 308 , first central processing rack 312 , etc.).
  • the front end robot 108 is positioned in a central location between the first processing rack 308 and a second processing rack 309 of the front end module 306 .
  • the central robot 107 is positioned in a central location between the first central processing rack 312 and a second central processing rack 314 of the central module 310 .
  • FIG. 2G is a plan view of yet another embodiment of the cluster tool 10 , which is similar to the embodiment shown in FIG. 2F , with the addition of a rear module 316 which may be attached to a stepper/scanner 5 .
  • the front end module 306 may contain a first processing rack 308 and a second processing rack 309
  • the central module 310 may contain a first central processing rack 312 and a second central processing rack 314
  • the rear module 316 may contain a first rear processing rack 318 and a second rear processing rack 319 .
  • the front end robot 108 is adapted to transfer substrates between a cassette 106 mounted in a pod assembly 105 , the first processing rack 308 , the second processing rack 309 , the first central processing rack 312 , the second central processing rack 314 , and/or the central robot 107 .
  • the central robot 107 is adapted to transfer substrates between the first processing rack 308 , the second processing rack 309 , the first central processing rack 312 , the second central processing rack 314 , the first rear processing rack 318 , the second rear processing rack 319 , the front end robot 108 , and/or the rear robot 109 .
  • the rear robot 109 is adapted to transfer substrates between the first central processing rack 312 , the second central processing rack 314 , the first rear processing rack 318 , the second rear processing rack 319 , the central robot 107 , and/or the stepper/scanner 5 .
  • one or more of the front end robot 108 , the central robot 107 , and the rear robot 109 are articulated robots (described below).
  • a shuttle robot 110 is adapted to transfer substrates between two or more adjacent processing chambers retained in one or more processing racks (e.g., first processing rack 308 , first central processing rack 312 , etc.).
  • the rear robot 109 is positioned in a central location between the first rear processing rack 318 and a second rear processing rack 319 of the rear module 316 .
  • FIGS. 2F and 2G may be advantageous since the gap formed between the processing racks forms a relatively open space that will allow maintenance personnel access to cluster tool components that have become inoperable.
  • the gap is as wide as the space between the processing racks and as high the height of the processing racks. Since system down-time and system availability are important components in determining the CoO for a given tool, the ability to easily access and maintain the cluster tool components have an advantage over other prior art configurations.
  • FIG. 2H is a plan view of yet another embodiment of the cluster tool 10 , which is similar to the embodiment shown in FIG. 2F , with the addition of a slide assembly 714 ( FIG. 16H ) which allows the base of the front end robot 108 and the central robot 107 to translate along the length (items A 1 and A 2 , respectively) of the cluster tool.
  • This configuration extends the reach of each of the robots and improves the “robot overlap.”
  • Robot overlap is the ability of a robot to access processing chambers in the processing rack of other modules. While FIG.
  • 2H illustrates the front end robot 108 and the central robot 107 on a single slide assembly 714
  • other embodiments may include having each of the robots (Items 107 and 108 ) on their own slide assembly or only one of the robots mounted on a slide assembly and the other mounted to the floor or system frame, without varying from the scope of the invention.
  • FIG. 2I is a plan view of yet another embodiment of the cluster tool 10 , which is similar to the embodiment shown in FIG. 2G , with the addition of two slide assemblies 714 A-B (described in FIG. 16H ) which allows the base of the front end robot 108 and the base of the central robot 107 and rear robot 109 to translate along the length (items A 1 , A 2 and A 3 , respectively) of the cluster tool 10 . While FIG.
  • 2I illustrates the front end robot 108 on one slide assembly 714 A and the central robot 107 and the rear robot 109 on a single slide assembly 714 B
  • other embodiments may include having one or more of the robots (Items 107 , 108 and 109 ) on their own slide assembly (not shown), on a shared slide assembly or all three on a single slide assembly (not shown), without varying from the scope of the invention.
  • FIG. 3A illustrates one embodiment of a series of method steps 501 that may be used to deposit, expose and develop a photoresist material layer formed on a substrate surface.
  • the lithographic process may generally contain the following: a remove substrate from pod 508 A step, a BARC coat step 510 , a post BARC bake step 512 , a post BARC chill step 514 , a photoresist coat step 520 , a post photoresist coat bake step 522 , a post photoresist chill step 524 , an optical edge bead removal (OEBR) step 536 , an exposure step 538 , a post exposure bake (PEB) step 540 , a post PEB chill step 542 , a develop step 550 , and a place in pod step 508 B.
  • the sequence of the method steps 501 may be rearranged, altered, one or more steps may be removed, or two or more steps may be combined into a single step without varying from the basic scope of the invention.
  • the remove substrate from pod 508 A step is generally defined as the process of having the front end robot 108 remove a substrate from a cassette 106 resting in one of the pod assemblies 105 .
  • a cassette 106 containing one or more substrates “W”, is placed on the pod assembly 105 by the user or some external device (not shown) so that the substrates can be processed in the cluster tool 10 by a user-defined substrate processing sequence controlled by software retained in the system controller 101 .
  • the BARC coat step 510 is a step used to deposit an organic material over a surface of the substrate.
  • the BARC layer is typically an organic coating that is applied onto the substrate prior to the photoresist layer to absorb light that otherwise would be reflected from the surface of the substrate back into the photoresist during the exposure step 538 performed in the stepper/scanner 5 . If these reflections are not prevented, optical standing waves will be established in the photoresist layer, which cause feature size(s) to vary from one location to another depending on the local thickness of the photoresist layer.
  • the BARC layer may also be used to level (or planarize) the substrate surface topography, since surface topography variations are invariably present after completing multiple electronic device fabrication steps.
  • the BARC material fills around and over the features to create a flatter surface for photoresist application and reduces local variations in photoresist thickness.
  • the BARC coat step 510 is typically performed using a conventional spin-on photoresist dispense process in which an amount of the BARC material is deposited on the surface of the substrate while the substrate is being rotated, which causes a solvent in the BARC material to evaporate and thus causes the material properties of the deposited BARC material to change.
  • the air flow and exhaust flow rate in the BARC processing chamber is often controlled to control the solvent vaporization process and the properties of the layer formed on the substrate surface.
  • the post BARC bake step 512 is a step used to assure that all of the solvent is removed from the deposited BARC layer in the BARC coat step 510 , and in some cases to promote adhesion of the BARC layer to the surface of the substrate.
  • the temperature of the post BARC bake step 512 is dependent on the type of BARC material deposited on the surface of the substrate, but will generally be less than about 250° C.
  • the time required to complete the post BARC bake step 512 will depend on the temperature of the substrate during the post BARC bake step, but will generally be less than about 60 seconds.
  • the post BARC chill step 514 is a step used to assure that the time the substrate is at a temperature above ambient temperature is controlled so that every substrate sees the same time-temperature profile; thus process variability is minimized. Variations in the BARC process time-temperature profile, which is a component of a substrate's wafer history, can have an effect on the properties of the deposited film layer and thus is often controlled to minimize process variability.
  • the post BARC chill step 514 is typically used to cool the substrate after the post BARC bake step 512 to a temperature at or near ambient temperature. The time required to complete the post BARC chill step 514 will depend on the temperature of the substrate exiting the post BARC bake step, but will generally be less than about 30 seconds.
  • the photoresist coat step 520 is a step used to deposit a photoresist layer over a surface of the substrate.
  • the photoresist layer deposited during the photoresist coat step 520 is typically a light sensitive organic coating that is applied onto the substrate and is later exposed in the stepper/scanner 5 to form the patterned features on the surface of the substrate.
  • the photoresist coat step 520 is a typically performed using conventional spin-on photoresist dispense process in which an amount of the photoresist material is deposited on the surface of the substrate while the substrate is being rotated, thus causing a solvent in the photoresist material to evaporate and the material properties of the deposited photoresist layer to change.
  • the air flow and exhaust flow rate in the photoresist processing chamber is controlled to control the solvent vaporization process and the properties of the layer formed on the substrate surface. In some cases it may be necessary to control the partial pressure of the solvent over the substrate surface to control the vaporization of the solvent from the photoresist during the photoresist coat step by controlling the exhaust flow rate and/or by injecting a solvent near the substrate surface.
  • the substrate is first positioned on a spin chuck 1033 in a coater chamber 60 A.
  • a motor rotates the spin chuck 1033 and substrate while the photoresist is dispensed onto the center of the substrate. The rotation imparts an angular torque onto the photoresist, which forces the photoresist out in a radial direction, ultimately covering the substrate.
  • the post photoresist coat bake step 522 is a step used to assure that most, if not all, of the solvent is removed from the deposited photoresist layer in the photoresist coat step 520 , and in some cases to promote adhesion of the photoresist layer to the BARC layer.
  • the temperature of the post photoresist coat bake step 522 is dependent on the type of photoresist material deposited on the surface of the substrate, but will generally be less than about 250° C.
  • the time required to complete the post photoresist coat bake step 522 will depend on the temperature of the substrate during the post photoresist bake step, but will generally be less than about 60 seconds.
  • the post photoresist chill step 524 is a step used to control the time the substrate is at a temperature above ambient temperature so that every substrate sees the same time-temperature profile and thus process variability is minimized. Variations in the time-temperature profile can have an affect on properties of the deposited film layer and thus is often controlled to minimize process variability.
  • the temperature of the post photoresist chill step 524 is thus used to cool the substrate after the post photoresist coat bake step 522 to a temperature at or near ambient temperature.
  • the time required to complete the post photoresist chill step 524 will depend on the temperature of the substrate exiting the post photoresist bake step, but will generally be less than about 30 seconds.
  • the optical edge bead removal (OEBR) step 536 is a process used to expose the deposited light sensitive photoresist layer(s), such as the layers formed during the photoresist coat step 520 and the BARC layer formed during the BARC coat step 510 , to a radiation source (not shown) so that either or both layers can be removed from the edge of the substrate and the edge exclusion of the deposited layers can be more uniformly controlled.
  • the wavelength and intensity of the radiation used to expose the surface of the substrate will depend on the type of BARC and photoresist layers deposited on the surface of the substrate.
  • An OEBR tool can be purchased, for example, from USHIO America, Inc. Cypress, Calif.
  • the exposure step 538 is a lithographic projection step applied by a lithographic projection apparatus (e.g., stepper scanner 5 ) to form a pattern which is used to manufacture integrated circuits (ICs).
  • the exposure step 538 forms a circuit pattern corresponding to an individual layer of the integrated circuit (IC) device on the substrate surface, by exposing the photosensitive materials, such as, the photoresist layer formed during the photoresist coat step 520 and the BARC layer formed during the BARC coat step 510 (photoresist) of some form of electromagnetic radiation.
  • the stepper/scanner 5 which may be purchased from Cannon, Nikon, or ASML.
  • the post exposure bake (PEB) step 540 is a step used to heat a substrate immediately after the exposure step 538 in order to stimulate diffusion of the photoactive compound(s) and reduce the effects of standing waves in the photoresist layer.
  • the PEB step also causes a catalyzed chemical reaction that changes the solubility of the photoresist.
  • the control of the temperature during the PEB is critical to critical dimension (CD) control.
  • the temperature of the PEB step 540 is dependent on the type of photoresist material deposited on the surface of the substrate, but will generally be less than about 250° C.
  • the time required to complete the PEB step 540 will depend on the temperature of the substrate during the PEB step, but will generally be less than about 60 seconds.
  • the post exposure bake (PEB) chill step 542 is a step used to assure that the time the substrate is at a temperature above ambient temperature is controlled, so that every substrate sees the same time-temperature profile and thus process variability is minimized. Variation in the PEB process time-temperature profile can have an effect on properties of the deposited film layer and thus is often controlled to minimize process variability.
  • the temperature of the post PEB chill step 542 is thus used to cool the substrate after the PEB step 540 to a temperature at or near ambient temperature.
  • the time required to complete the post PEB chill step 542 will depend on the temperature of the substrate exiting the PEB step, but will generally be less than about 30 seconds.
  • the develop step 550 is a process in which a solvent is used to cause a chemical or physical change to the exposed or unexposed photoresist and BARC layers to expose the pattern formed during the exposure step 538 .
  • the develop process may be a spray or immersion or puddle type process that is used to dispense the developer solvent.
  • a rinse step may be performed to rinse the solvent material from the surface of the substrate.
  • the rinse solution dispensed on the surface of the substrate may contain deionized water and/or a surfactant.
  • the insert the substrate in pod step 508 B is generally defined as the process of having the front end robot 108 return the substrate to a cassette 106 resting in one of the pod assemblies 105 .
  • FIG. 3B illustrates another embodiment in which a series of method steps 502 that may be used to perform a track lithographic process on the substrate surface.
  • the lithographic process in the method steps 502 contains all of the steps found in FIG. 3A , but replaces the BARC coat step 510 and post BARC bake step 512 with a hexamethyldisilazane (hereafter HMDS) processing step 511 and a post HMDS chill step 513 .
  • HMDS hexamethyldisilazane
  • the series of the method steps 502 may be rearranged, altered, one or more steps may be removed or two or more steps may be combined into a single step with out varying from the basic scope of the invention.
  • the HMDS processing step 511 generally contains the steps of heating the substrate to a temperature greater than about 125° C. and exposing the substrate to a process gas containing an amount of HMDS vapor for a short period of time (e.g., ⁇ 120 seconds) to prepare and dry the surface of the substrate to promote adhesion of the photoresist layer deposited later in the processing sequence. While the use of HMDS vapor is specifically described above as the chemical used in conjunction with the HMDS processing step 511 , the HMDS processing step 511 is meant to more generally describe a class of similar processes that may be utilized to prepare and dry the surface of the substrate to promote adhesion of the photoresist layer. Thus the use of the term HMDS in this specification is not intended to be limiting of the scope of the invention. In some cases the HMDS step is called a “vapor prime” steps.
  • the post HMDS chill step 513 controls the temperature of the substrate so that all substrates entering the photoresist processing step are at the same initial processing temperature. Variations in the temperature of the substrate entering the photoresist coat step 520 , can have a dramatic affect on properties of the deposited film layer and thus is often controlled to minimize process variability.
  • the temperature of the post HMDS chill step 513 is thus used to cool the substrate after the HMDS processing step 511 to a temperature at or near ambient temperature.
  • the time required to complete the post HMDS chill step 513 will depend on the temperature of the substrate exiting the HMDS processing step 511 , but will generally be less than about 30 seconds.
  • FIG. 3C illustrates another embodiment of a process sequence, or method steps 503 , that may be used to perform a track lithographic process on the substrate.
  • the lithographic process may generally contain a remove from pod 508 A step, a pre-BARC chill step 509 , a BARC coat step 510 , a post BARC bake step 512 , a post BARC chill step 514 , a photoresist coat step 520 , a post photoresist coat bake step 522 , a post photoresist chill step 524 , an anti-reflective top coat step 530 , a post top coat bake step 532 , a post top coat chill step 534 , an optical edge bead removal (OEBR) step 536 , an exposure step 538 , a post exposure bake (PEB) step 540 , a post PEB chill step 542 , a develop step 550 , a SAFIERTM (Shrink Assist Film for Enhanced Resolution) coat step 551
  • the lithographic process in the method steps 503 contains all of the steps found in FIG. 3A , and adds the anti-reflective top coat step 530 , the post top coat bake step 532 , the post top coat chill step 534 , a post develop bake step 552 , a post develop chill step 554 and the SAFIERTM coat step 551 .
  • the sequence of the method steps 503 may be re-arranged, altered, one or more steps may be removed or two or more steps may be combined into a single step with out varying from the basic scope of the invention.
  • the pre-BARC chill step 509 controls the temperature of the substrate so that all substrates entering the BARC processing step are at the same initial processing temperature. Variations in the temperature of the substrate entering the BARC coat step 510 , can have a dramatic affect on properties of the deposited film layer and thus is often controlled to minimize process variability.
  • the temperature of the pre-BARC step 509 is thus used to cool or warm the substrate transferred from the POD to a temperature at or near ambient temperature.
  • the time required to complete the pre-BARC chill step 509 will depend on the temperature of the substrates in the cassette 106 , but will generally be less than about 30 seconds.
  • the anti-reflective top coat step 530 or top anti-reflective coating process is a step used to deposit an organic material over the photoresist layer deposited during the photoresist coat step 520 .
  • the TARC layer is typically used to absorb light that otherwise would be reflected from the surface of the substrate back into the photoresist during the exposure step 538 performed in the stepper/scanner 5 . If these reflections are not prevented, optical standing waves will be established in the photoresist layer, which cause feature size to vary from one location to another on the circuit depending on the local thickness of the photoresist layer.
  • the TARC layer may also be used to level (or planarizing) the substrate surface topography, which is invariably present on the device substrate.
  • the anti-reflective top coat step 530 is a typically performed using conventional spin-on photoresist dispense process in which an amount of the TARC material is deposited on the surface of the substrate while the substrate is being rotated which causes a solvent in the TARC material to evaporate and thus densify the TARC layer.
  • the air flow and exhaust flow rate in the coater chamber 60 A is controlled to control the solvent vaporization process and the properties of the layer formed on the substrate surface.
  • the post top coat bake step 532 is a step used to assure that all of the solvent is removed from the deposited TARC layer in the anti-reflective top coat step 530 .
  • the temperature of the post top coat bake step 532 is dependent on the type of TARC material deposited on the surface of the substrate, but will generally be less than about 250° C.
  • the time required to complete the post top coat bake step 532 will depend on the temperature of the process run during the post top coat bake step, but will generally be less than about 60 seconds.
  • the post top coat chill step 534 is a step used to control the time the substrate is at a temperature above ambient temperature is controlled so that every substrate sees the same time-temperature profile and thus process variability is minimized. Variations in the TARC process time-temperature profile, which is a component of a substrates wafer history, can have an affect on the properties of the deposited film layer and thus is often controlled to minimize process variability.
  • the post top coat chill step 534 is typically used to cool the substrate after the post top coat bake step 532 to a temperature at or near ambient temperature. The time required to complete the post top coat chill step 534 will depend on the temperature of the substrate exiting the post top coat bake step 532 , but will generally be less than about 30 seconds.
  • the post develop bake step 552 is a step used to assure that all of the developer solvent is removed from the remaining photoresist layer after the develop step 550 .
  • the temperature of the post develop bake step 552 is dependent on the type of photoresist material deposited on the surface of the substrate, but will generally be less than about 250° C.
  • the time required to complete the post develop bake step 552 will depend on the temperature of the substrate during the post photoresist bake step, but will generally be less than about 60 seconds.
  • the post develop chill step 554 is a step used to control and assure that the time the substrate is at a temperature above ambient temperature is controlled so that every substrate sees the same time-temperature profile and thus process variability is minimized. Variations in the develop process time-temperature profile, can have an effect on properties of the deposited film layer and thus is often controlled to minimize process variability.
  • the temperature of the post develop chill step 554 is thus used to cool the substrate after the post develop bake step 552 to a temperature at or near ambient temperature.
  • the time required to complete the post develop chill step 554 will depend on the temperature of the substrate exiting the post develop bake step 552 , but will generally be less than about 30 seconds.
  • the SAFIERTM (Shrink assist film for enhanced resolution) coat step 551 is a process in which a material is deposited over the remaining photoresist layer after the develop step 550 and then baked in the post develop bake step 552 .
  • the SAFIERTM process is typically used to cause physical shrinkage of IC trench patterns, vias and contact holes with very little deterioration of the profile and also improve line edge roughness (LER).
  • the SAFIERTM coat step 551 is typically performed using conventional spin-on photoresist dispense process in which an amount of the SAFIERTM material is deposited on the surface of the substrate while the substrate is being rotated.
  • FIGS. 4A-J illustrate side views of one embodiment of a front end processing rack 52 , a first central processing rack 152 , a second central processing rack 154 , a rear processing rack 202 , a first rear processing rack 302 , a second rear processing rack 304 , a first processing rack 308 , a second processing rack 309 , a first central processing rack 312 , a second central processing rack 314 , a first rear processing rack 318 and a second rear processing rack 319 , that contain multiple substrate processing chambers to perform various aspects of the substrate processing sequence.
  • 4A-J may contain one or more process chambers, such as, one or more coater chambers 60 A, one or more developer chambers 60 B, one or more chill chambers 80 , one or more bake chambers 90 , one or more PEB chambers 130 , one or more support chambers 65 , one or more OEBR chambers 62 , one or more twin coater/developer chambers 350 , one or more bake/chill chambers 800 , and/or one or more HMDS chambers 70 , which are further described below.
  • the orientation, type, positioning and number of process chambers shown in the FIGS. 4A-J are not intended to be limiting as to the scope of the invention, but are intended to illustrate the various embodiments of the invention. In one embodiment, as shown in FIGS.
  • the process chambers are stacked vertically, or one chamber is positioned substantially above another chamber, to reduce the footprint of the cluster tool 10 .
  • the chambers stacked vertically so that the processing chambers are positioned in a horizontally staggered pattern, one chamber is positioned partially above another chamber, to help make more efficient use of the processing rack space when one or more chambers are different physical sizes.
  • the process chambers may be staggered vertically, the base of the process chambers do not share a common plane, and/or are horizontally staggered, where a side of a process chamber does not share a common plane with another process chamber. Minimizing the cluster tool footprint is often an important factor in developing a cluster tool, since the clean room space, where the cluster tool may be installed, is often limited and very expensive to build and maintain.
  • FIG. 4A illustrates a side view of the front end processing rack 52 as viewed from outside the cluster tool 10 and in front of the pod assemblies 105 when facing the central robot 107 and thus will coincide with the view shown in FIGS. 1A-B and FIGS. 2A-C .
  • the front end processing rack 52 contains four coater/developer chambers 60 (labeled CD 1 - 4 ), twelve chill chambers 80 (labeled C 1 - 12 ), six bake chambers 90 (labeled B 1 - 6 ) and/or six HMDS process chambers 70 (labeled P 1 - 6 ).
  • FIG. 4B illustrates a side view of the first central processing rack 152 as viewed from outside the cluster tool 10 while facing the central robot 107 and thus will coincide with the view shown in FIGS. 1A-B and FIGS. 2A-C .
  • the first central processing rack 152 contains twelve chill chambers 80 (labeled C 1 - 12 ) and twenty four bake chambers 90 (labeled B 1 - 24 ).
  • FIG. 4C illustrates a side view of the second central processing rack 154 as viewed from outside the cluster tool 10 while facing the central robot 107 and thus will coincide with the view shown in FIGS. 1A-B and FIGS. 2A-C .
  • the second central processing rack 154 contains four coater/developer chambers 60 (labeled CD 1 - 4 ) and four support chambers 65 (labeled S 1 - 4 ).
  • the four support chambers 65 are replaced with four coater/developer chambers 60 .
  • FIG. 4D illustrates a side view of the rear processing rack 202 as viewed from outside the cluster tool 10 while facing the central robot 107 and thus coincides with the views shown in FIGS. 1A-B and FIG. 2B .
  • the rear processing rack 202 contains four coater/developer chambers 60 (labeled CD 1 - 4 ), eight chill chambers 80 (labeled C 1 - 8 ), two bake chambers 90 (labeled B 1 - 24 ), four OEBR chambers 62 (labeled OEBR 1 - 4 ), and six PEB chambers 130 (labeled PEB 1 - 6 ).
  • FIG. 4E illustrates a side view of the first rear processing rack 302 as viewed from outside the cluster tool 10 while facing the rear robot 109 and thus will coincide with the view shown in FIG. 2C .
  • the first rear processing rack 302 contains four coater/developer chambers 60 (labeled CD 1 - 4 ), eight chill chambers 80 (labeled C 1 - 8 ), two bake chambers 90 (labeled B 1 - 24 ), four OEBR chambers 62 (labeled OEBR 1 - 4 ), and six PEB chambers 130 (labeled PEB 1 - 6 ).
  • FIG. 4F illustrates a side view of the second rear processing rack 304 as viewed from outside the cluster tool 10 while facing the rear robot 109 and thus will coincide with the view shown in FIG. 2C .
  • the second rear processing rack 304 contains four coater/developer chambers 60 (labeled CD 1 - 4 ) and four support chambers 65 (labeled S 1 - 4 ).
  • the four support chambers 65 are replaced with four coater/developer chambers 60 .
  • FIG. 4G illustrates a side view of the first processing rack 308 as viewed from outside the cluster tool 10 while facing the front end robot 108 and thus will coincide with the views shown in FIGS. 2F-G .
  • the first processing rack 308 contains twelve bake/chill chambers 800 (labeled BC 1 - 12 ) which are described below in conjunction with FIG. 18 .
  • FIG. 4H illustrates a side view of the second processing rack 309 as viewed from outside the cluster tool 10 while facing the front end robot 108 and thus will coincide with the view shown in FIGS. 2F-G .
  • the second processing rack 309 contains four coater/developer chambers 60 (labeled CD 1 - 4 ) and four support chambers 65 (labeled S 1 - 4 ).
  • the four support chambers 65 are replaced with four coater/developer chambers 60 .
  • FIG. 4I illustrates a side view of the first central processing rack 312 , or the first rear processing rack 318 , as viewed from outside the cluster tool 10 while facing the central robot 107 , or rear robot 109 , and thus will coincide with the views shown in FIGS. 2F-G .
  • FIG. 4I illustrates a side view of the first central processing rack 312 , or the first rear processing rack 318 , as viewed from outside the cluster tool 10 while facing the central robot 107 , or rear robot 109 , and thus will coincide with the views shown in FIGS. 2F-G .
  • FIG. 4I illustrates a side view of the first central processing rack 312 , or the first rear processing rack 318 , as viewed from outside the cluster tool 10 while facing the central robot 107 , or rear robot 109 , and thus will coincide with the views shown in FIGS. 2F-G .
  • FIG. 4I illustrates a side view of the first central processing rack 312 , or the first rear processing rack 318
  • the first central processing rack 312 or the first rear processing rack 318 , contains eight chill chambers 80 (labeled C 1 - 8 ), fourteen bake chambers 90 (labeled B 1 , B 2 , B 3 , B 5 , B 6 , B 7 , etc.), four OEBR chambers 62 (labeled OEBR 1 - 4 ), and six PEB chambers 130 (labeled PEB 1 - 6 ).
  • the first central processing rack 312 , or the first rear processing rack 318 may be arranged like the configuration illustrated in FIG. 4G , which contains twelve chill chambers 80 and twenty four bake chambers 90 .
  • FIG. 4J illustrates a side view of the second central processing rack 314 , or the second rear processing rack 319 , as viewed from outside the cluster tool 10 while facing the central robot 107 (or rear robot 109 ) and thus will coincide with the views shown in FIGS. 2F-G .
  • the second central processing rack 314 , or the second rear processing rack 319 contains four twin coater/developer chambers 350 , which contain four pairs of process chambers 370 that may be configured as coater chambers 60 A, as developer chambers 60 B or combinations thereof.
  • FIG. 4K illustrates a side view of the first processing rack 322 as viewed from outside the cluster tool 10 while facing the front end robot 108 and thus will coincide with the views shown in FIG. 2E .
  • the first processing rack 322 contains twelve bake/chill chambers 800 (labeled BC 1 - 12 ) which are described below in conjunction with FIGS. 18A-B .
  • the coater/developer chamber 60 is a processing chamber that may be adapted to perform, for example, the BARC coat step 510 , the photoresist coat step 520 , the anti-reflective top coat step 530 , the develop step 550 , and/or the SAFIERTM coat step 551 , which are shown in FIGS. 3A-C .
  • the coater/developer chamber 60 may generally be configured into two major types of chambers, a coater chamber 60 A, shown in FIG. 5A , and a developer chamber 60 B, shown in FIG. 5D (discussed below).
  • FIG. 5A is a vertical sectional view of one embodiment of the coater chamber 60 A, that may be adapted to perform the BARC coat step 510 , the photoresist coat step and the anti-reflective top coat step 530 .
  • the coater chamber 60 A may contain an enclosure 1001 , a gas flow distribution system 1040 , a coater cup assembly 1003 , and a fluid dispense system 1025 .
  • the enclosure 1001 generally contains side walls 1001 A, a base wall 1001 B, and a top wall 1001 C.
  • the coater cup assembly 1003 which contains the processing region 1004 in which the substrate “W” is processed, also contains a cup 1005 , a rotatable spin chuck 1034 and a lift assembly 1030 .
  • the rotatable spin chuck 1034 generally contains a spin chuck 1033 , a shaft 1032 and a rotation motor 1031 , and a vacuum source 1015 .
  • the spin chuck 1033 which is attached to the rotation motor 1031 through the shaft 1032 , contains a sealing surface 1033 A that is adapted to hold the substrate while the substrate is being rotated.
  • the substrate may be held to the sealing surface 1033 A by use of a vacuum generated by the vacuum source 1015 .
  • the cup 1005 manufactured from a material, such as, a plastic material (e.g., PTFE, PFA, polypropylene, PVDF, etc), a ceramic material, a metal coated with a plastic material (e.g., aluminum or SST coated with either PVDF, Halar, etc.), or other materials that is compatible with the processing fluids delivered from the fluid dispense system 1025 .
  • a plastic material e.g., PTFE, PFA, polypropylene, PVDF, etc
  • a ceramic material e.g., aluminum or SST coated with either PVDF, Halar, etc.
  • a metal coated with a plastic material e.g., aluminum or SST coated with either PVDF, Halar, etc.
  • the rotation motor 1031 is adapted to rotate a 300 mm semiconductor substrate between about 1 revolution per minute (RPM) and about 4000 RPM.
  • the lift assembly 1030 generally contains an actuator (not shown), such as an air cylinder or servomotor, and a guide (not shown), such as a linear ball bearing slide, which are adapted to raise and lower the rotatable spin chuck 1034 to a desired position.
  • the lift assembly 1030 is thus adapted to position the substrate mounted on the rotatable spin chuck 1034 in the cup 1005 during processing and also lift the substrate above the top of the cup 1005 A to exchange the substrate with an external robot (e.g., front end robot 108 , central robot 107 , rear robot 109 , etc. which is not shown) positioned outside the enclosure 1001 .
  • a robot blade 611 which is attached to the external robot, enters the enclosure 1001 through the access port 1002 formed in the side wall 1001 A.
  • the gas flow distribution system 1040 is adapted to deliver a uniform flow of a gas through the enclosure 1001 and coater cup assembly 1003 to the exhaust system 1012 .
  • the gas flow distribution system 1040 is a HEPA filter assembly which generally contains a HEPA filter 1041 and a filter enclosure 1044 .
  • the HEPA filter 1041 and filter enclosure 1044 form a plenum 1042 that allows the gas entering from the gas source 1043 to uniformly flow through the HEPA filter 1041 , the enclosure 1001 and the coater cup assembly 1003 .
  • the gas source 1043 is adapted to deliver a gas (e.g., air) at a desired temperature and humidity to the processing region 1004 .
  • the fluid dispense system 1025 generally contains one or more fluid source assemblies 1023 which deliver one or more solution to the surface of a substrate mounted on the spin chuck 1033 .
  • FIG. 5A illustrates a single fluid source assembly 1023 which contains a discharge nozzle 1024 , a supply tube 1026 , a pump 1022 , a filter 1021 , a suck back valve 1020 and a fluid source 1019 .
  • the support arm actuator 1028 is adapted to move the discharge nozzle 1024 and the dispense arm 1027 to a desired position so that a processing fluid can be dispensed from the discharge nozzle 1024 onto a desired position on the surface of the substrate.
  • the processing fluid may be delivered to the discharge nozzle 1024 by use of a pump 1022 .
  • the pump 1022 removes a processing fluid from the fluid source 1019 and discharges the processing fluid through the filter 1021 , suck back valve 1020 and discharge nozzle 1024 and onto the surface of the substrate.
  • the processing solution discharged from the discharge nozzle 1024 may be dispensed onto the substrate “W” while it is rotated by the spin chuck 1033 .
  • the suck back valve 1020 is adapted to draw back an amount of solution from the discharge nozzle 1024 after a desired amount of processing fluid is dispensed on the substrate to prevent dripping of unwanted material on the surface of the substrate.
  • the dispensed processing solution is spun off the edge of the substrate, collected by inner walls of the cup 1005 and diverted to a drain 1011 and ultimately a waste collection system 1010 .
  • FIG. 5B is a side view of another embodiment of the coater chamber 60 A, that may be adapted to perform, for example, the BARC coat step 510 , the photoresist coat step and the anti-reflective top coat step 530 .
  • the embodiment shown in FIG. 5B is adapted to form an enclosure around a substrate during one or more phases of the deposition steps to control the evaporation of the solvent from the surface of the material deposited on the substrate surface to improve the thickness uniformity process results.
  • thickness uniformity control in a typical spin-on type coating process relies on the control of the rotation speed of the substrate and exhaust flow rate to control the vaporization of the uniformity of the final deposited layer.
  • the control of thickness uniformity is dependent on the air flow across the substrate surface during the processing step.
  • the rotation speed during processing is commonly lowered as the diameter of the substrate processed in the coater chamber 60 A is increased due to the increased likelihood of aerodynamic variations across the surface of the substrate (e.g., transition from laminar to turbulent flow). It is believed that the aerodynamic variations arise due to the variation in air velocity as a function of substrate radius due to the “pumping effect” caused by the momentum imparted to the air from its interaction with the substrate surface.
  • One issue that arises is that the time it takes to complete the coat step depends on the ability to spread out and remove the required amount of solvent from the thinning photoresist layer, which is a function of the rotation speed of the substrate. The higher the rotation speed the shorter the processing time.
  • an enclosure is placed around the substrate to control the environment around the surface of the substrate to improve the thickness uniformity control for larger substrate sizes.
  • the improved uniformity control is believed to be due to the control of the vaporization of the solvent, since the enclosure formed around the substrate tends to prevent of gas flow across the surface of the substrate, and thus allows the photoresist to spread out before an appreciable amount of solvent has evaporated from the photoresist.
  • the coater chamber 60 A in this embodiment generally contains an enclosure 1001 , a gas flow distribution system 1040 , a coater cup assembly 1003 , an processing enclosure assembly 1050 , and a fluid dispense system 1025 .
  • the embodiment illustrated in FIG. 5B contains a number of components described above in reference to the coater chamber 60 A described in FIG. 5A and thus the reference numbers for the same or similar components have been reused in FIG. 5B for clarity.
  • the spin chuck 1033 illustrated in FIG. 5A is replaced, in this embodiment, by the enclosure coater chuck 1056 that has an enclosure coater chuck sealing surface 1056 A on which the substrate rests and a chuck base region 1056 B.
  • FIG. 5B illustrates the processing enclosure assembly 1050 in the processing position.
  • the enclosure lid 1052 is separated from the chuck base region 1056 B so that a substrate can be transferred to the enclosure coater chuck 1056 by use of a robot blade 611 attached to an external robot (e.g., front end robot 108 , central robot 107 , etc.).
  • the processing enclosure assembly 1050 which contains an enclosure lid 1052 and the chuck base region 1056 B which form a processing region 1051 around the substrate so that the processing environment can be controlled during different phases of the coating process.
  • the processing enclosure assembly 1050 generally contains an enclosure lid 1052 , the spin chuck 1033 , a rotation assembly 1055 , and a lift assembly 1054 .
  • the lift assembly 1054 generally contains a lift actuator 1054 A and lift mounting bracket 1053 which may be attached to a rotation assembly 1055 and a surface of the enclosure 1001 .
  • the lift actuator 1054 A generally contains an actuator (not shown), such as an air cylinder or DC servomotor, and a guide (not shown), such as a linear ball bearing slide, that are adapted to raise and lower all of the components contained in the processing enclosure assembly 1050 , except the spin chuck 1033 .
  • the rotation assembly 1055 generally contains one or more rotation bearings (not shown) and a housing 1055 A that are adapted to allow the enclosure lid 1052 to be rotated as the enclosure coater chuck 1056 is rotated.
  • the housing 1055 A is rotated as the spin chuck 1033 is rotated by the rotation motor 1031 , due to friction created by the contact between the enclosure lid 1052 and the chuck base region 1056 B.
  • the enclosure lid 1052 is attached to the rotation bearings through the lid shaft 1052 A.
  • the contact between the enclosure lid 1052 and the chuck base region 1056 B is initiated by the movement of the lift assembly 1030 , the lift assembly 1054 or both lift assemblies moving together.
  • the volume of the processing region 1051 is intended to be rather small to control the vaporization of a solvent from the photoresist on the surface of the substrate, for example, the gap between the enclosure lid 1052 and/or the chuck base region 1056 B to the substrate may be about 3 mm.
  • a photoresist material is delivered to the processing region 1051 through a tube (not shown) in a clearance hole (not shown) in the lid shaft 1052 A, while the enclosure lid 1052 and chuck base region 1056 B are in contact and the substrate is being rotated at a first rotational speed.
  • the photoresist will tend to spread out due to the centrifugal force effects caused by the rotation, but the photoresist's ability to change properties is restricted due to the formation of a solvent rich vapor over the surface of the substrate.
  • the enclosure lid 1052 and enclosure coater chuck 1056 may then be rotated at a second rotational speed until the photoresist is thinned to a desired thickness at which time the enclosure lid 1052 is lifted from the surface of the enclosure coater chuck 1056 , to allow the solvent remaining in the photoresist to escape and thus complete the final solvent vaporization process.
  • the photoresist is dispensed using a conventional extrusion dispense process (e.g., sweep a photoresist dispensing arm (not shown) across a stationary substrate), after which the substrate is enclosed in the processing enclosure assembly 1050 and rotated at a desired speed to achieve a uniform layer of a desired thickness. After the desired thickness has been achieved the enclosure lid 1052 is separated from the enclosure coater chuck 1056 to allow the complete vaporization of the solvent from the photoresist.
  • a conventional extrusion dispense process e.g., sweep a photoresist dispensing arm (not shown) across a stationary substrate
  • the enclosure lid 1052 is separated from the enclosure coater chuck 1056 to allow the complete vaporization of the solvent from the photoresist.
  • a plurality of holes 1052 B are formed in the outer wall of the enclosure lid 1052 to allow the excess photoresist to exit the processing region 1051 during processing.
  • air flow across the surface of the substrate is still prevented or minimized due to lack of an entry and/or exit points for the flowing air.
  • the pressure in the processing region 1051 will drop below ambient pressure.
  • the pressure in the processing region may be varied during different phases of the process to control the vaporization of the photoresist, by varying the rotation speed of the substrate, enclosure lid 1052 and enclosure coater chuck 1056 .
  • a solvent rich vapor is injected into the processing region 1051 through a hole in the lid shaft 1052 A during processing to control the final thickness and uniformity of the photoresist layer.
  • FIG. 5C illustrates one embodiment of the coater/developer chamber 60 , which contains a fluid distribution device 1070 that is adapted to deliver a fluid to the surface of the substrate during the coating process, to enhance the process uniformity results.
  • the fluid is a solvent found in the photoresist layer so that the evaporation process can be controlled.
  • the fluid distribution device 1070 may be raised and lowered relative to the substrate surface by use of a lift assembly 1074 so that an optimum gap between the fluid distribution device 1070 and the surface of the substrate can be achieved so that the surface of the deposited layer can be uniformly saturated with the dispensed fluid.
  • the gap is between about 0.5 mm and about 15 mm.
  • the lift assembly 1074 generally contains a lift actuator 1074 A and lift mounting bracket 1073 which may be attached to a showerhead assembly 1075 and a surface of the enclosure 1001 .
  • the lift actuator 1074 A generally contains an actuator (not shown), such as an air cylinder or DC servomotor, and a guide (not shown), such as a linear ball bearing slide, that are adapted to raise and lower all of the components contained in the fluid distribution device 1070 .
  • FIG. 5C illustrates the fluid distribution device 1070 in the processing position.
  • the fluid distribution device 1070 contains a showerhead assembly 1075 which forms a processing region 1071 between the substrate and the fluid distribution device 1070 so that the processing environment can be controlled during different phases of the coating process.
  • the fluid distribution device 1070 generally contains a showerhead assembly 1075 , a fluid source 1077 and a lift assembly 1074 .
  • the showerhead assembly 1075 generally contains a showerhead base 1072 , a shaft 1072 A and a showerhead plate 1072 D.
  • the shaft 1072 A is attached to the showerhead base 1072 and has a center hole 1072 B formed in the shaft to allow fluid delivered from the fluid source 1077 to flow into a plenum 1072 C formed within the showerhead base 1072 .
  • the showerhead plate 1072 D which is attached to the showerhead base 1072 , contains a plurality of holes 1072 F formed therein that connect the plenum 1072 C, and thus the fluid source 1077 , to the lower surface 1072 E of the showerhead plate 1072 D.
  • a processing fluid is dispensed from the fluid source 1077 into the center hole 1072 B, where it enters the plenum 1072 C and then flows through the plurality of holes 1072 F and into the processing region 1071 formed between the substrate and the lower surface 1072 E.
  • the hole size, number of holes and distribution of the plurality of holes 1072 F across the showerhead plate 1072 D are designed to uniformly deliver the processing fluid to the processing region 1071 .
  • the hole size, number of holes and distribution of the plurality of holes 1072 F across the showerhead plate 1072 D are unevenly spaced across the showerhead plate 1072 D to deliver a desired non-uniform distribution of a processing fluid to the processing region 1071 .
  • a non-uniform pattern may be useful to correct the thickness variations caused by aerodynamic or other effects that may cause thickness variations in the deposited photoresist layer.
  • the showerhead assembly 1075 contains a motor 1072 G and a rotary seal 1072 H that are adapted to rotate and deliver a processing fluid to the showerhead assembly 1075 during processing.
  • the rotary seal 1072 H may be a dynamic lip seal, or other similar device that are well known in the art.
  • FIGS. 6A-B are isometric views that illustrate one embodiment of a fluid source assembly 1023 , described above, that also contains an encapsulating vessel assembly 1096 .
  • the discharge nozzle 1024 is positioned over the vessel opening 1095 A (see FIG. 6A ) to form a controlled region in the environment region 1099 .
  • the discharge nozzle 1024 contains a nozzle body 1024 A that is configured to hold and support the supply tube 1026 so that the processing fluid can be cleanly and repeatably dispensed through the supply tube outlet 1026 A.
  • FIG. 6A illustrates a configuration where the discharge nozzle 1024 is separated from the encapsulating vessel assembly 1096 so that it can be rotated to dispense the processing fluid on the surface of the substrate.
  • the encapsulating vessel assembly 1096 generally contains one or more rinse nozzles 1090 , a vessel 1095 , a drain 1094 , and a vessel opening 1095 A.
  • the rinse nozzles 1090 which are connected to the tubing 1090 A, are in communication with one or more fluid delivery sources 1093 (two are shown in FIGS. 6A-B see items 1093 A-B).
  • the drain 1094 is generally connected to a waste collection system 1094 A
  • the discharge nozzle 1024 and supply tube outlet 1026 A are cleaned by use of one or more rinse nozzles 1090 that are attached to the fluid delivery sources 1093 which can deliver one or more cleaning solutions to the nozzles.
  • the cleaning solution is a solvent that can remove leftover photoresist leftover after completing a dispense process.
  • the number and orientation of the nozzles may be arranged so that all sides and surfaces of the discharge nozzle 1024 and supply tube outlet 1026 A are cleaned. After cleaning the remaining vapors retained in the environment region 1099 of the vessel 1095 may also be useful to prevent the processing fluid(s) retained in the supply tube 1026 from drying out.
  • the dispensed photoresist temperature is often tightly controlled since the properties and process results can be greatly affected by the temperature of dispensed photoresist.
  • the optimum dispense temperature may vary from one photoresist to another. Therefore, since the coater chamber 60 A may contain multiple fluid source assemblies 1023 to run different process recipes containing different photoresist materials, the temperature of the fluid source assemblies 1023 will each need to be independently controlled to assure desirable process results are consistently achieved.
  • Embodiments of the invention provide various hardware and methods for controlling the temperature of a photoresist before it is dispensed on the surface of a substrate during a coat or develop process.
  • the discharge nozzle 1024 contains a heat exchanging device 1097 that is adapted to heat and/or cool the nozzle body 1024 A, the supply tube 1026 and the processing fluid contained in the supply tube 1026 .
  • the heat exchanging device is a resistive heater that is adapted to control the temperature of the processing fluid.
  • the heat exchanging device 1097 is a fluid heat exchanger that is adapted to control the temperature of the processing fluid by use of a fluid temperature controlling device (not shown) that causes a working fluid to flow through the fluid heat exchanger to control the temperature of the processing fluid.
  • the heat exchanging device is a thermoelectric device that is adapted to heat or cool the processing fluid.
  • FIGS. 6A and 6B show the heat exchanging device 1097 in communication with the nozzle body 1024 A
  • other embodiments of the invention may include configurations where the heat exchanging device 1097 is in contact with the supply tube 1026 and/or the nozzle body 1024 A to effectively control the temperature of the processing fluid.
  • a length of the supply tube 1026 is temperature controlled by use of a second heat exchanger 1097 A to assure that all of the volume of the dispensed processing fluid retained in the supply tube inner volume 1026 B will be dispensed on the surface of the substrate during the next process step is at a desired temperature.
  • the second heat exchanger 1097 A may be an electric heater, a thermoelectric device and/or a fluid heat exchanging device, as described above.
  • the encapsulating vessel assembly 1096 is temperature controlled to assure that the temperature of the nozzle body 1024 A and processing fluid in the supply tube 1026 are maintained at a consistent temperature when the discharge nozzle 1024 is positioned over the vessel opening 1095 A (see FIG. 6B ).
  • the vessel 1095 can be heated or cooled by use of a vessel heat exchanging device 1098 that is attached to the walls of the vessel 1095 .
  • the vessel heat exchanging device 1098 may be an electric heater, a thermoelectric device and/or a fluid heat exchanging device, as described above, which in conjunction with the system controller 101 is used to thus control the temperature of the vessel 1095 .
  • the temperature of the rinse nozzles 1090 and connected to the tubing 1090 A are temperature controlled to assure that the cleaning solution sprayed on the discharge nozzle 1024 and supply tube outlet 1026 A are at desired temperature so the processing fluid in the supply tube 1026 is not heated or cooled during the clean process.
  • the position where the photoresist material is dispensed on the substrate surface is preferably tightly controlled.
  • the uniformity of the deposited photoresist layer can be affected by the position on the substrate surface at which the photoresist is dispensed. Therefore, it is common for the dispense arm 1027 position to be accurately controlled by use of an often expensive support arm actuator 1028 that is capable of precisely positioning the discharge nozzle 1024 .
  • various embodiments of the invention provide an apparatus and method that utilizes a single dispense arm 1027 that can be easily calibrated since there is only one arm to calibrate and also accurately control.
  • the multiple discharge nozzles 1024 found in the various fluid source assemblies 1023 are exchanged with the single dispense arm 1192 by use of shuttle assembly 1180 ( FIG. 7A ).
  • a dispense arm 1192 is adapted so that only one degree of freedom (e.g., a single linear direction (z-direction)) needs to be controlled. This configuration thus allows a more accurate and a repeatable control of the discharge nozzle 1024 position and reduces arm complexity, system cost, possible substrate scrap, and the need for calibration.
  • FIG. 7A is a plan view of one embodiment of a dispense arm system 1170 found in a coater chamber 60 A, that utilizes a dispense arm 1192 that has a single degree of freedom.
  • the dispense arm system 1170 will generally contain a dispense arm assembly 1190 , a shuttle assembly 1180 , and a carrier assembly 1160 .
  • the dispense arm assembly 1190 generally contains a dispense arm 1192 , a nozzle mounting position 1193 formed in or on the dispense arm 1192 , and an actuator 1191 .
  • a nozzle retaining feature 1194 is adapted to grasp the discharge nozzle 1024 when it is deposited on the nozzle mounting position 1193 by the shuttle assembly 1180 .
  • the nozzle retaining feature 1194 may be a spring loaded or pneumatically actuated device which grasps or interlocks with features on the discharge nozzle.
  • the actuator 1191 is, for example, an air cylinder or other device that is able to raise and lower the dispense arm 1192 .
  • the actuator 1191 also contains a linear guide (not shown) which helps to control the placement or movement of the dispense arm 1192 as it is moved from one position to the other.
  • the carrier assembly 1160 generally contains a nozzle support 1161 , two or more fluid source assembly 1023 that contains a discharge nozzle 1024 and supply tube 1026 (six discharge nozzle 1024 and fluid source assemblies 1023 are shown) and a rotary actuator (not shown).
  • the rotary actuator is adapted to rotate the nozzle support 1161 and all of the discharge nozzles 1024 and their associated supply tube 1026 to a desired position by use of commands from the system controller 101 .
  • the shuttle assembly 1180 is adapted to pick up a discharge nozzle 1024 from the carrier assembly 1160 and then rotate to transfer the discharge nozzle 1024 to the nozzle mounting position 1193 on the dispense arm 1192 .
  • the shuttle assembly 1180 generally contains an actuator assembly 1181 , a shuttle arm 1182 and a nozzle transfer feature 1183 .
  • the nozzle transfer feature 1183 is adapted to engage with or grasp the discharge nozzle 1024 so that it can be removed from the carrier assembly 1160 and transferred to nozzle mounting position 1193 and then returned from the nozzle mounting position 1193 to the carrier assembly 1160 after the process is complete.
  • the actuator assembly 1181 generally contains one or more actuators that are adapted to raise and lower the shuttle assembly 1180 and rotate the shuttle arm 1182 to a desired position.
  • the actuator assembly 1181 may contain, for example, one or more of the following devices to complete the lifting task tasks: an air cylinder, DC servo motor attached to a lead screw, a DC servo linear motor.
  • the actuator assembly 1181 may also contain, for example, one or more of the following devices to complete the rotational tasks: an air cylinder, a stepper motor or a DC servo motor.
  • the shuttle arm 1182 rotates from its home position (see item “A” in FIG. 7A ) to a position over the carrier assembly 1160 and then moves vertically until it reaches a nozzle pickup position (not shown).
  • the carrier assembly 1160 then rotates (see item “B”) so that the discharge nozzle 1024 engages with the nozzle transfer feature 1183 .
  • the shuttle arm 1182 then moves vertically to separate the discharge nozzle 1024 from the carrier assembly 1160 and then rotates until the discharge nozzle 1024 is positioned over the nozzle mounting position 1193 in dispense arm 1192 .
  • the shuttle arm 1182 moves vertically until it deposits the discharge nozzle 1024 on the nozzle mounting position 1193 .
  • the shuttle arm 1182 then moves vertically and then rotates back to the home position (see item “A”).
  • the actuator 1191 in the dispense arm assembly 1190 then moves the discharge nozzle to a desired position over the surface of the substrate (see item “W”), so that the substrate processing step can begin.
  • the steps are followed in reverse.
  • FIG. 7B illustrates another embodiment of the dispense arm system 1170 , where the dispense arm assembly 1190 has two degrees of freedom, such as, a rotational degree of freedom, or a single linear degree of freedom (x-direction), and a vertical degree of freedom (z-direction).
  • the dispense arm assembly 1190 which was a part of the embodiment shown in FIG. 7A , is not a part of the dispense arm system 1170 illustrated in FIG. 7B , thus reducing the complexity of the coater chamber 60 A.
  • a nozzle retaining feature 1184 is adapted to grasp or retain the discharge nozzle 1024 when it is positioned in the nozzle transfer feature 1183 .
  • FIG. 7B also illustrates another possible configuration of the nozzle retaining feature 1184 that may be useful for holding and transferring the discharge nozzle 1024 .
  • the shuttle arm 1182 rotates from its home position (see item “A” in FIG. 7B ) to a position over the carrier assembly 1160 and then moves vertically until it reaches a nozzle pickup position (not shown).
  • the carrier assembly 1160 then rotates (see item “B”) so that the discharge nozzle 1024 engages with the nozzle transfer feature 1183 .
  • the shuttle arm 1182 then moves vertically to separate the discharge nozzle 1024 from the carrier assembly 1160 and then rotates until the discharge nozzle 1024 is positioned over a desired position over the surface of the substrate.
  • the shuttle arm 1182 moves vertically until it reaches a desired position over the surface of the substrate (se item “W”), so that the substrate processing step can begin.
  • the steps are followed in reverse.
  • the carrier assembly 1160 may contain a plurality of encapsulating vessel assemblies 1096 (not shown in FIGS. 7A-B (see FIGS. 6A-B )) which are temperature controlled to assure that the temperature of the nozzle body 1024 A and processing fluid in the supply tube 1026 are maintained at a consistent temperature while they are waiting to be transferred to the shuttle assembly 1180 and brought over the surface of the substrate.
  • FIG. 5D is a side view of one embodiment of the developer chamber 60 B, that may be adapted to perform, for example, the develop step 550 , and the SAFIERTM coat step 551 .
  • the developer chamber 60 B generally contains all of the components contained in the coater chamber 60 A and thus some components of the developer chamber 60 B that are the same or similar to those described with reference to the developer chamber 60 B, have the same numbers. Accordingly, like numbers have been used where appropriate.
  • the developer chamber 60 B contains a fluid distribution device 1070 , described above, is adapted to deliver a uniform flow of a developer processing fluid to the surface of the substrate during the developing process.
  • the hole size, number of holes and distribution of the plurality of holes 1072 F are designed to uniformly deliver the developer processing fluid to the processing region 1071 formed between the substrate and the bottom surface of the fluid distribution device 1070 .
  • the hole size, number of holes and distribution of the plurality of holes 1072 F are designed to deliver a non-uniform distribution of a developer processing fluid to the processing region 1071 formed between the substrate and the bottom surface of the fluid distribution device 1070 .
  • FIG. 8A is a side view of one embodiment of the developer chamber 60 B that contains a developer endpoint detector assembly 1400 .
  • the developer endpoint detector assembly 1400 uses a laser and one or more detectors to perform a scatterometry type technique to determine the endpoint of the develop step 550 .
  • a single wavelength of emitted radiation, or beam, (see item “A”) from a laser 1401 impinges on the surface of the substrate, having an exposed photoresist layer thereon, at an angle that is less than normal to the surface of the substrate.
  • the beam “A” is reflected from the surface of the substrate and the intensity of the reflected radiation “B” is detected by a detector 1410 .
  • the detector 1410 is oriented to receive the primary reflection from the surface and thus is aligned with the incident beam (e.g., same angle relative to the surface and the same direction). Due to the interference between the impinging beam and the pattern formed in the photoresist during the exposure step 538 , the intensity of the detected radiation will vary as the develop step 550 progresses. The variation in the intensity of the reflected radiation is created when the developer dissolves the soluble portions of the photoresist during the develop step 550 , thus causing a “grating” type pattern to emerge which thus increasingly interferes with the impinging beam. Therefore, the interference with the photoresist pattern causes scattering of the impinging beam, which causes a reduction in the main reflection that is detected. In one embodiment, the endpoint is detected when the change in the reflected intensity measured by the detector 1410 asymptotically approaches zero.
  • the area on the surface of the substrate, on which the beam emitted from the laser 1401 is projected, is defined as the detection area.
  • the size of the detection area is varied or controlled so that the amount of noise contained in the detected signal is minimized. Noise in the detected signal can be generated due to the variation in the pattern topology seen by the detection area during processing.
  • a tunable laser is used in place of a single wavelength laser to more easily detect the change in the sharpness of the photoresist pattern as the develop process progresses.
  • the amount of interference will depend on the size of the formed “grating” and the wavelength of the incident radiation.
  • a plurality of detectors that are able to detect the primary reflection and the amount of scattered radiation to help determine the develop endpoint.
  • a CCD (charge coupled device) array is used to monitor the scattering and shift in intensity of the reflected radiation.
  • a slit may be used to prevent the reflection from reaching the detector.
  • the steps shown in FIG. 8B may be used.
  • the process steps include measuring the initial intensity of the scattered radiation prior to performing the develop step 550 (item # 1480 ). The intensity is then measured during the develop process and compared to the initial data so that the contribution from the pattern present on the substrate surface (item # 1482 ). This method may only be needed if the photoresist profile is desired. If noting that the intensity changes over the develop processing period are all that is desired, then the use of a single wavelength is all that is needed and the information regarding the underlying scattering generally is not needed.
  • active correction (item# 1484 in FIG. 8C ) for the possibly variable refraction at the developer surface is needed.
  • the active correction adjusts for the variation in the developer fluid surface due to external vibrations, and works by having multiple small mirrors (items 1425 - 27 ) that adjust in position to compensate for the change in angle.
  • FIG. 8C illustrates one such mirror, with knowledge of the change in the refraction of the incident beam “A” obtained via input from a perpendicular beam (item “C”), also shown.
  • the normal reflection of the laser beam (item “C”) from laser 1451 is detected in detector 1453 , by use of beam splitter 1452 .
  • the detector 1453 can be a CCD array that is able to sense the change in angle of the reflected beam due to the change in the angle with which the beam “C” strikes the surface of the developer fluid.
  • the system controller 101 in conjunction with the CCD array is able to detect a change in the position of the peak intensity on the CCD array and thus know how much the reflection angle has changed so that the angle of the active mirrors 1425 - 1427 can be adjusted and thus the position of the reflected beam “B” can be sent to one or more of the detectors 1410 - 1412 .
  • Momentary deviation in the spatial position of this reflection should correlate well with deviations in the developer fluid surface. Therefore, by use of a suitable control system the detected variation in position of the reflected beam, through the use of actively positioned mirrors (items 1425 - 1427 ), a spatial correction to the reflected beams can be made.
  • the active mirrors 1425 - 1427 can be small and compact, such as used on the micromirror chip available from TI in Dallas, Tex. They are shown more widely separated in FIG. 8C for clarity. The active mirrors are designed to compensate for variation the developer surface leading to beam deflection as described above.
  • FIGS. 9A-B are plan views of one embodiment of a twin coater/developer chamber 350 that contains two separate process chambers 370 and a central region 395 .
  • This configuration is advantageous since it allows some common components in the two chambers to be shared, thus increasing system reliability and reducing the system cost, complexity and footprint of the cluster tool.
  • the process chamber 370 generally contains all of the processing components described above in conjunction with the coater chamber 60 A or developer chamber 60 B, except the two chambers are adapted to share a fluid dispense system 1025 .
  • the central region 395 contains a shutter 380 and a plurality of nozzles 391 that are contained in a nozzle holder assembly 390 .
  • the fluid dispense system 1025 used in the coater or developer chambers may contain one or more fluid source assemblies 1023 which deliver one or more processing fluid to the surface of a substrate mounted on the spin chuck 1033 .
  • Each nozzle 391 contained in the fluid source assemblies 1023 , is typically connected to a supply tube 1026 , a pump 1022 , a filter 1021 , a suck back valve 1020 and a fluid source 1019 , and is adapted to dispense a single type of processing fluid. Therefore, each fluid source assembly 1023 can be used in either the left or right process chambers 370 , thus reducing the redundancy required to in each processing chamber. While FIGS.
  • FIG. 9A-B illustrates a configuration where the nozzle holder assembly 390 contains five nozzles 391 , in other embodiments the nozzle holder assembly 390 may contain a lesser number of nozzles or a greater number of nozzles without varying form the basic scope of the invention.
  • FIG. 9A is a plan view of the twin coater/developer chamber 350 where the nozzle arm assembly 360 is positioned over the right process chamber 370 to dispense a processing fluid on a substrate “W” retained on the spin chuck 1033 .
  • the nozzle arm assembly 360 may contain an arm 362 and nozzle holding mechanism 364 .
  • the nozzle arm assembly 360 is attached to an actuator 363 that is adapted to transfer and position the nozzle arm assembly 360 in any position along the guide mechanism 361 .
  • the actuator is adapted to move the nozzle arm assembly 360 vertically to correctly position the nozzle 391 over the substrate during processing and also enable the nozzle holding mechanism 364 to pick-up and drop-off the nozzles 391 from the nozzle holder assembly 390 .
  • the system controller 101 is adapted to control the position of the nozzle arm assembly 360 so that the nozzle holding mechanism 364 can pick-up and drop-off nozzles 391 from the nozzle holder assembly 390 .
  • a shutter 380 is adapted to move vertically to close and isolate one process chamber 370 from the central region 395 and thus the other process chamber 370 during processing to prevent cross contamination of the substrates during processing.
  • the shutter 380 is adapted to sealably isolate one process chamber 370 from the central region 395 and thus the other process chamber 370 during processing. Conventional o-ring and/or other lip seals may be used to allow the shutter to sealably isolate the two processing chambers.
  • FIG. 9B is a plan view of the twin coater/developer chamber 350 where the nozzle arm assembly 360 is positioned over the left process chamber 370 to dispense a processing fluid on a substrate retained on the spin chuck 1033 .
  • the twin coater/developer chamber 350 contains two nozzle arm assemblies 360 which are adapted to access the nozzles 391 in the central region 395 and position a nozzle over the surface of the substrate.
  • each process chamber could process two substrates using the same processing fluid by sharing the pump and dispensing from two different nozzles 391 , or two different processing fluids could be dispensed in each of the chambers.
  • FIG. 10A is a vertical sectional view that illustrates one embodiment of a chill chamber 80 that may be adapted to perform the post BARC chill step 514 , the post photoresist chill step 524 , the post top coat chill step 534 , the post PEB chill step 542 and/or the post develop chill step 554 .
  • the chill chamber 80 generally contains an enclosure 86 , chill plate assembly 83 , a support plate 84 , and a lift assembly 87 .
  • the enclosure 86 is formed by a plurality of walls (items 86 B-D and item 85 ) which isolate the processes performed in the chill chamber 80 from the surrounding environment to form a processing region 86 A.
  • the enclosure is adapted to thermally isolate and minimize the possibility of atmospheric contamination in the chill chamber 80 .
  • the chill plate assembly 83 generally contains a heat exchanging device 83 A and a chill plate block 83 B.
  • the chill plate block 83 B is a thermally conductive block of material that is cooled by the heat exchanging device 83 A to perform the various chill processes described above (e.g., pre-BARC chill step 509 , post BARC chill step 514 , post photoresist chill step 524 , etc.).
  • the chill plate block 83 B is thermally conductive to improve temperature uniformity during processing.
  • the chill plate block 83 B may be made from aluminum, graphite, aluminum-nitride, or other thermally conductive material.
  • the chill plate block 83 B surface which is in contact with the substrate “W” is coated with a Teflon impregnated anodized aluminum, silicon carbide or other material that can minimize particle generation on the backside of the substrate as it comes in contact with the chill plate block 83 B.
  • the substrate “W” rests on pins (not shown) embedded in the surface of the chill plate block 83 B so that only a small gap is maintained between the substrate and the chill plate block 83 B to reduce particle generation.
  • pins not shown
  • the heat exchanging device 83 A consists of a plurality of channels 83 C formed in a surface of the chill plate block 83 B, which are temperature controlled by use of a heat exchanging fluid that continually flows through the channels 83 C.
  • a fluid temperature controller (not shown) is adapted to control the heat exchanging fluid and thus the chill plate block 83 B temperature.
  • the heat exchanging fluid may be, for example, a perfluoropolyether (e.g., Galden®) that is temperature controlled to a temperature between about 5° C. and about 20° C.
  • the heat exchanging fluid may also be chilled water delivered at a desired temperature between about 5° C. to about 20° C.
  • the heat exchanging fluid may also be a temperature controlled gas, such as argon or nitrogen.
  • the heat exchanging device 83 A is adapted to heat and cool the substrate resting on the surface of the chill plate block 83 B.
  • This configuration may be advantageous since the time required to achieve a desired process set point temperature is dependent on the temperature differential between the substrate and the chill plate block 83 B.
  • the chill plate block 83 B is set to a fixed temperature and it is desired that the substrate be cooled to that fixed temperature it will take a very long time to cool the last few degrees to reach the fixed temperature due to the small temperature differential between the substrate and the chill plate block 83 B.
  • the time to achieve a desired temperature can be reduced if the temperature of the chill plate block 83 B is actively controlled so that a large temperature differential is maintained between the substrate and the chill plate block 83 B until the substrate temperature is at or near the desired set point temperature and then the temperature of the chill plate block 83 B is adjusted to minimize the amount of undershoot or overshoot in temperature of the substrate.
  • the temperature of the chill plate block 83 B is controlled by use of a conventional temperature sensing device (e.g., thermocouple; (not shown)) that is used in conjunction with the system controller 101 to vary the amount of energy removed from or delivered to the chill plate block 83 B by the heat exchanging device 83 A.
  • the heat exchanging device 83 A has the ability to both heat and cool the chill plate block 83 B.
  • the heat exchanging device 83 A is a thermoelectric device that is used to cool and/or heat the chill plate block 83 B.
  • the heat exchanging device 83 A is a heat pipe design, described below in conjunction with the PEB chamber 130 , which is adapted to heat and cool the substrate. In one embodiment, it may also be advantageous to minimize the mass and/or increase the thermal conductivity of the chill plate block 83 B to improve the ability to control the substrate temperature.
  • the support plate 84 is generally a plate that supports the chill plate assembly 83 and insulates it from the base 85 .
  • the support plate 84 may be made from a thermally insulating material such as a ceramic material (e.g., zirconia, alumina, etc.) to reduce external heat loss or gain.
  • the lift assembly 87 generally contains a lift bracket 87 A, an actuator 87 B, a lift pin plate 87 C, and three or more lift pins 87 D (only two are shown in FIG. 10A ), which are adapted to raise and lower the substrate “W” off an extended robot blade (not shown) and place the substrate on the surface of the chill plate block 83 B once the robot blade has been retracted.
  • the robot blade (not shown) is adapted to enter the chill chamber 80 through an opening 88 in the side wall 86 D of the enclosure 86 .
  • the robot is calibrated to pick up and drop off a substrate from a transfer position, which is typically aligned to a center point between the lift pins.
  • a transfer position which is typically aligned to a center point between the lift pins.
  • three lift pins which move through the lift pin holes 89 in the base 85 , support plate 84 , and chill plate assembly 83 , are adapted to raise and lower the substrate by use of the actuator 87 B.
  • the actuator may be an air cylinder or other conventionally available means of raising and lowering the substrate.
  • FIG. 10B is a side view that illustrates one embodiment of a bake chamber 90 that may be adapted to perform the post BARC bake step 512 , the post photoresist coat bake step 522 , the post top coat bake step 532 and/or the post develop bake step 552 .
  • the bake chamber 90 generally contains an enclosure 96 , bake plate assembly 93 , a support plate 94 , and a lift assembly 97 .
  • the enclosure 96 generally contains a plurality of walls (items 96 B-D and element 95 ) which tend to isolate the processes performed in the bake chamber 90 from the surrounding environment to form a processing region 96 A.
  • the enclosure is adapted to thermally isolate and minimize contamination of the bake chamber 90 from the surrounding environment.
  • the bake plate assembly 93 generally contains a heat exchanging device 93 A and a bake plate block 93 B.
  • the bake plate block 93 B is a thermally conductive block of material that is heated by the heat exchanging device 93 A to perform the various bake processes described above (e.g., post BARC bake step 512 , post photoresist coat bake step 522 , etc.).
  • the bake plate block 93 B is thermally conductive to improve temperature uniformity during processing.
  • the bake plate block 93 B may be made from aluminum, graphite, aluminum-nitride, or other thermally conductive material.
  • the bake plate block 93 B surface which is in contact with the substrate “W” is coated with a Teflon impregnated anodized aluminum, silicon carbide or other material that can minimize particle generation on the backside of the substrate as it comes in contact with the bake plate block 93 B.
  • the substrate “W” rests on pins (not shown) embedded in the surface of the bake plate block 93 B so that only a small gap is maintained between the substrate and the bake plate block 93 B to reduce particle generation.
  • the heat exchanging device 93 A is a thermoelectric device that is used to heat the bake plate block 93 B. In another embodiment, as shown in FIG.
  • the heat exchanging device 93 A consists of a plurality of channels 93 C formed in a surface of the bake plate block 93 B, which are temperature controlled by use of a heat exchanging fluid that continually flows through the channels 93 C.
  • a fluid temperature controller (not shown) is adapted to control the heat exchanging fluid and thus the bake plate block 93 B temperature.
  • the heat exchanging fluid may be, for example, a perfluoropolyether (e.g., Galden®) that is temperature controlled to a temperature between about 30° C. and about 250° C.
  • the heat exchanging fluid may also be a temperature controlled gas, such as argon or nitrogen.
  • the support plate 94 is generally a plate that supports the bake plate assembly 93 and insulates it from the base 95 .
  • the support plate 94 may be made from a thermally insulating material such as a ceramic material (e.g., zirconia, alumina, etc.) to reduce external heat loss.
  • the lift assembly 97 generally contains a lift bracket 97 A, an actuator 97 B, a lift pin plate 97 C, and three or more lift pins 97 D (only two are shown in FIG. 10B ), which are adapted to raise and lower the substrate “W” off an extended robot blade (not shown) and place the substrate on the surface of the bake plate block 93 B once the robot blade has been retracted.
  • three lift pins which move through the lift pin holes 99 in the base 95 , support plate 94 , and bake plate assembly 93 , are adapted to raise and lower the substrate by use of the actuator 97 B.
  • the actuator may be an air cylinder or other conventionally available means of raising and lowering the substrate.
  • the robot blade (not shown) is adapted to enter the bake chamber 90 through an opening 98 in the side wall 96 D of the enclosure 96 .
  • FIG. 10C is a side view that illustrates one embodiment of a HMDS process chamber 70 that may be adapted to perform the HMDS processing step 511 .
  • the HMDS process chamber 70 contains some of the components contained in the bake chamber 90 shown in FIG. 10B and thus some components of the HMDS process chamber 70 are the same or similar to those described with reference to the bake chamber 90 , described above. Accordingly, like numbers have been used where appropriate.
  • the HMDS process chamber 70 also contains a lid assembly 75 that is used to form a sealed processing region 76 in which the processing gas is delivered to the substrate “W” which is heated by the HMDS bake plate assembly 73 .
  • the HMDS bake plate assembly 73 generally contains a heat exchanging device 73 A and a HMDS bake plate block 73 B.
  • the HMDS bake plate block 73 B is a thermally conductive block of material that is heated by the heat exchanging device 73 A to perform the various HMDS processing steps described above.
  • the HMDS bake plate block 73 B is thermally conductive to improve temperature uniformity during processing.
  • the HMDS bake plate block 73 B may be made from aluminum, graphite, aluminum-nitride, or other thermally conductive material.
  • the HMDS bake plate block 73 B surface which is in contact with the substrate “W” is coated with a Teflon impregnated anodized aluminum, silicon carbide or other material that can minimize particle generation on the backside of the substrate as it comes in contact with the HMDS bake plate block 73 B.
  • the substrate “W” rests on pins (not shown) embedded in the surface of the HMDS bake plate block 73 B so that only a small gap is maintained between the substrate and the HMDS bake plate block 73 B to reduce particle generation.
  • the heat exchanging device 73 A is a thermoelectric device that is used to heat the HMDS bake plate block 73 B.
  • the heat exchanging device 73 A consists of a plurality of channels 73 C formed in a surface of the HMDS bake plate block 73 B, which are temperature controlled by use of a heat exchanging fluid that continually flows through the channels 73 C.
  • a fluid temperature controller (not shown) is adapted to control the heat exchanging fluid and thus the HMDS bake plate block 73 B temperature.
  • the heat exchanging fluid may be, for example, a perfluoropolyether (e.g., Galden®) that is temperature controlled to a temperature between about 30° C. and about 250° C.
  • the heat exchanging fluid may also be a temperature controlled gas, such as, argon or nitrogen.
  • the lid assembly 75 generally contains a lid 72 A, one or more o-ring seals 72 C and an actuator assembly 72 .
  • the actuator assembly 72 generally contains an actuator 72 B and an o-ring seal 72 D.
  • the o-ring seal 72 D is designed to isolate the HMDS processing region 77 from the environment outside of the HMDS process chamber 70 .
  • the actuator 72 B is generally adapted to raise and lower the lid 72 A so that a substrate can be transferred to and from the lift pins 97 D in the lift assembly 97 .
  • the lid 72 A is adapted to form a seal between the HMDS base 74 using the o-ring seal 72 D retained in the lid 72 A (or on the HMDS base 74 ) to form the processing region 76 and prevent the process gases used during the HMDS processing step 511 from escaping into the HMDS processing region 77 .
  • the process gas delivery system 71 delivers the process gas(es) to the processing region 76 to perform the HMDS processing step 511 .
  • an HMDS vaporization system 71 A delivers the HMDS vapor and a carrier gas to the processing region through an isolation valve 71 B and through the inlet 71 F formed in the HMDS base 74 , across the surface of the substrate, and out the outlet 71 G formed in the HMDS base 74 , to a scrubber 71 E.
  • a purge gas is delivered to the processing region 76 from a purge gas source 71 C after the HMDS vapor containing processing gas has been delivered to the processing region to remove any leftover HMDS vapor.
  • the purge gas source 71 C may be isolated from the HMDS vaporization system 71 A by use of an isolation valve 71 D.
  • the purge gas delivered from the purge gas source 71 C is heated or cooled by use of a conventional gas heat exchanging means (not shown) to control the temperature of the injected purge gas.
  • an insoluble photoresist material is transformed into a soluble material.
  • components in the photoresist that contain photoacid generators (or PAGs) generate an organic acid that can attack the unexposed areas of the photoresist and affect the sharpness of the pattern formed in the photoresist layer during the exposure process.
  • the attack of the unexposed photoresist is thus affected by the migration of the generated photoacid, which is a diffusion dominated process. Since the photoacid attack of the formed pattern is a diffusion dominated process, the rate of attack is dependent on two related variables, time and temperature. The control of these variables are thus important in assuring that the critical dimension (CD) uniformity is acceptable and consistent from substrate to substrate.
  • CD critical dimension
  • the PEB step 540 is performed in a bake chamber 90 as shown in FIG. 10B .
  • the PEB step 540 is performed in a HMDS process chamber 70 where a temperature controlled gas is delivered from the purge gas source 71 C to the processing region 76 , to heat or cool the substrate retained on the HMDS bake plate assembly 73 .
  • the PEB step 540 is performed in a PEB chamber 130 .
  • FIG. 10D illustrates a side view of the PEB chamber 130 in which the processing region 138 and mass of the PEB plate assembly 133 are optimized to improve thermal uniformity, allow rapid changes in temperature, and/or improve process repeatability.
  • the PEB plate assembly utilizes a low thermal mass PEB plate assembly 133 and a heat exchanging source 143 to rapidly heat up and/or cool down a substrate that is in communication with the top surface 133 F of the PEB plate assembly 133 .
  • the PEB plate assembly 133 will generally contain a substrate supporting region 133 B that has a top surface 133 F on which the substrate may rest, a heat exchanging region 133 A, and a base region 133 C.
  • the temperature of the substrate supporting region 133 B is controlled by use of a temperature sensing device (not shown) that is used in conjunction with the system controller 101 to vary the amount of energy delivered to the PEB plate assembly 133 by the heat exchanging region 133 A.
  • the heat exchanging region 133 A is a region enclosed between the substrate supporting region 133 B, the base region 133 C, and the side walls 133 G.
  • the heat exchanging region 133 A is in communication with the heat exchanging source 143 through one or more inlet ports 133 D and one or more outlet ports 133 E.
  • the heat exchanging region 133 A is adapted to accept various heat exchanging fluids delivered from the heat exchanging source 143 in order to heat or cool the substrate that is in thermal communication with the top surface 133 F.
  • the material thickness of the top surface 133 F i.e., distance between the heat exchanging region 133 A and the top surface 133 F
  • the mass of the top surface 133 F is minimized to allow for rapid heating and cooling of the substrate.
  • the heat exchanging region 133 A may contain a resistive heater or thermoelectric device to control the temperature of the substrate.
  • the heat exchanging region 133 A is adapted to control the temperature of the PEB plate assembly 133 by use of a radiation heat transfer method, for example, halogen lamps mounted below the substrate supporting region 133 B.
  • the PEB plate assembly 133 may be formed by conventional means (e.g., machining, welding, brazing, etc.) from one single material or it may be formed from a composite structure (e.g., structure containing many different types of materials) that makes the best use of each material's thermal conductivity, thermal expansion, and thermal shock properties to form an optimal PEB plate assembly 133 .
  • the PEB plate assembly 133 is made from a thermally conductive material such as aluminum, copper, graphite, aluminum-nitride, boron nitride, and/or other material.
  • the heat exchanging source 143 generally contains at least one heat exchanging fluid delivery system which is adapted to deliver a heat exchanging fluid to the heat exchanging region 133 A.
  • the heat exchanging source 143 contains two heat exchanging fluid delivery systems, which are a heat source 131 and a cooling source 142 .
  • the heat source 131 is a conventional heat pipe which is used to heat the substrate.
  • a heat pipe is an evacuated vessel, typically circular in cross sections, that may be back-filled with a small quantity of a working fluid that transfers heat from the heat source 131 to a heat sink (e.g., the substrate supporting region 133 B and thus the substrate).
  • the transfer of heat is performed by the evaporation of the working fluid in the heat source 131 and condensation of a working fluid in the heat exchanging region 133 A.
  • the heat exchanging region 133 A is evacuated by a vacuum pump (not shown) and then energy is added to a working fluid, retained in the heat source 131 , which creates a pressure gradient between the heat source 131 and the heat exchanging region 133 A.
  • This pressure gradient forces the vapor to flow to the cooler section where it condenses, thus giving up energy due to the latent heat of vaporization.
  • the working fluid is then returned to the heat source 131 by gravity, or capillary action, through the outlet port 133 E and the outlet line 131 B.
  • the temperature of the substrate supporting region 133 B is controlled by use of a temperature sensing device (not shown) that is used in conjunction with the system controller 101 by varying the amount energy (e.g., flow of the working fluid) delivered to the heat exchanging region 133 A.
  • a temperature sensing device not shown
  • the heat source 131 delivers a heated gas, vapor or liquid from a fluid source (not shown) to the heat exchanging region 133 A to transfer heat to the substrate by a convective heat transfer type process.
  • the heated gas, vapor or liquid is delivered to the heat exchanging region 133 A through an inlet port 133 D from an inlet line 131 A and exits the heat exchanging region 133 A through the outlet port 133 E where it is delivered to a waste collection source 142 A.
  • the waste collection source 142 A may be a scrubber or typical exhaust system.
  • the heat exchanging source 143 also contains a cooling source 142 which is adapted to cool the substrate to a desired temperature.
  • the cooling source delivers liquid nitrogen to the heat exchanging region 133 A to remove heat from the substrate supporting region 133 B and thus the substrate.
  • the cooling source delivers a chilled gas, liquid or vapor to the heat exchanging region 133 A to cool the substrate.
  • the cooling source is used to cool the substrate to a temperature near ambient temperature.
  • a heat exchanging device 134 is placed on the base region 133 C to heat or cool the PEB plate assembly 133 .
  • the heat exchanging device 134 is used to cool the base region 133 C, which is in thermal contact with the substrate supporting region 133 B through a plurality of thermally conductive pillars 133 H (only two shown).
  • the substrate can be heated by the injection of a hot fluid from the heat source 131 and cooled by use of the heat exchanging device 134 . This configuration may avoid the need for the cooling source 142 to cool the substrate.
  • the plurality of thermally conductive pillars 133 H are regions in which heat can be transferred from the substrate supporting region 133 B to the base region 133 C or vise versa.
  • the conductive pillars 133 H may be arranged in any pattern, size or density (e.g., number of pillars 133 H per unit area) that allows heat to uniformly flow to or from the heat exchanging device 134 and allows the fluid delivered from the heat source to uniformly communicate with the substrate supporting region 133 B.
  • a lid assembly 137 is placed over the substrate “W” and contacts the top surface 133 F of the PEB plate assembly 133 to form a controlled environment around the substrate.
  • the lid assembly generally contains the lid 137 A and a lid actuator 139 .
  • the lid actuator 139 is a device that may be adapted to raise and lower the lid 137 A so that the lift assembly 140 can transfer the substrate to and from the cluster tool robot (not shown) and the top surface 133 F.
  • the lid actuator 139 is an air cylinder.
  • the lid assembly 137 may contain a heat exchanging device 137 B to control the temperature of the lid 137 A and thus form an isothermal environment around the substrate to improve thermal uniformity across the substrate during processing.
  • the heat exchanging device 137 B adapted to act as a heat pipe in a similar fashion as described above, to rapidly heat and cool the lid assembly 137 .
  • the heat exchanging device 137 B and the heat exchanging region 133 A are both adapted to act as a heat pipe to rapidly and uniformly control the temperature of the substrate.
  • the heat exchanging device 137 B is adapted to control the temperature of the lid assembly 137 by use of a radiative (e.g., heat lamps), or convective heat transfer means (described above).
  • a heated fluid source 141 is connected to the processing region 138 through a lid inlet port 137 C to deliver a temperature controlled process fluid across the substrate surface and then out the lid outlet port 137 D to a waste collection device 141 B.
  • the heated fluid source 141 generally contain a fluid source 141 A, a fluid heater 141 C and a waste collection device 141 B (e.g., typically an exhaust system or scrubber).
  • the fluid source 141 A may deliver a gas or liquid during processing to control the temperature of the substrate.
  • the fluid source 141 A may deliver an inert gas, for example, argon, nitrogen, or helium.
  • the PEB chamber 130 generally contains an enclosure 136 , the PEB plate assembly 133 , and a lift assembly 140 .
  • the enclosure 136 generally contains a plurality of walls (items 136 B-D and item 135 ) which tend to isolate the processes performed in the PEB chamber 130 from the surrounding environment. In one aspect of the invention the enclosure is adapted to thermally isolate and minimize contamination of the PEB chamber 130 from the surrounding environment.
  • the lift assembly 147 generally contains a lift bracket 140 A, an actuator 140 B, a lift pin plate 1400 , and three or more lift pins 140 D (only two are shown in FIG.
  • the robot blade (not shown) is adapted to enter the enclosure 136 through an opening 136 E in the side wall 136 D of the enclosure.
  • FIG. 11A is side view that illustrates one embodiment of a plate assembly that may be used to rapidly heat and cool a substrate.
  • the term “plate assembly” used hereafter is intended to generally describe an embodiment of the PEB plate assembly 133 , the chill plate assembly 83 , the bake plate assembly 93 , or the HMDS bake plate assembly 73 which may be adapted to benefit from this configuration. Referring to FIG.
  • a plate assembly 250 contains a conductive block 254 which has a block surface 254 A that is in thermal communication with a substrate “W” during processing, a cooling region 253 , a gap 259 formed between the conductive block 254 and the cooling region 253 , an inlet region 257 , an outlet region 258 , and a fluid delivery system 275 .
  • the conductive block 254 is used to support the substrate, and it contains a heating device 255 which is adapted to heat a substrate that is in thermal communication with the block surface 254 A.
  • the conductive block 254 may be made from a thermally conductive material such as aluminum, copper, graphite, aluminum-nitride, boron nitride, and/or other material.
  • the heating device 255 may be a resistive heater or a thermoelectric device that is used to heat the conductive block 254 .
  • the heating device 255 consists of a plurality of channels formed in a surface of the conductive block 254 (not shown), which are temperature controlled by use of a heat exchanging fluid that continually flows through the channels.
  • a fluid temperature controller (not shown) is adapted to control the heat exchanging fluid and thus the conductive block 254 temperature.
  • the heat exchanging fluid may be, for example, a perfluoropolyether (e.g., Galden®) that is temperature controlled to a temperature between about 30° C. and about 250° C.
  • the heat exchanging fluid may also be a temperature controlled gas, such as, argon or nitrogen.
  • the cooling region 253 is an area of the plate assembly 250 that is isolated from the conductive block 254 by the gap 259 and is maintained at a low temperature to cool the conductive block 254 when a conductive working fluid is delivered to the gap 259 by the fluid delivery system 275 .
  • the cooling region 253 contains a cooling device 265 that is used to cool this area of the plate assembly 250 .
  • the cooling region 253 may be made from a thermally conductive material such as aluminum, copper, graphite, aluminum-nitride, boron nitride, and/or other material.
  • the cooling device 265 may be a thermoelectric device that is used to cool the cooling region 253 .
  • the cooling device 265 consists of a plurality of channels (not shown) formed in a surface of the cooling region 253 , which are temperature controlled by use of a heat exchanging fluid that continually flows through the channels.
  • a fluid temperature controller (not shown) is adapted to control the heat exchanging fluid and thus the cooling region 253 temperature.
  • the heat exchanging fluid may be, for example, a perfluoropolyether (e.g., Galden®) that is temperature controlled to a temperature between about 5° C. and about 20° C.
  • the heat exchanging fluid may also be a temperature controlled gas, such as, argon or nitrogen.
  • the fluid delivery system 275 generally contains a fluid delivery source 270 that is adapted to deliver a conductive working fluid to the gap 259 formed between the conductive block 254 and the cooling region 253 .
  • the fluid delivery system 275 thus causes the conductive working fluid to flow from the fluid delivery system 275 through the inlet region 257 into the gap 259 and then out the outlet region 258 , where it is returned to the fluid delivery system 275 .
  • the conductive working fluid is thus used to increase the thermal coupling between the cooling region 253 and the conductive block 254 during different phases of the process, to heat and cool the substrate.
  • the conductive working fluid may a liquid, vapor or gas that is able to increase the thermal coupling between the conductive block 254 and the cooling region 253 .
  • the conductive working fluid is liquid such as: a liquid metal alloy of gallium, indium, and tin (e.g., galinstan); mercury (Hg); Galden; or polyethylene glycol.
  • the conductive working fluid is a gas, such as, helium, argon, or carbon dioxide (CO 2 ).
  • the plate assembly 250 is used to bake the substrates in, for example, the PEB chamber to perform the PEB step 540 .
  • the substrate is first delivered to the block surface 254 A while the conductive working fluid is flowing through the gap 259 and thus the cooling region 253 is in communication with the conductive block 254 and the block surface remains at a low temperature.
  • the flow of the conductive working fluid is stopped and is removed from the gap 259 to decouple the cooling region 253 from the conductive block 254 .
  • a gas source 272 is used to force the remaining conductive working fluid back to the fluid delivery system 275 .
  • the conductive block 254 is then heated by energy delivered from the heating device 255 until a desired processing temperature is achieved in the conductive block 254 . After maintaining the desired processing temperature for a period of time the heating device 255 is shut off and the conductive working fluid is delivered to the gap 259 to cool the conductive block 254 by increasing the thermal coupling between the conductive block 254 and cooling region 253 . Once the substrate has reached a desired temperature it is removed from the processing chamber.
  • the block surface 256 is purposely roughened by use of a mechanical fabrication process, such as, bead blasting, knurling, or other machining process to reduce the chance of thermal shock damage to the conductive block 254 material, and increase the surface area to couple the cooling region 253 to the conductive block 254 .
  • a mechanical fabrication process such as, bead blasting, knurling, or other machining process to reduce the chance of thermal shock damage to the conductive block 254 material, and increase the surface area to couple the cooling region 253 to the conductive block 254 .
  • an endpoint detector can be integrated into the chamber to notify the system controller 101 that the process is complete or nearly complete so that it can then be transferred to the next chill chamber 80 .
  • This design thus minimizes the need to run the process longer than necessary, or “over bake”, while still assuring that the chamber process is complete.
  • This process is especially important in the PEB chamber due to the prevention of the generated organic acid during exposure from attacking the unexposed areas of the photoresist.
  • the process endpoint is determined by measuring the concentration of a previously identified PEB, HMDS, or bake chamber reaction byproducts contained in the gas, or vapor, above the surface of the previously deposited or exposed photoresist layer.
  • FIG. 12A illustrates one embodiment of an endpoint detection system 190 that is adapted to detect a change the concentration of the byproducts diffusing from the surface of the photoresist layer (not shown) on the surface of the substrate “W”.
  • a laser 191 emits a beam (see item “A”) at a wavelength that is tuned so that the intensity of the signal received by the detector 192 is decreased due to the interaction with the byproducts that diffuse into the gas, or vapor, above the surface of the photoresist during the processing step.
  • the wavelength and intensity of the laser is also tuned so that the laser will not potentially cause further exposure of the photoresist.
  • the typical photoresist process byproducts will be, for example, hydrocarbon containing materials and carbon dioxide (CO 2 ). From the variation in intensity caused by the change in the concentration of CO 2 or other organic breakdown products evolving from the photoresist, an endpoint can be inferred.
  • the wavelength, or wavelengths, emitted by the laser may be between about 500 nm and about 4000 nm. In one embodiment, where carbon dioxide concentration is being detected, the wavelength of the laser is about 1960 nm, which conventional laser diodes can readily achieve. In another embodiment, the wavelength of the beam emitted by the laser is 4230 nm.
  • FIG. 12A is a side view of a bake chamber, PEB chamber or HMDS process chamber (see element 199 ) that contains a laser 191 that emits a beam that crosses just above the surface of the photoresist contained on the surface of the substrate.
  • the laser 191 and detector 192 are mounted so that the emitted beam is parallel and in close proximity to the photoresist layer on the surface of the substrate “W” which is retained on the plate assembly 193 .
  • the plate assembly 193 may be, for example, the PEB plate assembly 133 or bake plate assembly 93 , which is used to process the substrate during the bake, PEB or HMDS process steps described above.
  • the endpoint detection system 190 will generally have the highest sensitivity to changes in the concentration of the byproducts in the gas, or vapor in this configuration.
  • An advantage of this configuration is that by projecting the beam over the surface of the photoresist, the detected variation in intensity is the sum of the amount of byproducts passing through the beam over the whole length of the beam. This method provides a lower signal to noise ratio, and also corrects for variations in the process during different phases of the process.
  • FIG. 12B illustrates one embodiment of a endpoint detection system 198 that can be used to measure the photoresist layer thickness and/or sense a change in the index of refraction of the photoresist layer.
  • the endpoint detection system 198 generally contains a laser 194 , a beam splitter 195 and a detector 196 .
  • the endpoint detection system 198 also contains a fiber optic cable 197 which can allow the laser 194 , beam splitter 195 and detector 196 to be positioned a desirable distance from the processing region 199 A above the surface of the substrate.
  • the laser is designed to emit multiple wavelengths so that the photoresist thickness and/or index of refraction changes can be monitored during the processing.
  • the thickness of the photoresist is measured by detecting a change in multi-wavelength interference patterns that will change as the photoresist thickness and index of refraction change during the process.
  • the laser 194 emits radiation to a beam splitter 195 , where a percentage of the radiation emitted from the laser 194 passes directly through the beam splitter 195 to the fiber optic cable 197 .
  • the fiber optic cable 197 then directs the emitted energy towards the surface of the substrate.
  • the emitted radiation is then reflected, scattered or absorbed at the surface of the photoresist layer (item “P”) and/or the surface of the substrate.
  • a percentage of the reflected radiation then travels back to the fiber optic cable 197 where it directs the radiation to the beam splitter 195 .
  • the beam splitter 195 then reflects a percentage of the reflected radiation to the detector 196 where the incident radiation is detected.
  • FIG. 12C illustrates a method of optimizing the endpoint detection process by using data collected from previously processed wafers.
  • the method requires that endpoint signals from two or more substrates be recorded for reference or be stored in the memory of the system controller 101 (see item A).
  • the two or more substrates are then fully processed to and inspected to determine how the endpoint signal compared with the ideal process (see item B).
  • the inspection data is then used to determine the ideal process time and actual endpoint signal, which is then used by subsequent substrates processed in the chamber to determine the actual end of the process (see item C).
  • the contact of the substrate to the surface of the plate assembly can be minimized by use of an array of protrusions that space the substrate off the surface of the plate assembly. While protrusions reduce the number of particles generated they may tend to reduce the thermal coupling between the substrate and the plate assembly. Therefore, it is often desirable to minimize the height of the protrusions from the surface of the plate assembly to improve the thermal coupling, while also assuring that the substrate will not touch the surface of the plate assembly.
  • Prior art applications have typically used sapphire spheres that are pressed or placed into machined holes in plate assembly surface to act as the protrusions.
  • an array of accurately controlled small contact area protrusions 171 are formed on the surface of the plate assembly 170 and the substrate is biased towards the plate assembly to increase the thermal coupling between the substrate and the plate assembly.
  • the substrate may be biased towards the plate assembly 170 by use of a vacuum chucking device, an electrostatic chucking device or other conventional method of forcing the substrate against plate assembly.
  • the array of accurately controlled small contact area protrusions 171 can be formed by use of a CVD and/or PVD deposition process. By use of a CVD and/or PVD deposition process a thin layer of material, of a controlled size, can be uniformly deposited on the surface of the plate assembly to a desired height.
  • the material deposited on the surface of the plate assembly 170 to form the protrusions 171 may be silicon dioxide (SiO 2 ), silicon (Si), a metal (e.g., nickel, titanium, titanium nitride, molybdenum, tungsten, etc.), a ceramic material, a polymeric material (e.g., polyimide, Teflon, etc.) or other material that is hard enough to withstand the biasing force without appreciable deformation and is not easily abraded by the interaction with the backside of the substrate (e.g., diamond, diamond-like carbon, or boron nitride).
  • the height of the protrusion above the surface of the plate assembly surface can be controlled to height that may be about ten times smaller (e.g., 1/10 th ) than on a state of the art configuration.
  • the decrease in protrusion height will increase the heat transfer rate, so the wafer can heat much faster, and thus reduces the time that the wafer spends transiting to the final temperature, which reduces the variation in the diffusion and chemical reaction. It also ensures closer thermal coupling between the wafer and heater, which reduces the thermal impact of other chamber non-uniformities.
  • Another advantage of this approach is that by using more protrusions 171 , the magnitude of the substrate bow is reduced since the substrate bow is inversely proportional to the fourth power of the distance between the protrusions when an external pressure is applied to the substrate.
  • the thermal transfer from the plate assembly to the substrate will be uniform. Therefore, this design brings the temperature of the substrate quickly and uniformly to the target temperature, while minimizing the generation of backside particles that are inherent in normal vacuum chucks.
  • a mask (not shown) is placed over the surface of the plate assembly which allows CVD or PVD material to be deposited on certain defined areas of the substrate by use of features or holes formed in the mask. In this way the size is controlled by the features formed in the mask and the height of the protrusion is can be controlled by assuring a certain amount of material is deposited on the surface of the plate assembly using a known PVD or CVD process deposition rate.
  • the protrusions 171 which are deposited by a PVD or CVD process are about 100 micrometers thick.
  • FIGS. 13C and 13D illustrate one embodiment of a masking process where a selective CVD deposition process is used to deposit protrusions of a desired height.
  • a silicon dioxide or diamond seed crystal 182 A layer is imbedded in the plate assembly surface 170 A of plate assembly 170 made from Teflon coated aluminum.
  • a conventional CVD process may be adapted to selectively deposit a layer 182 B of silicon dioxide or diamond film on the seed crystal 182 A.
  • a seed crystal 182 A is imbedded into the plate assembly surface 170 A so that the top surface of the seed crystal is substantially flush with the plate assembly surface 170 A.
  • an insertion tool (not shown) is used to assure the seed crystal 182 A can be repeatably installed and it is flush with the plate assembly surface 170 A.
  • the insertion tool should be made from a material is relatively incompressible, flat, and has a polished face.
  • the insertion tool should have a working surface (not shown), which contacts with the seed crystal during insertion into the plate assembly, that is at-least as hard as the material from which the seed crystal 182 A is made.
  • FIG. 13A illustrates one embodiment of a heat/cool assembly 180 which may be used in the chill chamber 80 , the bake chamber 90 , the PEB chamber 130 and/or the HMDS process chamber 70 .
  • the heat/cool assembly 180 contains a plate assembly 170 , and a vacuum source 175 , which are mounted in a processing chamber 186 .
  • the plate assembly 170 generally contains a plate 170 B, plate assembly surface 170 A, protrusions 171 , and a vacuum source port assembly 172 .
  • the vacuum source 175 is used to create a negative pressure in the vacuum port plenum 172 B, thus causing air to flow into the a plurality of vacuum ports 172 A formed in the surface of the plate assembly 170 , which creates a reduced pressure behind the substrate which causes the substrate to be biased towards to the surface of the protrusions 171 .
  • the plate 170 B may be made from a thermally conductive material such as aluminum, copper, graphite, aluminum-nitride, boron nitride, and/or other material, and is in communication with a heat exchanging device 183 A. While FIG.
  • FIG. 13A illustrates a heat exchanging device 183 A which has a different shape than that shown in the chill chamber 80 , the bake chamber 90 , the PEB chamber 130 and/or the HMDS process chamber 70 drawings described above, this embodiment is intended incorporate all of the features described above.
  • the plate assembly 170 also contains a gas source port assembly 173 and a gas source 174 to purge the edge of the substrate during processing to prevent the evaporating solvent vapors from being deposited on the plate assembly surface 170 A or the backside of the substrate due to the reduced pressure generated behind the substrate (e.g., a vacuum chuck configuration).
  • the gas source 174 is used to create a positive pressure in the gas port plenum 173 B, thus causing the gas to flow out of a plurality of gas ports 173 A formed in the surface of the plate assembly 170 .
  • the gas source 174 is adapted to deliver an inert gas to the edge of the substrate, such as, argon, xenon, helium, nitrogen, and/or krypton.
  • the gas source 174 may also be adapted to deliver a fluid to the edge of the substrate.
  • FIG. 13B illustrates a plan view of the surface of the plate assembly 170 with no substrate on top of the protrusions 171 , to illustrate one possible configuration of protrusions 171 ( 33 shown), vacuum ports 172 A ( ⁇ 367 shown), and gas ports 173 A ( ⁇ 360 shown).
  • the plurality of protrusions 171 are spaced across the surface of the plate assembly 170 so that the contact area can be minimized and the gap between the substrate and the plate assembly surface 170 A is substantially uniform.
  • the plurality of vacuum ports 172 A are spaced across and around the surface of the plate assembly 170 so that the substrate can be uniformly biased towards the plate assembly 170 and thus the gap between the substrate and the plate assembly surface 170 A is substantially uniform.
  • FIG. 13B illustrates a plan view of the surface of the plate assembly 170 with no substrate on top of the protrusions 171 , to illustrate one possible configuration of protrusions 171 ( 33 shown), vacuum ports 172 A ( ⁇ 367 shown), and gas ports 173 A
  • FIGS. 13A-B also illustrate a configuration having a lift assembly 87 and lift pin hole 189 extending through the plate assembly surface 170 A to lift the substrate off the plate assembly surface 170 A.
  • the gas delivered from the gas source 174 is heated prior to exiting the gas ports 173 A to prevent cooling of the edge of the substrate during processing.
  • the length of the gas port plenum 173 B in the plate assembly 170 is designed to assure that the gas resides in the gas port plenum long enough for the injected gas to substantially achieve the plate temperature before it exits the gas ports 173 A.
  • the support chamber 65 ( FIGS. 4C , 4 F and 4 H) may be used to house containers, pumps, valves, filters and other support components that are useful for completing the process sequence in the cluster tool 10 .
  • the support chamber 65 contains various metrology tools, such as, a particle measurement tool, an OCD spectroscopic ellipsometry device, spectroscopic reflectometry and various scatterometry devices to detect defects in the processed substrates, perform statistical process control, and/or allow the system to compensate for variations in the incoming substrate quality.
  • various metrology tools such as, a particle measurement tool, an OCD spectroscopic ellipsometry device, spectroscopic reflectometry and various scatterometry devices to detect defects in the processed substrates, perform statistical process control, and/or allow the system to compensate for variations in the incoming substrate quality.
  • a non-contact visible and/or DUV reflectometry technique can be used to perform measurements of film thickness and uniformity of the films on the substrate in the cluster tool.
  • a reflectometry tool can be purchased from Nanometrics Incorporated, Milpitas Calif.
  • An integrated OCD spectroscopic ellipsometry tool may be used to enable complete film characterization and closed-loop control within the lithographic process without having to move the wafer to a standalone metrology tool, saving transport time and eliminating potential handling contamination and damage.
  • the integration of the various process control metrology capability directly into the cluster tool will thus help improve CD control and CoO.
  • An OCD spectroscopic ellipsometry tool can be purchased from Nanometrics Incorporated, Milpitas Calif.
  • the CoO is reduced by grouping substrates together and transferring and processing the substrates in groups of two or more. This form of parallel processing thus increases the system throughput, and reduces the number of moves a robot has to make to transfer a batch of substrates between the processing chambers, thus reducing wear on the robot and increasing system reliability.
  • the track architecture is designed so that substrates leave the cassette 106 mounted in the pod assemblies 105 A-D one-by-one, and are then grouped together in groups containing two or more substrates after being processed in the first processing station. For example, when using the process sequence shown in FIG. 3A , the substrates might be grouped after completing the BARC coat step 510 .
  • the robot that serves the cassettes 106 and places each substrate in the first process stations may use a single blade robot, but the robot (e.g., central robot 107 ) that picks up the substrates from the first process stations and places them in subsequent process stations, will be a robot that contains as many substrate retaining devices (e.g., robot blades) as there are substrates to be grouped.
  • a dual bladed type central robot 107 may be used.
  • the substrates are ungrouped before they are transferred into the stepper/scanner 5 , then are regrouped again after the performing the PEB step 540 , and are then ungrouped again at the last process station prior to being picked up by the front end robot 108 .
  • the substrates may be grouped together at the pod assembly 105 and transferred through the cluster tool in groups, by use of a multiple bladed type front end robot 108 , central robot 107 and rear robot 109 .
  • FIGS. 16A-D illustrate one embodiment of a multiple bladed robot. In this case, after each blade of the front end robot 108 is loaded with a substrates, all of the transfer processes through the cluster tool is completed in groups. One will note that it is likely that the substrates will have to be de-grouped, i.e, transferred one at a time, at the stepper/scanner 5 .
  • the substrates are grouped in pairs and thus the transferring process would include the grouping steps of single substrate transfer in to the first process chamber, then dual substrate transfer through the system, then single substrate transfer to and from the stepper/scanner 5 , then dual substrate transfer through the system, and single substrate transfer from the last chamber to the cassette.
  • the central robot 107 as shown below in FIGS. 16A-B , contains a dual blade assembly 705 that contains at least one robot blade 711 A on the first blade assembly 715 A and at least one robot blade 711 B on the second blade assembly 715 B to transfer substrates in groups of two.
  • the first blade assembly 715 A and the second blade assembly 715 B are a fixed distance apart, which corresponds to the vertical spacing of the two chambers in which the substrates are to be grouped.
  • the spacing of the transfer positions in the CD 1 and CD 2 chambers is configured to allow transferring of the substrates to the C 12 and C 9 chill chambers or B 5 and B 2 bake chambers in the first central processing rack 152 .
  • the central robot 107 may transfer the pair of substrates to one of the pairs of coater/developer chambers 60 retained in the second central processing racks 154 , such as chambers CD 1 and CD 2 , CD 2 and CD 3 , or CD 3 and CD 4 .
  • the horizontal spacing of the first blade assembly 715 A relative to the second blade assembly 715 B is a fixed distance apart, which corresponds to the horizontal spacing of the two chambers in which the substrates are to be grouped.
  • the first blade assembly 715 A and the second blade assembly 715 B are aligned in the horizontal plane so that the dual blade assembly 705 can access chambers spaced horizontally.
  • the spacing of the first blade assembly 715 A and the second blade assembly 715 B are made a variable distance apart by use of an actuator 722 mounted on the dual blade assembly 705 .
  • the actuator 722 is adapted to vary the spacing between the various number of grouped substrates to coincide with the desired spacing of the chambers to which the grouped substrates will be transferred.
  • the actuator 722 is mounted on the support 720 and is adapted to position the second blade assembly 715 B that is attached to the second surface 720 B. In this configuration the actuator 722 can vary the spacing “A” between the second blade assembly 715 B relative to the first blade assembly 715 A by positioning the second surface 720 B in a direction “B”.
  • the actuator 722 is a direct drive linear brushless servomotor that may be purchased from Danaher Motion of Wood Dale, Ill. or Aerotech, Inc. of Pittsburgh, Pa.
  • a batch develop process could be performed on the substrates, in which case the substrates would be transferred in a group and then ungrouped to perform the develop process, after which they would be regrouped transferred as a group.
  • the substrate processing sequence and cluster tool are designed so that the substrate transferring steps performed during the processing sequence are completed to chambers that will perform the next processing step in the processing sequence.
  • the prior art cluster tool configurations commonly install interim stations, or buffer chambers, in the process sequence so that the robot that dropped off a substrate can complete other transferring steps and/or allow other robots to pick up and transfer the waiting substrate to another desired position in the system.
  • the step of placing a substrate in a chamber that will not perform the subsequent processing step wastes time, decreases the availability of the robot(s), wastes space in the cluster tool, and increases the wear on the robot(s).
  • buffering steps will also adversely affect device yield, due to the increase in the number of substrate handoffs which will increase the amount of backside particle contamination.
  • substrate processing sequences that contain buffering steps will inherently have different substrate wafer histories, unless the time spent in the buffer chamber is controlled for every substrate. Controlling the buffering time will increase the system complexity, due to an added process variable, and it will likely hurt the maximum achievable substrate throughput.
  • the maximum substrate throughput of the cluster tool is governed by the total number of robot moves to complete the process sequence and the time it takes to make the robot move. The time it takes a robot to make a desired move is usually limited by robot hardware, distance between processing chambers, substrate cleanliness concerns, and system control limitations.
  • a cluster tool that inherently has fewer robot moves to complete the processing sequence will have a higher system throughput than a cluster tool that requires more moves to complete the processing sequence, such as cluster tools that contain multiple buffering steps.
  • the various embodiments of the cluster tool shown on FIGS. 2A-G and 14 A-B have particular advantage over prior art configurations since fewer moves and fewer robots are required to transfer the substrate through the system.
  • One example is the ability of the front end robot 108 to access the cassette(s) 106 and then directly place the substrate in a first processing chamber (e.g., coater chamber 60 A) and then after processing in the first processing chamber deliver the substrate to a subsequent processing chamber (e.g., bake chamber 90 ).
  • a first processing chamber e.g., coater chamber 60 A
  • a subsequent processing chamber e.g., bake chamber 90
  • Prior art configurations require the use of multiple interim stations between the cassettes, process chambers and/or stepper/scanners, and multiple robots to complete the process sequence through the cluster tool.
  • a first robot to place a substrate in a first position, where it is picked up by second robot and placed in a second position in a processing chamber. After being processed in the processing chamber the substrate is then placed back in the first position by the second robot where it is picked up by the first robot or third robot to be transferred to another position in the system.
  • This transferring process, or transfer path is wasteful since it requires a separate robot to complete the transfer between the first position and the second position and it requires two non-value added moves to transfer the substrate. Adding extra robots and/or increasing the non-value added moves can be costly due to decreased substrate throughput and will make the cluster tool less reliable.
  • One advantage of the cluster tool configuration described herein is the ability of the two or more robots to access processing chambers (e.g., chill chamber 80 , bake chambers 90 , etc.) in the different main modules (e.g., front end module 306 , central module 310 , etc.).
  • processing chambers e.g., chill chamber 80 , bake chambers 90 , etc.
  • main modules e.g., front end module 306 , central module 310 , etc.
  • the front end robot 108 can access the processing chambers in the first central processing rack 312 and the second central processing rack 314 while the central robot 107 can access processing chambers in the first processing rack 308 and the second processing rack 309 .
  • the ability of a robot to access chambers in other main modules, or “robot overlap,” can be an important aspect in preventing system robot transfer bottlenecks, since it allows an under utilized robot to help out a robot that is limiting the system throughput. Therefore, the substrate throughput can be increased, a substrate's wafer history can be made more repeatable, and the system reliability can be improved through the act of balancing the load each robot takes during the substrate sequence.
  • the system controller 101 is adapted to adjust the substrate transfer path through the cluster based on an optimized throughput or to work around processing chambers that have become inoperable.
  • the feature of the system controller 101 which allows it to optimize throughput is known as the logical scheduler.
  • the logical scheduler prioritizes tasks and substrate movements based on inputs from the user and various sensors distributed throughout the cluster tool.
  • the logical scheduler may be adapted to review the list of future tasks requested of each of the various robots (e.g., front end robot 108 , central robot 107 , rear robot 109 , one or more shuttle robots 110 , etc.), which are retained in the memory of the system controller, to help balance the load placed on each of the various robots.
  • Use of a cluster tool architecture and system controller 101 to work together to maximize the utilization of the cluster tool to improve CoO makes the wafer history more repeatable and improves the system reliability.
  • the system controller 101 is further programmed to monitor and control the motion of the end-effector of all robots in the system (e.g., dual blade assembly 705 ( FIGS. 16A-C ), blade assembly 706 ( FIG. 16F-G ), etc.) to avoid a collision between the robots and improve system throughput by allowing robots to be in motion at the same time.
  • This so called “collision avoidance system,” may be implemented in multiple ways, but in general the system controller 101 monitors the position of each of the robots by use of various sensor positioned on the robot or in the cluster tool during the transferring process to avoid a collision.
  • the system controller is adapted to actively alter the motion and/or trajectory of each of the robots during the transferring process to avoid a collision and minimize the transfer path length.
  • a “zone avoidance” system is used to prevent collisions between multiple robots.
  • the system controller through use of its hardware and software components, is able to continually monitor, update and define regions around each robot that are “open” or safe to move within. The defined “open” or safe regions are thus areas in which a robot may move into, or through, without the possibility of colliding with another robot.
  • the system controller is adapted to monitor and control multiple sensors (e.g., encoders on the various robot axes, position sensors, etc.) and emitters distributed around the cluster tool mainframe and on the robot(s) to continually track the actual position of each robot within the cluster tool to assure that the motion of two or more robots will not cause them to move into the same space and thus collide.
  • the sensors are optical sensors that are positioned in various vertical and/or horizontal orientations in the cluster tool to monitor the position of each of the robots.
  • each robot and its components are monitored by use of a sensing system that is able to triangulate the position of each of the various robot components by use of emitters positioned on the various robot components relative to multiple sensors positioned in the mainframe.
  • the sensing system contains emitters and sensors that are RF transmitters and receivers.
  • FIG. 14A illustrates schematically a substrate transfer path which is intended to illustrate one example of the substrate flow through the cluster tool 10 where the number of buffering steps is minimized or completely eliminated.
  • a transfer path is generally a schematic representation of the path a substrate will travel as it is moved from one position to another so that various process recipe steps can be performed on the substrate(s).
  • FIG. 14A illustrates the transfer path of a substrate following the processing sequence described in FIG. 3A .
  • the substrate is removed from a pod assembly 105 (item # 105 A) by the front end robot 108 and is delivered to a coater chamber 60 A (e.g., CD 1 , CD 2 , etc. ( FIG.
  • the substrate is then transferred to a bake chamber 90 (e.g., B 1 , B 3 , etc. ( FIG. 4B )) by the central robot 107 following the transfer path A 2 , where the post BARC bake step 512 is completed on the substrate.
  • the post BARC chill step 514 e.g., C 1 , C 2 , etc. ( FIG. 4B )
  • the substrate is then transferred by the central robot 107 , following the transfer path A 4 , to the coater chamber 60 A (e.g., CD 1 , CD 2 , etc. ( FIG. 4C )) where the photoresist coat step 520 is performed.
  • the substrate is then transferred by the central robot 107 , following the transfer path A 5 , to the bake chamber 90 (e.g., B 2 , B 4 , etc. ( FIG. 4B )) where the post photoresist coat bake step 522 is performed.
  • the substrate is then transferred by a shuttle robot 110 , following the transfer path A 6 , to the chill chamber 80 (e.g., C 1 , C 2 , etc. ( FIG. 4B )) where the post photoresist chill step 524 is performed.
  • the substrate is then transferred by the central robot 107 , following the transfer path A 7 , to the OEBR chamber 62 (e.g., OEBR 1 , etc. (not shown in FIG. 14A , see FIG. 4D )) where the OEBR step 536 is performed.
  • the substrate is then transferred to the stepper/scanner 5 following the transfer path A 8 using the rear robot 109 .
  • the rear robot 109 transfers the substrate to the PEB chamber 130 ( FIG. 4D ) following the transfer path A 9 .
  • the substrate is then transferred by the shuttle robot 110 , following the transfer path A 10 , to the chill chamber 80 where the post PEB chill step 542 is performed.
  • the substrate is then transferred by the rear robot 109 (or central robot 107 ), following the transfer path A 11 , to the developer chamber 60 B where the develop step 550 is performed.
  • the substrate is then transferred by the central robot 107 , following the transfer path A 12 , to the chill chamber 80 where it will be picked up by the front end robot 108 to be transferred to the pod assembly 105 following the transfer path A 13 .
  • the substrates are grouped together and transferred in groups of two or more, such that the grouped substrates may move as a group along the transfer paths A 1 -A 7 and A 10 -A 12 .
  • this form of parallel processing will increases the system throughput, and reduces the number of moves a robot has to make to transfer a batch of substrates between the processing chambers, thus reducing wear on the robot and increasing system reliability.
  • the transfer paths A 3 , A 6 , and/or A 10 are completed by the central robot 107 .
  • the transfer path A 11 is completed by a shuttle robot 110 that is adapted to transfer substrates between the chill chamber 80 and the developer chamber 60 B.
  • FIG. 14B illustrates schematically one example of a substrate transfer path through the FIG. 2F configuration of cluster tool 10 , where the number of buffering steps can be minimized or completely eliminated.
  • FIG. 14B illustrates the transfer path of a substrate following the processing sequence described in FIG. 3A .
  • the substrate is removed from a pod assembly 105 (item # 105 C) by the front end robot 108 and is delivered to a coater chamber 60 A following the transfer path A 1 , so that the BARC coat step 510 can be completed on the substrate.
  • the substrate is then transferred to a bake chamber 90 (e.g., B 1 , B 2 , B 3 , etc. ( FIG.
  • the substrate is then transferred to the post BARC chill step 514 (e.g., C 1 , C 2 , etc. ( FIG. 4G )) by a shuttle robot 110 following the transfer path A 3 .
  • the substrate is then transferred by the front end robot 108 , or central robot 107 , following the transfer path A 4 , to the process chamber 370 configured as a coater chamber 60 A (e.g., CD 1 , CD 2 , CD 3 , etc. ( FIG.
  • the substrate is then transferred by the central robot 107 , following the transfer path A 5 , to the bake chamber 90 (e.g., B 2 , B 4 , etc. ( FIG. 4I )) where the post photoresist coat bake step 522 is performed.
  • the substrate is then transferred by a shuttle robot 110 , following the transfer path A 6 , to the chill chamber 80 (e.g., C 1 , C 2 , etc. ( FIG. 4I )) where the post photoresist chill step 524 is performed.
  • the substrate is then transferred by the central robot 107 , following the transfer path A 7 , to the OEBR chamber 62 (e.g., OEBR 1 , etc. ( FIG. 4I )) where the OEBR step 536 is performed.
  • the substrate is then transferred to the stepper/scanner 5 following the transfer path A 8 using the central robot 107 .
  • the central robot 107 transfers the substrate to the PEB chamber 130 following the transfer path A 9 .
  • the substrate is then transferred by the shuttle robot 110 , following the transfer path A 10 , to the chill chamber 80 where the post PEB chill step 542 is performed.
  • the substrate is then transferred by the central robot 107 , following the transfer path A 11 , to the process chamber 370 configured as a developer chamber 60 B (e.g., CD 1 , CD 2 , CD 3 , etc. as ( FIG. 4J )) where the develop step 550 is performed.
  • the substrate is then transferred by the front end robot 108 , following the transfer path A 12 , to the pod assembly 105 .
  • transfer path A 12 may be completed by picking up the substrate from the developer chamber 60 B using the central robot 107 , transferring the substrate to the front end robot 108 , and then transferring the substrate to the pod assembly 105 .
  • the transfer path A 12 may be broken up into two steps (not shown) where the substrates are transferred to a chill chamber 80 in the first processing rack 308 by the central robot 107 and then transferred to the cassette using the front end robot 108 .
  • the chill chamber 80 acts as a “safe” position where the substrate can reside without being exposed to thermal energy or processing fluids which may affect the wafer history and amount contamination on the processed substrate.
  • a “safe” position may coincide with holding the substrate on raised lift pins 87 D (shown in lower position of FIG. 10A ) or retaining the substrate on the chill plate block 83 B ( FIG. 10A ).
  • transfer path A 12 may be completed by picking up the substrate from the developer chamber 60 B using the central robot 107 and then transferring the substrate to the pod assembly 105 .
  • the central robot 107 may be further adapted to translate a distance along the length of the cluster tool 10 by use of a slide assembly (not shown) and a translation actuator (e.g., linear servo motor, etc. (not shown)) to give the robot the desired reach to access the cassettes.
  • the transfer paths A 3 , A 6 , and/or A 10 are completed by the central robot 107 or the front end robot 108 .
  • the substrates are grouped together and transferred in groups of two or more, such that, the grouped substrates may move as a group along the transfer paths A 1 -A 7 and A 10 -A 12 .
  • FIG. 15A is an isometric view of cluster tool 10 which illustrates one embodiment of the central robot 107 .
  • This embodiment of the central robot 107 contains a frog-leg robot (hereafter FLR or FL robot) assembly 602 that is adapted to transfer substrates to and from the various process chambers contained in the front end processing rack 52 , the first central processing rack 152 , the second central processing rack 154 and/or the rear processing rack 202 .
  • the second central processing rack 154 has been removed from the FIG. 15A to highlight and clarify the components contained in this embodiment. Referring to FIGS.
  • the FLR assembly 602 generally contains an upper frog-leg (FL) robot assembly 610 , a lower frog-leg (FL) robot assembly 620 , and a lift rail assembly 626 .
  • the lift rail assembly 626 generally contains a front rail 614 and a back rail 612 . This configuration thus contains two robot assemblies, the upper FL robot assembly 610 and the lower FL robot assembly 620 , which are adapted to move independently of each other in both the vertical and horizontal planes.
  • the independent upper FL robot assembly 610 or the independent lower FL robot assembly 620 each are able to move in the vertical plane, (i.e., along the lift rail assembly 626 ), and are able to transfer the substrates to any position in the horizontal plane by movement of the FL robot 625 from commands from the system controller 101 .
  • FIGS. 15A-D illustrate a configuration that contains two robot assemblies, the upper FL robot assembly 610 and the lower FL robot assembly 620 , other embodiments of the cluster tool 10 may contain three or more robot assemblies.
  • a single FL robot assembly is utilized to transfer substrates through the cluster tool.
  • FIG. 15B is plan view of the cluster tool 10 in which the lower FL robot assembly 620 of the FL robot assembly 602 is exchanging a substrate from a process chamber contained in the rear processing rack 202 .
  • FIG. 15C is an isometric view of the central robot 107 which highlights the various components of the upper FL robot assembly 610 and the lower FL robot assembly 620 .
  • the lift rail assembly 626 is mounted to a central module frame (not shown) that is part of the central module 150 .
  • FIG. 15A-D illustrate a configuration in which the FL robot 625 in the upper FL robot assembly 610 or the lower FL robot assembly 620 are facing each other (i.e., the upper FL robot is facing down and the lower FL robot is facing up), but other configurations may be used, such as where the upper FL robot assembly 610 or the lower FL robot assembly 620 are both facing up or down, without varying from the scope of the invention.
  • FIG. 15D which is a plan view of a lower FL robot assembly 620 , is intended to show that various components that are commonly found in either the upper FL robot assembly 610 or the lower FL robot assembly 620 .
  • the upper FL robot assembly 610 or the lower FL robot assembly 620 will generally contain a FL robot 625 and a support assembly 624 .
  • the FL robot 625 has two substrate carriers (i.e., 611 A and 611 B) that are adapted to transfer substrates between the various processing stations, but this configuration is not intended to limit the scope of the present invention since the number of substrate carriers or the use of the frog-leg configuration is not intended to limit to the various aspects of the invention described herein.
  • the FL robot 625 will generally contain a dual axis motor 615 , primary arms 618 A-B, secondary arms 619 A-D, wrist assemblies 621 A-B, and substrate carriers 611 A-B.
  • the primary arms 618 A-B can be rotated in an opposing direction to extend or retract the substrate carriers 611 A-B or rotated in the same rotational direction to rotate the substrate carriers 611 A-B to a desired position.
  • the FL robot 625 is mounted on the support 613 of the support assembly 624 which supports and retains the robot assembly 625 .
  • the support assembly 624 generally contains the support 613 , and the motor assembly 617 A, which is in communication with the front rail 614 , and the motor assembly 617 B, which is in communication with the back rail 612 , which are both attached to the support 613 .
  • the motor assembly 617 A and motor assembly 617 B generally contain an actuator 630 and a guiding mechanism 631 .
  • the actuator 630 is a direct drive linear brushless servomotor, which through communication with the base component 616 A-B (e.g., secondary coil or “rotor” section), mounted on the lift rail assembly 626 components, is adapted to independently raise or lower the attached FL robot assembly components (e.g., items 610 or 620 ).
  • a direct drive linear brushless servomotor that may be purchased from Danaher Motion of Wood Dale, Ill. or Aerotech, Inc. of Pittsburgh, Pa.
  • the actuator 630 may be stepper motor or other type of actuator that can be used to raise and lower the various FL robot assembly 610 or 620 components.
  • the guiding mechanism 631 is adapted to support and precisely guide the FL robot assembly 610 or FL robot assembly 620 components as they are raised and lowered on the lift rails to assure that the position and accuracy of the motion of the FL robot assembly 610 or FL robot assembly 620 are well controlled to allow consistent movement and transfer of substrates.
  • the guiding mechanism 631 contains a linear guide which supports and retains the FL robot assembly 610 or 620 components.
  • a linear guide may be purchased from Danaher Motion of Wood Dale, Ill.
  • wheels 619 are attached in an orthogonal configuration to the motor assemblies 617 A-B and roll on a t-shaped rail structure 618 to position and accurately control of the motion of the FL robot assembly 610 or FL robot assembly 620 components.
  • the FL robot assembly 602 contains two or more FL robot assemblies (e.g., items 610 , 620 ) which are synchronized to allow substrates to be grouped and transferred together. This configuration may be advantageous since it will improve substrate throughput in the cluster tool.
  • the two or more FL robot assemblies are physically coupled together so that the motion of each blade of the FL robot assemblies moves in unison and thus are grouped.
  • the robot assemblies 610 may be a fixed distance apart and move in a synchronized motion.
  • the FL robot assemblies e.g., items 610 , 620
  • the FL robot assemblies are mechanically coupled together so that they maintained at a fixed distance apart, but each of the FL robots 625 are able to move independently of each other (e.g., move independently in the horizontal plane).
  • the system controller 101 is utilized to control and synchronize the movement of each of the two or more FL robot assemblies so that substrates can be transferred in groups of two or more.
  • the transfer path A 2 described in FIG. 14A , could be completed by using the upper FL robot assembly 610 and the lower robot assembly 620 to substantially simultaneously pick up substrates from two coater chambers 60 A (e.g., CD 1 and CD 2 ( FIG. 4A )) and then substantially simultaneously drop off the substrates into desired bake chambers 90 (e.g., B 1 and B 5 ( FIG. 4B )).
  • This configuration may be advantageous since it can allow grouped moves to improve throughput, but also allow for each robot to move independently if needed to complete some other desired task.
  • FIG. 16A is an isometric view of one embodiment of the central robot 107 containing an articulated robot assembly 702 (hereafter AR assembly 702 ).
  • the AR assembly 702 is adapted to transfer substrates to and from the various process chambers contained in the front end processing rack 52 , the first central processing rack 152 , the second central processing rack 154 and/or the rear processing rack 202 .
  • the second central processing rack 154 has been removed from FIG. 16A to highlight and clarify the components contained in this embodiment.
  • the AR assembly 702 generally contains articulated robot 710 and a dual blade assembly 705 .
  • the articulated robot 710 is generally a 6-axis articulated robot which can be purchased from Mitsubishi Electric Corporation, of Tokyo, Japan, Kawasaki Robotics (USA), Inc.
  • the 6-axis articulated robot is a model number TX90 purchased from Staubli Corp. of Duncan, S.C.
  • the articulated robot 710 has a robot base 713 A and a mechanical interface 713 B, which connect the robot to the cluster tool and the end-effector assembly (e.g., dual blade assembly 705 , blade assembly 706 , etc.) to the robot, respectively.
  • the 6-axis articulated robot is advantageous since the reach of the articulated robot is far superior from conventional robots due to its multiple axis and multiple linkage design, the reach of multiple articulated robots can more easily “overlap” since the motion of the end-effector, which retains and transfers the substrate(s), is not linked to motion of the robot base 713 A which allows the robots to more effectively avoid each other while transferring substrates, and/or the reliability of the articulated robots exceeds most conventional robots.
  • the dual blade assembly 705 generally contains a support 720 , and two or more blade assemblies 715 (e.g., first blade assembly 715 A, a second blade assembly 715 B, etc.).
  • the support 720 attaches to and is guided by the articulated robot 710 so that a blade in a first blade assembly 715 A and a blade in a second blade assembly 715 B can each pick-up and/or place a substrate in a two different processing chambers retained in a processing rack.
  • the pitch (see item “A”), or the distance, between the robot blades is fixed by the distance between the first supporting surface 720 A and second supporting surface 720 B, and is designed to coincide with the pitch between two of the processing chambers retained in the processing racks.
  • the distance between the transfer position of the bake chambers labeled B 1 and B 4 would coincide with the pitch between the coater/developer chambers labeled CD 1 and CD 2 in the front end processing rack 52 , so that after completing the BARC coat step 510 the substrates could then be transferred to bake chambers labeled B 1 and B 4 to complete the post BARC bake step 512 .
  • the pitch “A” is generally defined as the distance, or spacing, between the blades 711 A-B in a normal direction to the substrate receiving surfaces 712 A-B.
  • the pitch (see item “A”), is a distance between about 100 mm and about 1200 mm, and preferably between about 300 mm and about 700 mm. While the dual blade assembly 705 is illustrated in conjunction with the articulated robot assembly 702 , other configurations may utilize the dual blade assembly 705 on other types of robots without varying from the basic scope of the invention.
  • the substrate receiving surfaces 712 A-B are adapted to retain a substrate positioned on the blade (not shown) by use of an edge gripping mechanism that holds the substrate in position on the robot blade.
  • the edge gripping mechanism can be adapted to grab the edge of the substrate at multiple points (e.g., 3 points) to hold and retain the substrate.
  • FIG. 16C illustrates one embodiment of the dual blade assembly 705 which contains one pair of blade assemblies 715 A and 715 C mounted on the support bracket 722 A positioned on the first supporting surface 720 A and a second pair of blade assemblies 715 B and 715 D mounted on the support bracket 722 B positioned on the second supporting surface 720 B.
  • FIG. 16C further illustrates a configuration where robot blade 711 B is shown in an actuated position while the other blades (e.g., 715 A and 715 C-D) are shown in their retracted position.
  • each robot blade 711 (e.g., 711 A-D), contained in its respective blade assembly 715 (e.g., 715 A-D), may be independently actuated by use of the system controller (not shown) and its robot blade actuator 721 (e.g., 721 A-D).
  • each robot blade 711 in each of the pairs may be physically positioned in an orientation that is substantially horizontally aligned over each other and vertically spaced apart (often termed “over/under” configuration), so that a substrate can be retained on each blade at the same time.
  • the over/under blade configuration may be advantageous, for example, where the robot has to remove a substrate from a processing chamber prior to placing the next substrate to be processed in the same processing chamber, without having to leave its basic position to move the “removed” substrate to another chamber.
  • this configuration may allow the robot to fill up all of the blades and then transfer the substrates in groups to a desired location in the tool. For example, in FIG. 16C four substrates could be transferred on the four blades.
  • This configuration also has a further advantage that allows substrates transferred in groups to be ungrouped by dropping-off or picking-up the substrates one at a time from each of the blades 711 A-D.
  • three or more stacked blades mounted on each of the supporting surfaces may be used in place of the “pairs” of robot blades to further facilitate the transfer of multiple substrates in groups.
  • FIG. 16E illustrates a cross-sectional view of an over/under type dual blade assembly 705 where a single blade (item# 715 D) has been extended to access a substrate “W” in a pod assembly 105 so that it can be picked-up or dropped-off in the cassette 106 .
  • This configuration will allow grouped transfer of the substrates through the system and then single drop-off and/or pick-up of substrates in stations that can only accept one substrate at a time (e.g., cassette 106 , stepper/scanner 5 , etc.).
  • the robot is adapted to “re-position,” e.g., flip, rotate, and/or detach, at least one of the robot blades so that the “re-positioned” blade(s) will not interfere with the process of transferring a substrate on another robot blade.
  • a special position or chamber e.g., support chambers
  • the ability to re-position one or more of the robot blades may be especially useful when one or more processing chambers in a grouped transferring sequence is not operational, and thus will not allow a blade to enter the processing chamber, since it will allow other adjacent processing chamber positions to be utilized.
  • FIGS. 16F and 16G are isometric views of one embodiment of the front end robot 108 or the rear robot 109 containing a single blade type articulated robot assembly 703 .
  • the single articulated robot assembly 703 (hereafter SA robot assembly 703 ) is adapted to transfer substrates to and from the various process chambers contained in the front end processing rack 52 and the pod assembly 105 , or the rear processing rack 202 and stepper/scanner 5 , depending on whether the robot is a front end robot 108 or the rear robot 109 .
  • the SA robot assembly 703 generally contains a articulated robot 710 and a blade assembly 706 .
  • the articulated robot 710 is generally a 6-axis articulated robot which can be purchased from Mitsubishi Electric Corporation, of Tokyo, Japan, Kawasaki Robotics (USA), Inc., of Wixom, Mich., and Staubli Corp. of Duncan, S.C.
  • the blade assembly 706 generally contains a support 718 and a blade assembly 715 (e.g., first blade assembly 715 A), described above.
  • the support 718 attaches to and is guided by the articulated robot 710 so that robot blade 711 in a blade assembly 715 can pick-up and/or place a substrate in a processing chamber retained in a processing rack.
  • the single blade articulated robot assembly 703 may contain a pair of blade assemblies 715 (e.g., items 715 A and 715 C) such as one of the pairs illustrated and described in conjunction with FIG. 16C .
  • the front end robot 108 or the rear robot 109 are a dual blade assembly 705 as illustrated and described above in conjunction with FIGS. 16A-D and 14 A-B. This configuration will allow grouped transfer of the substrates throughout the system and thus increase throughput, CoO and system reliability.
  • the cover is used to enclose the actuator assembly 717 and other slide assembly features to prevent generated particles from making their way to the processing chambers and prevent damage to these features during maintenance of the cluster tool.
  • the actuator assembly 717 may generally contain an actuator 719 and a guiding mechanism 723 (elements 723 A and 723 B.
  • the actuator 719 is a direct drive linear brushless servomotor, which through communication with the base component 719 A (e.g., secondary coil or “rotor” section) mounted on the base 716 and a slider 719 B (e.g., stator), is adapted to move the AR assembly 702 along the length of the slide assembly 714 .
  • a direct drive linear brushless servomotor that may be purchased from Danaher Motion of Wood Dale, Ill. or Aerotech, Inc. of Pittsburgh, Pa.
  • the actuator 719 may be stepper motor or other type of actuator that can be used to position the robot.
  • the guiding mechanism 723 is mounted to the base 716 and is used to support and guide the robot as it is moved along the length of the slide assembly 714 .
  • the guide mechanism 723 may be a linear ball bearing slides or a conventional linear guide, which are well known in the art.
  • FIG. 16H illustrates a single robot mounted to the slide assembly 714
  • two or more robots may be affixed to the same slide assembly. This configuration can reduce cost by reducing the number of redundant parts and improve the precise motion of each of the robots relative each other.
  • FIG. 16H illustrates a dual blade articulated robot mounted to the slide assembly 714
  • the type of robot or number of blades is not intended to be limiting of the scope of the invention.
  • FIG. 16I illustrates a cross-sectional view of one embodiment of a robot having two fixed blades that are positioned to pick-up two substrates positioned in the two separate vertically stacked pod assemblies 105 .
  • the multiple bladed robot is adapted to pick-up and/or drop-off substrates positioned in the two cassettes (item #s 106 A-B) to allow grouped substrate transferring process to be performed at the start and/or the end of the substrate transferring sequence.
  • the cassettes and thus pod assemblies are spaced a distance “A” apart so that a robot can access the substrates in similar positions in each cassette.
  • a region 731 B may be formed to allow the first blade 711 A to access a position in the lower cassette 106 B while allowing the lower blade 711 B to enter the region 731 B without colliding with the wall 731 C. While FIG.
  • 16I illustrates a configuration where the robot blades 711 A-B are fixed to the support surfaces 720 A-B of the support 720 , and thus do not utilize a robot blade actuator 721 , other embodiments having robot blade actuators can be used without varying from the basic scope of the invention.
  • FIGS. 17A-C illustrate various embodiments of a shuttle robot 110 that can be adapted to transfer substrates between adjacent chambers in the various processing racks.
  • the design here may be advantageous for use when transferring substrates between a bake process chamber (e.g., bake chamber 90 , HMDS process chamber 70 , PEB chamber 130 , etc.) and a chill chamber 80 which are used in subsequent processing steps, for example, between the post BARC bake step 512 and the post BARC chill step 514 and the post photoresist coat bake step 522 and the post photoresist chill step 524 .
  • a bake process chamber e.g., bake chamber 90 , HMDS process chamber 70 , PEB chamber 130 , etc.
  • a chill chamber 80 which are used in subsequent processing steps, for example, between the post BARC bake step 512 and the post BARC chill step 514 and the post photoresist coat bake step 522 and the post photoresist chill step 524 .
  • the shuttle robot 110 is thus used to reduce the work load on the various system robots, such as, the front end robot 108 , the central robot 107 , and the rear robot 109 , thus allowing the system robots to do other tasks while the other processing steps are completed on the substrates.
  • FIG. 17B is an isometric view of one configuration in which the shuttle robot 110 is used to transfer substrates between two adjacent processing chambers, such as between a bake chamber 90 and a chill chamber 80 .
  • This configuration may thus be used between, for example, a bake chamber B 1 and chill chamber C 7 contained in the front end processing rack 52 shown in FIG. 4A , a PEB bake chamber PEB 1 and chill chamber C 3 contained in the rear processing rack 202 shown in FIG. 4D , or a HMDS process chamber P 1 and chill chamber C 1 contained in the front end processing rack 52 shown in FIG. 4A .
  • FIG. 17C is an isometric view of the backside of the adjacent processing chambers shown in FIG. 17A or 17 B which is intended to show an embodiment of the shuttle robot 110 .
  • the shuttle robot 110 generally contains a robot blade 111 and a shuttle robot actuator assembly 120 .
  • a shuttle robot actuator assembly 120 generally contains a robot blade actuator 112 , a slide assembly 113 and a robot drive assembly 119 .
  • the robot blade 111 generally contains a substrate retaining area 111 A and a mounting region 111 B.
  • the mounting region 111 B is an area of the robot blade 111 that is used to attach the robot blade 111 to the robot blade actuator 112 (see mount 112 A).
  • the substrate retaining area 111 A may be adapted to act as a conventional vacuum chuck, which is attached to a vacuum generating source (not shown), to hold a substrate during the substrate transferring process.
  • the robot blade actuator 112 is a device that is used to raise and lower the robot blade 111 so that the substrate can be transferred from one processing chamber to another.
  • the robot blade actuator 112 is an air cylinder.
  • a linear actuator e.g., linear brushless servo motor (not shown) is mounted between the robot blade actuator 112 and the robot blade 111 , so that the robot blade 111 can be extended and/or retracted (e.g., into or out of the chamber) to complete the substrate transfer process with the lift pins or other substrate retaining features in the processing chamber.
  • the slide assembly 113 is a linear ball bearing slide that guides the shuttle robot 110 as it transfers the substrates between the various processing chambers.
  • the slide assembly 113 generally contains a shuttle 113 A on which the robot blade actuator 112 is attached.
  • the clamp 118 is used to attach the shuttle 113 A to the belt 117 of the robot drive assembly 119 to allow the robot drive assembly 119 to move the robot blade 111 between the various processing chambers.
  • the robot drive assembly 119 is a belt and pulley type system which is used move the robot along the length of the slide assembly 113 .
  • the robot drive assembly 119 will generally contain two or more idler pulleys 116 A-B, a belt 117 and a motor 115 that is adapted to drive and control the position of the robot.
  • the motor 115 is a DC servomotor with an integrated encoder so that the system controller 101 can keep track of and control the position of the shuttle robot 110 .
  • the belt and pulley type system is replaced with a direct drive linear brushless servomotor that may be purchased from Danaher Motion of Wood Dale, Ill.
  • FIG. 18A illustrates one embodiment of an integrated bake/chill chamber 800 that may be used in conjunction with the various embodiments of the cluster tool.
  • the integrated bake/chill chamber 800 has three major processing regions: an input region 830 , a chill region 810 and a bake region 820 , which are adapted to perform a process sequence where various bake method steps (e.g., post BARC bake step 512 , PEB step 540 , etc.) and/or chilled method steps (e.g., post BARC chill step 514 , post PEB chill step 542 , etc.) are performed.
  • the integrated bake/chill chamber 800 may contain two or more access ports 802 (two shown in FIG.
  • the enclosure 804 generally contains an input station enclosure 804 A, a chill chamber enclosure 804 B and a bake chamber enclosure 804 C, that are adapted to isolate the various regions of the integrated bake/chill chamber 800 .
  • the input region 830 is used to receive a substrate from an external robot.
  • the input region 830 is generally an enclosed region that contains a substrate exchanging device, such as lift pins 836 or some other similar device, that is adapted to allow an external robot to pick up or drop-off a substrate in the integrated bake/chill chamber 800 .
  • the input region 830 is also configured to allow a chilled transfer arm assembly 832 to pick-up and drop off substrates from the lift pins 836 .
  • the chilled transfer arm assembly 832 generally contains a chilled blade 833 that has a blade receiving surface 834 and a plurality of cut-outs 835 that are adapted to allow the chilled blade 833 to pick-up, retain and drop-off substrates from the various substrate exchanging devices in the various processing regions of the integrated bake/chill chamber 800 .
  • the chilled blade 833 of the chilled transfer arm assembly 832 contains a heat exchanging device 837 ( FIG. 18B ) that is in thermal communication with the blade receiving surface 834 so that the temperature of a substrate positioned on the blade receiving surface 834 can be temperature controlled.
  • the temperature of the heat exchanging device 837 is monitored and controlled by use of a temperature controlling device 838 ( FIG.
  • the heat exchanging device 837 may be a thermal electric device and/or embedded heating elements so that the temperature of the substrate can be controlled.
  • the heat exchanging device 837 may contain a plurality of fluid channels (not shown) that are embedded in the chilled blade 833 , that are configured to allow a temperature controlled heat exchanging fluid to flow therethrough.
  • the blade receiving surface 834 may contain mechanical features (not shown) to retain a substrate on the receiving surface.
  • the blade receiving surface 834 may contain a plurality of vacuum ports (not shown) that are connected to a vacuum source (not shown) to retain the substrate and assure intimate contact between the substrate and the blade receiving surface 834 .
  • FIG. 18B illustrates one embodiment of the chilled transfer arm assembly 832 that utilizes a chilled blade actuator assembly 839 , similar to the shuttle robot actuator assembly 120 described above in conjunction with FIG. 17C , which is used to control the position of the chilled blade assembly 832 in any of the various processing regions of the integrated bake/chill chamber 800 .
  • the system controller 101 is utilized to position, both vertically and horizontally, the chilled blade assembly 832 in any of the various processing regions of the integrated bake/chill chamber 800 .
  • the chilled blade 833 is positioned by use of a chilled blade actuator assembly 839 , on which is mounted one or more surfaces of the integrated bake/chill chamber 800 .
  • the enclosure 804 contains a plurality of enclosure cut-outs 806 , which allow the chilled blade 833 to transfer a substrate between the various processing regions of the integrated bake/chill chamber 800 .
  • the chill region 810 contains the chill chamber 80 components illustrated and described in reference to FIG. 10A .
  • the enclosure 804 B contains one or more enclosure cut-outs 806 to allow the chilled transfer arm assembly 832 to facilitate the transfer of a substrate between the various processing regions of the integrated bake/chill chamber 800 .
  • the bake region 820 may contain all of the components of a bake chamber 90 , HMDS process chamber 70 , or a PEB chamber 130 as illustrated and described in reference to FIGS. 10B-D .
  • the enclosure 804 C contains one or more enclosure cut-outs 806 to allow the chilled transfer arm assembly 832 to transfer a substrate between the various processing regions of the integrated bake/chill chamber 800 .
  • an external robot delivers the substrate to the lift pins 836 of the input region 830 through an access port 802 .
  • the chilled blade 833 which is positioned below the lift pins 836 , then moves vertically to remove the substrate from the lift pins 836 and positions the substrate on the blade receiving surface 834 .
  • the chilled blade 833 is then moved to the bake region 820 where the chilled blade 833 deposits the substrate and then exits the bake region 820 so that a bake process can be performed on the substrate.
  • the chilled blade 834 picks up the substrate from the bake region 820 , transfers the substrate to a substrate exchanging device in the chill region 810 , and then exits the chill region 810 .
  • the substrate is removed from the chill region 810 through the access port 802 by use of the external robot.
  • the chilled blade 833 removes the substrate from the chill region 810 and deposits the substrate on the lift pins 836 in the input region. This configuration may be advantageous since the chill region 810 is made available to complete a chill process on a new substrate and/or it allows the external robot to pickup the substrate from the same position that it deposited the substrate.
  • FIG. 19A illustrates a plan view of one embodiment of the invention in which a cluster tool contains a cluster tool 10 A and a stepper/scanner 5 A.
  • a PEB chamber 5 C i.e., element 130 described above ( FIG. 10D )
  • the stepper scanner is detached from the cluster tool 10 A.
  • This configuration has an advantage over the prior art since the throughput of the stepper/scanner is often many times greater than the throughput of the track system type cluster tool, and thus dedicating one stepper/scanner to a single track system wastes the stepper/scanner's excess throughput capacity.
  • This embodiment allows a single stepper/scanner to service multiple track systems while also stabilizing the photoresist after performing the exposure process by performing the PEB step 540 and the post PEB chill step 542 in the stepper/scanner.
  • the cluster tool 10 A may contain the front end module 50 , a central module 150 , and a rear module 200 as illustrated and described above in relation to FIG. 1B .
  • the cluster tool 10 A is not integrated with the stepper/scanner and thus the rear robot 109 (shown in FIG. 2E ) has been removed from the rear module 200 to save cost and reduce system complexity.
  • the cluster tool 10 A may contain a different number of processing chambers and/or processing racks without deviating from the basic scope of the invention.
  • the stepper/scanner 5 A will generally contain one or more PEB chambers 5 C and one or more chill chambers 5 B (i.e., item 80 described above ( FIG. 10A )).
  • the number of PEB chambers and chill chambers that are required is dependent on the throughput need of the stepper/scanner 5 A and the processing time in the PEB and chill chambers.
  • the PEB chambers 5 C and/or chill chamber 5 B may act as an input stage and/or an output stage of the stepper/scanners, so the stepper/scanner robot (not shown) has a place to pickup and return substrates.
  • At least two PEB chambers may be integrated into the stepper/scanner in the positions 5 B and 5 C, not shown in FIG. 19A . In one embodiment, where the PEB chamber 5 C is adapted to both heat and cool the substrate (described above), only one PEB chamber is integrated into the stepper/scanner 5 .
  • FIG. 19B illustrates one embodiment of method steps 504 containing various process recipe steps that may be used in conjunction with the cluster tool 10 A and stepper/scanner 5 A illustrated in FIG. 19A .
  • the processing sequence can be split into three distinct parts, the cluster tool phase 1 , the stepper/scanner phase, and the cluster tool phase 2 .
  • the cluster tool phase 1 includes all of the processing steps completed before being transferred to the stepper/scanner tool which may include: a remove substrate from pod 508 A step, a BARC coat step 510 , a post BARC bake step 512 , a post BARC chill step 514 , a photoresist coat step 520 , a post photoresist coat bake step 522 , a post photoresist chill step 524 , an optical edge bead removal (OEBR) step 536 , and a place in pod step 508 B.
  • a remove substrate from pod 508 A step a BARC coat step 510 , a post BARC bake step 512 , a post BARC chill step 514 , a photoresist coat step 520 , a post photoresist coat bake step 522 , a post photoresist chill step 524 , an optical edge bead removal (OEBR) step 536 , and a place in pod step 508 B.
  • OEBR optical edge
  • the pod of substrates is then removed from the cluster tool 10 A and placed on the stepper/scanner 5 A so that the stepper scanner can perform its processing steps which may include: a remove substrate from pod 508 A step, an exposure step 538 , a post exposure bake (PEB) step 540 , a post PEB chill step 542 , and a place in pod step 508 B.
  • the pod of substrates are then removed from the stepper/scanner 5 A so that the cluster tool phase 2 steps can be completed which may include: a place in pod 508 A step, a develop step 550 , a post develop chill step 554 and a place in pod step 508 B.
  • the sequence of the method steps 504 may be rearranged, altered, one or more steps may be removed, or two or more steps may be combined into a single step without varying from the basic scope of the invention.
  • FIGS. 20A-B illustrate another embodiment of the cluster tool 10 in which the processing chambers contained in the various processing racks, shown in FIGS. 4A-K (e.g., front end processing rack 52 , the first central processing rack 152 , etc.), are not oriented in a linear fashion but are arranged around a common central point in the system.
  • the linear orientation of the chambers is that the top-most and bottom-most positions in a processing rack can be difficult for the robot to reach or requires a larger robot with greater arm extension to fully utilize all of the available space. This problem is especially problematic where the 6-axis articulated robots are used since their reach is limited by the distance from a central point.
  • FIG. 20A is a side view of an oval cluster tool configuration where a robot R 1 is able to access the process chambers (labeled PM 1 - 12 ) that are in a hemispherical shape.
  • the top-most and bottom-most stations in the corner stacks can be moved in toward the center of the track, further reducing the distance the robot needs to move to service them.
  • the corner stacks are cascaded in a staircase pattern from center to top and from center to bottom. The result is that a smaller robot with less reach can be used and the reduced reach distances will lower the robot handling times.
  • FIG. 20B illustrates an isometric view of one embodiment of a plurality of vertically spaced processing chambers (labeled PM 1 - 18 ) are arranged about a center point of the robot (labeled R 1 ).
  • This configuration takes advantage of the spherical work area provided by a 6-axis articulated robot by bringing the “corner” stacks closer to the center of the track, making them easier for the robot to reach.
  • the configurations illustrated in FIGS. 20A and 20B are merged to form a complete spherical, partial spherical or hemispherical orientation of the processing chambers surrounding the robot to reduce the distance the robot needs to move to service the processing chambers and reduce the transfer time between processing chambers.
  • FIGS. 21A-D illustrate another embodiment of the cluster tool 10 which uses multiple robots that are configured in a parallel processing configuration around the various processing racks so that a desired processing sequence can be performed.
  • the parallel processing configuration contains three robots (items 420 , 430 and 450 shown in FIG. 21B ) that move in vertical (hereafter defined as the z-direction) and parallel directions to access the various processing chambers retained in the processing racks aligned along the parallel direction.
  • the z-direction three robots that move in vertical (hereafter defined as the z-direction) and parallel directions to access the various processing chambers retained in the processing racks aligned along the parallel direction.
  • One advantage of this system configuration is that if one of the robots in the central region 425 breaks or is taken down for servicing the system can still continue to process substrates using the other two robots.
  • Another advantage of this configuration is the flexible and modular architecture allows the user to configure the number of processing chambers, processing racks, and processing robots required to meet the through
  • FIG. 21A is an isometric view that illustrates an embodiment of the cluster tool 10 which contains three robots that are adapted to access the various process chambers that are stacked vertically in a first processing rack 460 and a second processing rack 480 .
  • a stepper/scanner 5 which is typically attached to the rear region 445 is not shown in FIG. 21A .
  • FIGS. 21B-C are plan and side views of the embodiment of the cluster tool 10 shown in FIG. 21A .
  • FIGS. 21A-C are intended to illustrate some of the various robot and process chamber configurations that may be used in conjunction with this embodiment.
  • the cluster tool 10 will generally contain a front end region 405 , a central region 425 and a rear region 445 .
  • the front end region 405 generally contains one or more pod assemblies 105 and a front end robot 410 .
  • the one or more pod assemblies 105 , or FOUPs are generally adapted to accept one or more cassettes 106 that may contain one or more substrates “W”, or wafers, that are to be processed in the cluster tool 10 .
  • the central region 425 generally contains a first central robot 420 , a second central robot 430 , a third central robot 440 , a first processing rack 460 and a second processing rack 480 .
  • the first processing rack 460 and a second processing rack 480 contain various processing chambers (e.g., coater/developer chamber 60 , bake chamber 90 , chill chamber 80 , etc.) that are adapted to perform the various processing steps found in the substrate processing sequence.
  • the front end robot 410 is adapted to transfer substrates between a cassette mounted in a pod assembly 105 and the one or more processing chambers in the first processing racks 460 or a second processing rack 480 that abuts the front end region 405 .
  • the first central robot 420 , the second central robot 430 , and the third central robot 440 are adapted to transfer substrates to the various processing chambers contained in the first processing rack 460 and the second processing rack 480 .
  • the second central robot 430 is adapted to transfer substrates between the first processing rack 460 and the second processing rack 480 .
  • the first central robot 420 is adapted to access the processing chambers in the first processing rack 460 from at least one side, e.g., the first side 471 , as shown.
  • the second central robot 430 is adapted to access the processing chambers in the first processing rack 460 from at least one side, and the second processing rack 480 from at least one side, e.g., the second side 472 of the first processing rack and the first side 473 of the second processing rack 480 .
  • the third central robot 450 is adapted to access the processing chambers in the second processing rack 480 from at least one side, e.g., the second side 474 , as shown.
  • first side 471 of the first processing rack 460 , the second side 472 of the first processing rack 460 , the first side 473 of the second processing rack 480 and the second side 474 of the second processing rack 480 are all aligned along a direction parallel to the horizontal motion assembly 490 (described below) of each of the various robot assemblies (i.e., first central robot 420 , second central robot 430 , third central robot 450 ).
  • the rear region 445 contains a rear robot 440 which is adapted to transfer substrates between the processing chambers retained in the first processing racks 460 and a second processing rack 480 that abut the rear region 445 and a stepper/scanner 5 .
  • FIG. 21D illustrates a side view of one embodiment of the first processing rack 460 as viewed when facing the first processing rack 460 while standing on the side closest to the third central robot 440 , and thus will coincide with the views shown in FIGS. 21A-C .
  • the first processing rack 460 will generally contain one or more coater/developer chambers 60 , one or more chill chambers 80 , one or more bake chambers 90 , one or more OEBR chambers 62 , one or more PEB chambers 130 , one or more support chambers 65 , and/or one or more HMDS chambers 70 .
  • FIG. 21D illustrates a side view of one embodiment of the first processing rack 460 as viewed when facing the first processing rack 460 while standing on the side closest to the third central robot 440 , and thus will coincide with the views shown in FIGS. 21A-C .
  • the first processing rack 460 will generally contain one or more coater/developer chambers 60 , one or more chill chambers 80 , one or more bake
  • the first processing rack 460 contains eight coater/developer chambers 60 (labeled CD 1 - 8 ), eighteen chill chambers 80 (labeled C 1 - 18 ), eight bake chambers 90 (labeled B 1 - 8 ), six PEB chambers 130 (labeled PEB 1 - 6 ), two OEBR chambers 62 (labeled 62 ) and/or six HMDS process chambers 70 (labeled P 1 - 6 ).
  • FIG. 21E illustrates a side view of one embodiment of the second processing rack 480 as viewed when facing the second processing rack 480 while standing on the side closest to the third central robot 440 , and thus will coincide with the views shown in FIGS. 21A-C .
  • the second processing rack 480 will generally contain one or more coater/developer chambers 60 , one or more chill chambers 80 , one or more bake chambers 90 , one or more OEBR chambers 62 , one or more PEB chambers 130 , one or more support chambers 65 , and/or one or more HMDS chambers 70 .
  • FIG. 21E illustrates a side view of one embodiment of the second processing rack 480 as viewed when facing the second processing rack 480 while standing on the side closest to the third central robot 440 , and thus will coincide with the views shown in FIGS. 21A-C .
  • the second processing rack 480 will generally contain one or more coater/developer chambers 60 , one or more chill chambers 80 , one or more bake
  • the second processing rack 480 contains four coater/developer chambers 60 (labeled CD 1 - 4 ), twenty four chill chambers 80 (labeled C 1 - 24 ), twelve bake chambers 90 (labeled B 1 - 12 ), six PEB chambers 130 (labeled PEB 1 - 6 ) and/or six support chambers 65 (labeled S 1 - 6 ).
  • FIGS. 21A-E The orientation, positioning and number of process chambers shown in the FIGS. 21A-E are not intended to be limiting as to the scope of the invention, but are intended to illustrate the various embodiments of the invention.
  • FIG. 21F illustrates the processing steps which each of the cluster tool robots will service in the completion of the method steps 501 , shown in FIG. 3A , using the cluster tool configuration illustrated in FIGS. 21A-D .
  • the method steps 508 A, 510 , 550 and 508 B enclosed in the box labeled “A” are serviced by the front end robot 410 .
  • the BARC coat step 510 is completed in a coater chamber 60 A mounted in the first processing rack 460 that abuts the front end region 405 . Referring to FIGS.
  • the front end robot 410 removes a substrate from a pod assembly 105 and places the substrate in one of the coater chambers 60 A labeled CD 1 or CD 2 in the first processing rack 460 .
  • the BARC coat step 510 is completed in a coater chamber 60 A mounted in the first processing rack 460 or the second processing rack 480 that abuts the front end region 405 .
  • the develop step 550 may completed in a chill chamber 80 mounted in the second processing rack 480 that abuts the front end region 405 .
  • the process of transferring substrates between the method steps 510 through 536 are completed using the first central robot 420 and the second central robot 430 and the chambers contained in the first processing rack 460 .
  • the second central robot 430 may be used to transfer the substrates to and from the first processing rack 460 and the second processing rack 480 so that available chambers in these racks can be used as required to meet the process sequence requirements.
  • the process of transferring substrates between the processing steps 536 through 550 , which are enclosed in the box labeled “C”, are completed using the rear robot 450 .
  • the OEBR step 536 is completed in a OEBR chamber 62 mounted in the first processing rack 460 that abuts the rear region 445 .
  • the rear robot 450 removes a substrate from OEBR chamber 62 and exchanges the substrate in the stepper/scanner 5 where the exposure step 538 is completed.
  • the rear robot 450 removes the substrate from stepper/scanner 5 and places the substrate in one of the PEB chambers labeled PEB 1 - 6 contained in the first processing rack 460 or the second processing rack 480 .
  • the process of transferring substrates between the processing steps 540 through 550 are completed using the second central robot 430 and the third robot 440 , and the chambers contained in the second processing rack 480 .
  • the second central robot 430 may be used to transfer the substrates to and from the first processing rack 460 and the second processing rack 480 so that available chambers in these racks can be used as required to meet the process sequence requirements.
  • the front end robot 410 then removes the substrate from one of the developer chambers labeled CD 1 or CD 2 and place the substrate in its respective pod assembly 105 .
  • FIG. 21G illustrates an embodiment of a robot assembly 411 that may be adapted for use as the front end robot 410 , the first central robot 420 , the second central robot 430 , the third central robot 440 and/or the rear robot 450 .
  • the robot assembly 411 generally contains a robot hardware assembly 485 , a horizontal motion assembly 490 and two vertical motion assemblies 495 .
  • the robot hardware assembly 485 generally contains a conventional selectively compliant articulated robot arm (SCARA) robot containing two independently controllable arms/blades.
  • SCARA selectively compliant articulated robot arm
  • FIG. 21H a single blade type robot hardware assembly 485 is used to transfer substrates.
  • a dual blade robot may be advantageous, for example, where the robot has to remove a substrate from a processing chamber prior to placing the next substrate in the same processing chamber.
  • An exemplary dual bladed robot may be purchased from Asyst Technologies in Fremont, Calif.
  • the front end robot 410 , the first central robot 420 , the second central robot 430 , the third central robot 440 and/or the rear robot 450 may be adapted to transfer substrates in groups of two or more to improve the system throughput by parallel processing the substrates.
  • a robot containing multiple independently controllable arms/blades is used to pick up a plurality of substrates from a plurality of processing chambers and then transfer and deposit the substrates in a plurality of subsequent processing chambers.
  • the robot is adapted to pick-up or drop off simultaneously using an arm that has multiple blades that are spaced a desired distance, or pitch, apart.
  • the front end robot 410 , the first central robot 420 , the second central robot 430 , the third central robot 440 and/or the rear robot 450 may have a pair of blade assemblies 715 A and 715 B mounted on a support 720 (shown in FIGS. 16A-B ) that is attached to an end of a SCARA robot's independently controllable arms/blades.
  • the robot is adapted to separately pick-up, transfer and drop off multiple substrates.
  • a two arm robot is adapted to pick-up a substrate using a first arm, or blade, from a first chamber and then move to second processing chamber to pick-up a substrate using a second arm, or blade, so that they can be transferred and dropped off in a group.
  • the horizontal motion assembly 490 generally contains an enclosure 491 , a robot actuator 489 , a robot support interface 487 , a linear slide 488 and cable guide assembly 492 .
  • the linear slide 488 may contain one or more linear ball bearing slides, or a conventional linear guide, that guides the robot support interface 487 (e.g., robot base interface) and robot hardware assembly 485 as it transfers the substrates between the various processing chambers.
  • the robot actuator 489 is a direct drive linear brushless servomotor, illustrated in FIG. 21I , which is adapted to move the robot support interface 487 relative to the linear slide 488 mounted on the support structure 486 of the enclosure 491 .
  • 21H illustrates one embodiment of the horizontal motion assembly 490 in which a motor 489 A (e.g., DC servo motor, stepper motor, etc.), a belt (not shown) and pulley system (not shown) which runs horizontally along the length of the horizontal motion assembly 490 , are adapted to transfer and position the robot support interface 487 so that substrates can be transferred between the processing chambers.
  • a motor 489 A e.g., DC servo motor, stepper motor, etc.
  • a belt not shown
  • pulley system not shown
  • FIG. 21H illustrates an isometric view of an embodiment of a robot assembly 411 shown in FIG. 21G that is intended to illustrate the internal components contained in the horizontal motion assembly 490 and vertical motion assemblies 495 .
  • the vertical motion assembly 495 generally contains a lift rail assembly 495 A, a lift actuator 495 B, and a vertical enclosure 495 D (see FIG. 21G , not shown in FIG. 21H ).
  • the lift rail assembly 495 A contains a structural support 496 and a guide mechanism 494 to precisely raise and lower the horizontal motion assembly 490 .
  • the structural support 496 is a conventional structural member, such as an I-beam or other common structural component, that is designed to connect the robot assembly 411 to a frame member (not shown) in the cluster tool 10 and support the weight and loads created by the vertical motion assembly 495 and the horizontal motion assembly 490 components.
  • the guide mechanism 494 may be a linear ball bearing slide or a conventional linear guide that is able to align and precisely guide the horizontal motion assembly 490 as it moves vertically along the guide mechanism 494 .
  • the lift actuator 495 B contains a motor 495 C (e.g., DC servomotor, stepper motor, or other type of actuator) that is used in conjunction with a belt and pulley configuration (not shown) to raise and lower the horizontal motion assembly 490 and its components.
  • the lift actuator 495 B is a direct drive linear brushless servomotor that may be purchased from Danaher Motion of Wood Dale, Ill.
  • each vertical motion assembly contains a lift actuator 495 B to raise and lower the horizontal motion assembly 490 and other supporting components.
  • a single lift actuator 495 B mounted to one of the two vertical motion assemblies 495 and the other vertical motion assembly 495 only contains the guiding mechanism 494 .
  • FIG. 21I illustrates an isometric view of one embodiment of the enclosure 491 contained in the horizontal motion assembly 490 .
  • the enclosure 491 is adapted to cover and support the components in the horizontal motion assembly 490 , for safety and contamination reduction reasons. Since particle generation is commonly generated by mechanical components that roll, slide, or contact each other, it is important to assure that the components in the horizontal motion assembly 490 , and also the vertical motion assembly 495 , do not cause defects on the substrates while the substrates are transferred through the cluster tool.
  • the enclosure 491 generally contains a plurality of walls (see items 491 A-F) and a support structure 486 , which form an enclosed region that minimizes the chance that generated particles inside the enclosure can make their way to the surface of a substrate.
  • the support structure 486 is a structural member to which the walls 491 A-F, robot actuator 489 , robot hardware assembly 485 , and linear slides 488 all attach.
  • the fan unit 493 is adapted to draw air from inside the enclosure 491 through a fan port 491 G formed in one of the walls of the enclosure 491 and pushes the particulate containing air through a filter (not shown) to remove particles before it is exhausted (see item “A”) into the cluster tool 10 .
  • a fan 493 A contained in the fan unit 493 , is designed to create a negative pressure inside the enclosure 491 so that air outside the enclosure is drawn into the enclosure thus limiting the possibility of particles generated inside the enclosure 491 from leaking out.
  • the filter (not shown) is a HEPA type filter or other type of filter that can remove the generated particulates from the air. The configuration shown in FIG.
  • 21I illustrates an embodiment where there are three fan units 493 that are used to draw air from the enclosure.
  • a single or dual fan unit system may be used in place of a three fan unit 493 configuration, as shown, without varying form the scope of the invention.
  • a fan unit 493 (not shown) is adapted to draw air from inside each of the vertical enclosures 495 D to minimize the chance that the particles generated inside the vertical motion assembly 495 will cause defects on the devices formed on the surface of the substrate.
  • the robot is repeatedly calibrated to pick up and drop off a substrate from a transfer position.
  • the transfer position may be, for example, the center point between the process chamber lift pins or the center point of the chuck.
  • FIG. 22A illustrates an isometric view of two adjacent process chambers 1220 (e.g., bake chamber 90 , chill chamber 80 , coater/developer chamber 60 , etc.) retained in a processing rack that have two separate substrate position error detection and correction systems 1200 mounted outside each of their openings 88 .
  • FIG. 22A illustrates one embodiment of the SPEDAC system 1200 in which the transmitters 1206 are mounted to a top support 1204 and the detectors 1205 are mounted n a bottom support 1203 which are all connected to the process chamber 1220 .
  • the SPEDAC system 1200 determines the presence of a substrate on a substrate transport robot blade as it enters or exits the opening 88 found in the various processing chambers and corrects for any error by repositioning the robot blade 1210 in subsequent transferring steps.
  • the SPEDAC system 1200 utilizes a pair of beams (item “A”) sent from two pairs of transmitters 1206 to detectors 1205 to detect the position of the substrate as it passes through the beams and adjusts the robot position to compensate for any error in the substrate's position.
  • the system determines the extent of the misalignment and corrects such misalignment, if correctable, by the movement of the robot blade position or alerts an operator for operator intervention.
  • Another embodiment which may be used to improve the system uptime and system reliability by preventing substrate damage is the use of global positioning system (GPS) (not shown) to track and correct errors in the position of the robot blade and/or the position of the substrate.
  • GPS global positioning system
  • the global positioning detection system is used to define the location of the robot blade (substrate or robot end effector) with respect to a predetermined system datum.
  • positional feedback of the robot blade's position is provided by incorporating encoders on shafts of drive motors for each control axis, that report the position of the motor and not the actual position of the robot blade.
  • a global positioning device 1300 and a communicating system is integrated into the robot blade or robot to measure and feedback its position to the system controller 101 . Therefore, by use of previously collected 3-dimensional coordinate system measurements of each transfer position, using the GPS sensor or other device, the system controller can correct errors in the blade position by adjusting the position of the various robot parts.
  • the robot parts are positioned by use of conventional control means which may include encoders and other devices feedback type device used to control the robot's position.
  • real-time positional feedback of the blade position can be accomplished by the communication of the global positioning device 1300 which is in communication with a RF transmitter 1302 mounted near the robot blade, that is in communication with an RF receiver 1303 that communicates with the system controller 101 .
  • the feedback of the global positioning device 1300 allows the actual position of the robot blade to be compared to the commanded position eliminating positional drifting and failures due to undetected hardware failures,
  • the system controller 101 uses the GPS system and the SPEDAC system 1200 (described above) to correct the robot positional placement and also the substrate to robot blade misalignment errors. This embodiment thus can be used to correct for substrate placement errors or movement of the substrate relative to the robot blade.

Abstract

The present invention generally provides a cluster tool for processing a substrate. In one embodiment, the cluster tool comprises at least one processing rack, which comprises a first plurality of substrate processing chambers that are positioned adjacent to each other and aligned in a first direction, a second plurality of substrate processing chambers that are positioned adjacent to each other and adjacent to at least one of the first plurality of substrate processing chambers, the second plurality of substrate processing chambers being positioned in a second direction relative to the first direction, a first shuttle robot movable in the first direction for moving substrates between each of the first plurality of substrate processing chambers, and a second shuttle robot movable in the second direction for moving substrates between each of the second plurality of substrate processing chambers.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application is a continuation of U.S. patent application Ser. No. 12/254,778, filed Oct. 20, 2008, which is a divisional of U.S. patent application Ser. No. 11/458,664, now U.S. Pat. No. 7,694,647, filed Jul. 19, 2006, which is a continuation of U.S. patent application Ser. No. 11/112,281 that is now U.S. Pat. No. 7,357,842, filed Apr. 22, 2005, which claims benefit of U.S. provisional patent application Ser. No. 60/639,109 filed Dec. 22, 2004, all which are hereby incorporated by reference herein.
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • Embodiments of the invention generally relate to an integrated processing system containing multiple processing stations and robots that are capable of processing multiple substrates in parallel.
  • 2. Description of the Related Art
  • The process of forming electronic devices is commonly done in a multi-chamber processing system (e.g., a cluster tool) that has the capability to sequentially process substrates, (e.g., semiconductor wafers) in a controlled processing environment. A typical cluster tool used to deposit (i.e., coat) and develop a photoresist material, commonly known as a track lithography tool, will include a mainframe that houses at least one substrate transfer robot which transports substrates between a pod/cassette mounting device and multiple processing chambers that are connected to the mainframe. Cluster tools are often used so that substrates can be processed in a repeatable way in a controlled processing environment. A controlled processing environment has many benefits which include minimizing contamination of the substrate surfaces during transfer and during completion of the various substrate processing steps. Processing in a controlled environment thus reduces the number of generated defects and improves device yield.
  • The effectiveness of a substrate fabrication process is often measured by two related and important factors, which are device yield and the cost of ownership (CoO). These factors are important since they directly affect the cost to produce an electronic device and thus a device manufacturer's competitiveness in the market place. The CoO, while affected by a number of factors, is greatly affected by the system and chamber throughput, or simply the number of substrates per hour processed using a desired processing sequence. A process sequence is generally defined as the sequence of device fabrication steps, or process recipe steps, completed in one or more processing chambers in the cluster tool. A process sequence may generally contain various substrate (or wafer) electronic device fabrication processing steps. In an effort to reduce CoO, electronic device manufacturers often spend a large amount of time trying to optimize the process sequence and chamber processing time to achieve the greatest substrate throughput possible given the cluster tool architecture limitations and the chamber processing times. In track lithography type cluster tools, since the chamber processing times tend to be rather short, (e.g., about a minute to complete the process) and the number of processing steps required to complete a typical process sequence is large, a significant portion of the time it takes to complete the processing sequence is taken up transferring the substrates between the various processing chambers. A typical track lithography process sequence will generally include the following steps: depositing one or more uniform photoresist (or resist) layers on the surface of a substrate, then transferring the substrate out of the cluster tool to a separate stepper or scanner tool to pattern the substrate surface by exposing the photoresist layer to a photoresist modifying electromagnetic radiation, and then developing the patterned photoresist layer. If the substrate throughput in a cluster tool is not robot limited, the longest process recipe step will generally limit the throughput of the processing sequence. This is usually not the case in track lithography process sequences, due to the short processing times and large number of processing steps. Typical system throughput for the conventional fabrication processes, such as a track lithography tool running a typical process, will generally be between 100-120 substrates per hour.
  • Other important factors in the CoO calculation are the system reliability and system uptime. These factors are very important to a cluster tool's profitability and/or usefulness, since the longer the system is unable to process substrates the more money is lost by the user due to the lost opportunity to process substrates in the cluster tool. Therefore, cluster tool users and manufacturers spend a large amount of time trying to develop reliable processes, reliable hardware and reliable systems that have increased uptime.
  • The push in the industry to shrink the size of semiconductor devices to improve device processing speed and reduce the generation of heat by the device, has caused the industry's tolerance to process variability to diminish. Due to the shrinking size of semiconductor devices and the ever increasing device performance requirements, the allowable variability of the device fabrication process uniformity and repeatability has greatly decreased. To minimize process variability an important factor in the track lithography processing sequences is the issue of assuring that every substrate run through a cluster tool has the same “wafer history.” A substrate's wafer history is generally monitored and controlled by process engineers to assure that all of the device fabrication processing variables that may later affect a device's performance are controlled, so that all substrates in the same batch are always processed the same way. To assure that each substrate has the same “wafer history” requires that each substrate experiences the same repeatable substrate processing steps (e.g., consistent coating process, consistent hard bake process, consistent chill process, etc.) and the timing between the various processing steps is the same for each substrate. Lithography type device fabrication processes can be especially sensitive to variations in process recipe variables and the timing between the recipe steps, which directly affects process variability and ultimately device performance. Therefore, a cluster tool and supporting apparatus capable of performing a process sequence that minimizes process variability and the variability in the timing between process steps is needed. Also, a cluster tool and supporting apparatus that is capable of performing a device fabrication process that delivers a uniform and repeatable process result, while achieving a desired substrate throughput is also needed.
  • Therefore, there is a need for a system, a method and an apparatus that can process a substrate so that it can meet the required device performance goals and increase the system throughput and thus reduce the process sequence CoO.
  • SUMMARY OF THE INVENTION
  • The present invention generally provides a cluster tool for processing a substrate. In one embodiment, the cluster tool comprises at least one processing rack, which comprises a first plurality of substrate processing chambers that are positioned adjacent to each other and aligned in a first direction, a second plurality of substrate processing chambers that are positioned adjacent to each other and adjacent to at least one of the first plurality of substrate processing chambers, the second plurality of substrate processing chambers being positioned in a second direction relative to the first direction, a first shuttle robot movable in the first direction for moving substrates between each of the first plurality of substrate processing chambers, and a second shuttle robot movable in the second direction for moving substrates between each of the second plurality of substrate processing chambers.
  • In another embodiment, the cluster tool comprises at least one processing rack, which comprises a first plurality of substrate processing chambers that are positioned adjacent to each other and aligned in a horizontal direction, a second plurality of substrate processing chambers that are positioned adjacent to each other and adjacent to each of the first plurality of substrate processing chambers in a vertical direction, a first shuttle robot movable in the horizontal direction for moving substrates between each of the first plurality of substrate processing chambers, and a second shuttle robot movable in the horizontal direction for moving substrates between each of the second plurality of substrate processing chambers.
  • In another embodiment, the cluster tool comprises at least a first processing rack, which comprises a first plurality of substrate processing chambers that are positioned adjacent to each other and aligned in a horizontal direction, each of the first plurality of processing chambers being isolated from each other and having a shuttle robot movable in the horizontal direction for moving substrates between each of the first plurality of substrate processing chambers, and a second plurality of substrate processing chambers that are positioned adjacent to each other and adjacent to each of the first plurality of substrate processing chambers in a vertical direction, each of the second plurality of processing chambers being isolated from each other and having a shuttle robot movable in the horizontal direction for moving substrates between each of the second plurality of substrate processing chambers.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • So that the manner in which the above recited features of the present invention can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to embodiments, some of which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
  • FIG. 1A is an isometric view illustrating a cluster tool according to an embodiment of the invention.
  • FIG. 1B is a plan view of the processing system illustrated in FIG. 1A wherein the present invention may be used to advantage.
  • FIG. 1C is another isometric view illustrating a view from the opposite side shown in FIG. 1A.
  • FIG. 2A is a plan view that illustrates another embodiment of cluster tool that only contains a front end module, which is adapted to communicate with a stepper/scanner tool.
  • FIG. 2B is a plan view that illustrates another embodiment of cluster tool that only contains a stand-alone front end module.
  • FIG. 2C is a plan view that illustrates another embodiment of cluster tool that contains a front end module and a central module, wherein the central module is adapted to communicate with a stepper/scanner tool.
  • FIG. 2D is a plan view that illustrates another embodiment of cluster tool that contains a front end module, a central module and a rear module, wherein the rear module contains a first rear processing rack and a second rear processing rack and the rear robot is adapted to communicate with a stepper/scanner tool.
  • FIG. 2E is a plan view of a processing system illustrated in FIG. 1A, that contains a twin coater/developer chamber 350 and integrated bake/chill chamber 800 wherein the present invention may be used to advantage.
  • FIG. 2F is a plan view that illustrates another embodiment of cluster tool that contains a front end module and a central processing module, which each contain two processing racks.
  • FIG. 2G is a plan view that illustrates another embodiment of cluster tool that contains a front end module, central processing module and a rear processing module, which each contain two processing racks.
  • FIG. 2H is a plan view that illustrates another embodiment of cluster tool that contains a front end module and a central processing module, which each contain two processing racks and a slide assembly to allow the base of the front end and central robots to translate.
  • FIG. 2I is a plan view that illustrates another embodiment of cluster tool that contains a front end module, central processing module and a rear processing module, which each contain two processing racks and two slide assemblies to allow the base of the front end, central robot and rear robots to translate.
  • FIG. 3A illustrates one embodiment of a process sequence containing various process recipe steps that may be used in conjunction with the various embodiments of the cluster tool described herein.
  • FIG. 3B illustrates another embodiment of a process sequence containing various process recipe steps that may be used in conjunction with the various embodiments of the cluster tool described herein.
  • FIG. 3C illustrates another embodiment of a process sequence containing various process recipe steps that may be used in conjunction with the various embodiments of the cluster tool described herein.
  • FIG. 4A is a side view that illustrates one embodiment of the front end processing rack 52 according to the present invention.
  • FIG. 4B is a side view that illustrates one embodiment of the first processing rack 152 according to the present invention.
  • FIG. 4C is a side view that illustrates one embodiment of the second processing rack 154 according to the present invention.
  • FIG. 4D is a side view that illustrates one embodiment of the rear processing rack 202 according to the present invention.
  • FIG. 4E is a side view that illustrates one embodiment of the first rear processing rack 302 according to the present invention.
  • FIG. 4F is a side view that illustrates one embodiment of the second rear processing rack 304 according to the present invention.
  • FIG. 4G is a side view that illustrates one embodiment of the first processing rack 308 according to the present invention.
  • FIG. 4H is a side view that illustrates one embodiment of the second processing rack 309 according to the present invention.
  • FIG. 4I is a side view that illustrates one embodiment of the first central processing rack 312 and the first rear processing rack 318, according to the present invention.
  • FIG. 4J is a side view that illustrates one embodiment of the second central processing rack 314 and the second rear processing rack 319, according to the present invention.
  • FIG. 4K is a side view that illustrates one embodiment of the first processing rack 322 according to the present invention.
  • FIG. 5A is a side view that illustrates one embodiment of a coater chamber wherein the present invention may be used to advantage.
  • FIG. 5B is a side view that illustrates one embodiment of a coater chamber wherein the present invention may be used to advantage.
  • FIG. 5C is a side view that illustrates one embodiment of a coater/developer chamber that contains a showerhead assembly wherein the present invention may be used to advantage
  • FIG. 5D is a side view that illustrates one embodiment of a developer chamber wherein the present invention may be used to advantage.
  • FIG. 6A is an exploded isometric view of one embodiment of the fluid source assembly.
  • FIG. 6B is an exploded isometric view of one embodiment of the fluid source assembly.
  • FIG. 7A illustrates a plan view of one embodiment of a coater chamber that contains a fluid dispense arm that has a single degree of freedom.
  • FIG. 7B illustrates a plan view of one embodiment of a coater chamber that contains a fluid dispense arm that has a two degrees of freedom.
  • FIG. 8A is a side view of one embodiment of the developer chamber 60B that contains a developer endpoint detector assembly 1400.
  • FIG. 8B is process method step used to improve the endpoint detection process described in conjunction with FIG. 8A.
  • FIG. 8C is a side view of one embodiment of the developer chamber 60B that contains a developer endpoint detector assembly 1400.
  • FIG. 9A is a plan view of a twin coater/developer chamber 350 according to the present invention.
  • FIG. 9B is a plan view of a twin coater/developer chamber 350 according to the present invention.
  • FIG. 10A is a side view that illustrates one embodiment of a chill chamber wherein the present invention may be used to advantage.
  • FIG. 10B is a side view that illustrates one embodiment of a bake chamber wherein the present invention may be used to advantage.
  • FIG. 10C is a side view that illustrates one embodiment of a HMDS process chamber wherein the present invention may be used to advantage.
  • FIG. 10D is a side view that illustrates one embodiment of a Post Exposure Bake (PEB) chamber wherein the present invention may be used to advantage.
  • FIG. 11A is side view that illustrates one embodiment of a plate assembly that may be used to rapidly heat and cool a substrate.
  • FIG. 12A is a side view of a bake chamber, PEB chamber or HMDS process chamber that contains one embodiment of a process endpoint detection system.
  • FIG. 12B is a side view of a bake chamber, PEB chamber or HMDS process chamber that contains another embodiment of the process endpoint detection system.
  • FIG. 12C is process method step used to improve the endpoint detection process described in conjunction with FIGS. 12A-B.
  • FIG. 13A is a side view of a processing chamber that illustrates one embodiment of a plate assembly that has improved thermal coupling and reduced contact with the substrate surface.
  • FIG. 13B is a plan view of the top of the plate assembly shown in FIG. 13A.
  • FIG. 13C is a cross-sectional view of a seed crystal imbedded in the surface of the plate assembly shown in FIG. 13A.
  • FIG. 13D is a cross-sectional view of a seed crystal imbedded in the surface of the plate assembly shown in FIG. 13A, that has a selectively deposited layer on its surface.
  • FIG. 14A is a plan view of a processing system illustrated in FIG. 1B that illustrates a transfer path of a substrate through the cluster tool following the process sequence illustrated in FIG. 3A.
  • FIG. 14B is a plan view of a processing system illustrated in FIG. 2F that illustrates a transfer path of a substrate through the cluster tool following the process sequence illustrated in FIG. 3A.
  • FIG. 15A is an isometric view illustrating one embodiment of a cluster tool of the invention that contains a frog-leg robot.
  • FIG. 15B is a plan view of a processing system illustrated in FIG. 15A, according to the present invention.
  • FIG. 15C is an isometric view illustrating one embodiment of a frog-leg robot assembly according to the present invention.
  • FIG. 15D is a plan view of a frog-leg robot assembly of the invention.
  • FIG. 16A is an isometric view illustrating one embodiment of a dual blade 6-axis articulated robot assembly according to the present invention.
  • FIG. 16B is an isometric view illustrating one embodiment of the dual blade assembly shown in FIG. 16A.
  • FIG. 16C is an isometric view illustrating one embodiment of the dual blade assembly shown in FIG. 16A.
  • FIG. 16D is an isometric view illustrating one embodiment of the dual blade assembly shown in FIG. 16A that allows a variable pitch between robot blades.
  • FIG. 16E illustrates a cross-sectional view of an over/under type dual blade assembly where a single blade has been extended to access a substrate in a cassette in a pod assembly.
  • FIG. 16F is an isometric view illustrating one embodiment of a single blade 6-axis articulated robot assembly wherein the present invention may be used to advantage.
  • FIG. 16G is an isometric view illustrating one embodiment of the single blade assembly shown in FIG. 16F.
  • FIG. 16H is an isometric view illustrating one embodiment of a dual blade 6-axis articulated robot assembly and slide assembly according to the present invention.
  • FIG. 16I illustrates a cross-sectional view of a dual blade assembly where the blades are positioned to transfer substrates from in a pair of cassettes.
  • FIG. 17A is an isometric view of one embodiment of a bake chamber, a chill chamber and a robot adapted to transfer the substrate between the chambers.
  • FIG. 17B is an isometric view of one embodiment of a bake chamber, a chill chamber and a robot adapted to transfer the substrate between the chambers.
  • FIG. 17C is an isometric view showing the opposing side of the view shown in FIG. 17A which illustrates the robot adapted to transfer the substrate between the chambers.
  • FIG. 18A is an isometric view of one embodiment of a bake/chill chamber 800.
  • FIG. 18B is an isometric view showing the opposing side of the view shown in FIG. 18A which illustrates the robot adapted to transfer the substrate between the chambers.
  • FIG. 19A is a plan view that illustrates another embodiment of cluster tool and stepper/scanner tool, where the stepper/scanner is separated from the cluster tool. The stepper/scanner has at least one PEB chamber integrated into the stepper/scanner.
  • FIG. 19B illustrates one embodiment of a process sequence containing various process recipe steps that may be used in conjunction with the various embodiments of the cluster tool shown in FIG. 19A.
  • FIG. 20A is a side view of the robot illustrated in FIG. 16A which is used in a processing rack configuration that is configured to conform to the robot's reach.
  • FIG. 20B is an isometric view another embodiment of a processing rack configuration that is adapted to conform to the reach of a robot having a central mounting point.
  • FIG. 21A is an isometric view illustrating another embodiment of a cluster tool of the invention.
  • FIG. 21B is a plan view of the processing system illustrated in FIG. 21A, according to the present invention.
  • FIG. 21C is a side view of the processing system illustrated in FIG. 21A, according to the present invention.
  • FIG. 21D is a side view that illustrates one embodiment of the first processing rack 460 of the cluster tool illustrated in FIG. 21A.
  • FIG. 21E is a side view that illustrates one embodiment of the second processing rack 480 according to the present invention.
  • FIG. 21F illustrates one embodiment of a process sequence containing various process recipe steps that may be used in conjunction with the various embodiments of the cluster tool described herein.
  • FIG. 21G is an isometric view illustrating one embodiment of a robot that may be adapted to transfer substrates in various embodiments of the cluster tool.
  • FIG. 21H is an isometric view illustrating one embodiment of a robot shown in FIG. 21G that utilizes a single arm robot. In this view the enclosure components have been removed.
  • FIG. 21I is an isometric view illustrating one embodiment of a horizontal motion assembly shown in FIGS. 21G and 21H.
  • FIG. 22A illustrates an isometric view of processing chambers retained in a processing rack that have a substrate position error detection and correction systems mounted outside each of their openings.
  • DETAILED DESCRIPTION
  • The present invention generally provides an apparatus and method for processing substrates using a multi-chamber processing system (e.g., a cluster tool) that has an increased system throughput, increased system reliability, more repeatable wafer processing history (or wafer history) within the cluster tool, and also a reduced footprint of the cluster tool. In one embodiment, the cluster tool is adapted to perform a track lithography process in which a substrate is coated with a photosensitive material, is then transferred to a stepper/scanner, which exposes the photosensitive material to some form of radiation to form a pattern in the photosensitive material, and then certain portions of the photosensitive material are removed in a developing process completed in the cluster tool.
  • FIGS. 1A and 1C are isometric views of one embodiment of a cluster tool 10 that illustrates a number of the aspects of the present invention that may be used to advantage. One embodiment of the cluster tool 10, as illustrated in FIGS. 1A and 1C, contains a front end module 50, a central module 150, and a rear module 200. The front end module 50 generally contains one or more pod assemblies 105 (e.g., items 105A-D), a front end robot 108 (FIG. 1B), and a front end processing rack 52. The central module 150 will generally contain a first central processing rack 152, a second central processing rack 154, and a central robot 107 (FIG. 1B). The rear module 200 will generally contain a rear processing rack 202 and a rear robot 109 (FIG. 1B). In one embodiment, the cluster tool 10 contains: a front end robot 108 adapted to access processing chambers in the front end processing rack 52; a central robot 107 that is adapted to access processing chambers in the front end processing rack 52, the first central processing rack 152, the second central processing rack 154 and/or the rear processing rack 202; and a rear robot 109 that is adapted to access processing chambers in the rear processing rack 202 and in some cases exchange substrates with a stepper/scanner 5 (FIG. 1B). In one embodiment, a shuttle robot 110 is adapted to transfer substrates between two or more adjacent processing chambers retained in one or more processing racks (e.g., front end processing rack 52, first central processing rack 152, etc.). In one embodiment, a front end enclosure 104 is used to control the environment around the front end robot 108 and between the pod assemblies 105 and front end processing rack 52.
  • FIG. 1B illustrates a plan view of one embodiment illustrated in FIG. 1A, which contains more detail of possible process chamber configurations found in aspects of the invention. Referring to FIG. 1B, the front end module 50 generally contains one or more pod assemblies 105, a front end robot 108 and a front end processing rack 52. The one or more pod assemblies 105, or front-end opening unified pods (FOUPs), are generally adapted to accept one or more cassettes 106 that may contain one or more substrates “W”, or wafers, that are to be processed in the cluster tool 10. The front end processing rack 52 contains multiple processing chambers (e.g., bake chamber 90, chill chamber 80, etc.) that are adapted to perform the various processing steps found in the substrate processing sequence. In one embodiment, the front end robot 108 is adapted to transfer substrates between a cassette mounted in a pod assembly 105 and between the one or more processing chambers retained in the front end processing rack 52.
  • The central module 150 generally contains a central robot 107, a first central processing rack 152 and a second central processing rack 154. The first central processing rack 152 and a second central processing rack 154 contain various processing chambers (e.g., coater/developer chamber 60, bake chamber 90, chill chamber 80, etc.) that are adapted to perform the various processing steps found in the substrate processing sequence. In one embodiment, the central robot 107 is adapted to transfer substrates between the front end processing rack 52, the first central processing rack 152, the second central processing rack 154 and/or the rear processing rack 202. In one aspect, the central robot 107 is positioned in a central location between the first central processing rack 152 and a second central processing rack 154 of the central module 150.
  • The rear module 200 generally contains a rear robot 109 and a rear processing rack 202. The rear processing rack 202 generally contains processing chambers (e.g., coater/developer chamber 60, bake chamber 90, chill chamber 80, etc.) that are adapted to perform the various processing steps found in the substrate processing sequence. In one embodiment, the rear robot 109 is adapted to transfer substrates between the rear processing rack 202 and a stepper/scanner 5. The stepper/scanner 5, which may be purchased from Canon USA, Inc. of San Jose, Calif., Nikon Precision Inc. of Belmont, Calif., or ASML US, Inc. of Tempe, Ariz., is a lithographic projection apparatus used, for example, in the manufacture of integrated circuits (ICs). The scanner/stepper tool 5 exposes a photosensitive material (photoresist), deposited on the substrate in the cluster tool, to some form of electromagnetic radiation to generate a circuit pattern corresponding to an individual layer of the integrated circuit (IC) device to be formed on the substrate surface.
  • In one embodiment, a system controller 101 is used to control all of the components and processes performed in the cluster tool 10. The system controller 101 is generally adapted to communicate with the stepper/scanner 5, monitor and control aspects of the processes performed in the cluster tool 10, and is adapted to control all aspects of the complete substrate processing sequence. The system controller 101, which is typically a microprocessor-based controller, is configured to receive inputs from a user and/or various sensors in one of the processing chambers and appropriately control the processing chamber components in accordance with the various inputs and software instructions retained in the controller's memory. The system controller 101 generally contains memory and a CPU (not shown) which are utilized by the controller to retain various programs, process the programs, and execute the programs when necessary. The memory (not shown) is connected to the CPU, and may be one or more of a readily available memory, such as random access memory (RAM), read only memory (ROM), floppy disk, hard disk, or any other form of digital storage, local or remote. Software instructions and data can be coded and stored within the memory for instructing the CPU. The support circuits (not shown) are also connected to the CPU for supporting the processor in a conventional manner. The support circuits may include cache, power supplies, clock circuits, input/output circuitry, subsystems, and the like all well known in the art. A program (or computer instructions) readable by the system controller 101 determines which tasks are performable in the processing chamber(s). Preferably, the program is software readable by the system controller 101 and includes instructions to monitor and control the process based on defined rules and input data.
  • FIG. 2A is a plan view that illustrates another embodiment of cluster tool 10 that contains a front end module 50 that is attached to the stepper/scanner 5. The front end module 50 in this configuration may contain a front end robot 108, a front end processing rack 52, and a rear robot 109A, which is in communication with the stepper/scanner 5. In this configuration the front end processing rack 52 contains multiple processing chambers (e.g., coater/developer chamber 60, bake chamber 90, chill chamber 80, etc.) that are adapted to perform the various processing steps found in the substrate processing sequence. In this configuration the front end robot 108 is adapted to transfer substrates between a cassette 106 mounted in a pod assembly 105 and the one or more processing chambers retained in the front end processing rack 52. Also, in this configuration the rear robot 109A is adapted to transfer substrates between the front end processing rack 52 and a stepper/scanner 5. In one embodiment, a shuttle robot 110 is adapted to transfer substrates between two or more adjacent processing chambers retained in one or more processing racks (e.g., front end processing rack 52, first central processing rack 152 (FIG. 1B), etc.). In one embodiment, the cluster tool 10 contains the front end module 50, but does not contain a rear robot 109A and does not interface with the stepper/scanner 5.
  • FIG. 2B is a plan view that illustrates another embodiment of cluster 10 shown in FIG. 2A, that is not adapted to communicate with the stepper/scanner 5. In this configuration, the cluster tool 10 may be used as a stand alone tool to perform a desired process sequence utilizing the process chambers contained in the front end processing rack 52.
  • FIG. 2C is a plan view that illustrates yet another embodiment of the cluster tool 10 that contains a front end module 50 and a central module 150 that are attached to the stepper/scanner 5 and serviced by the front end robot 108 and the central robot 107. In one embodiment, the central robot 107 is adapted to transfer substrates between the front end processing rack 52, the first central processing rack 152, the second central processing rack 154 and/or the stepper/scanner 5. In one embodiment, a shuttle robot 110 is adapted to transfer substrates between two or more adjacent processing chambers retained in one or more processing racks (e.g., front end processing rack 52, first central processing rack 152, etc.).
  • FIG. 2D is a plan view of yet another embodiment of the cluster tool 10 that contains front end module 50, a central module 150, and a rear module 300, where the rear processing rack 302 is configured to contain a first rear processing rack 302 and a second rear processing rack 304. In this configuration the rear robot 109 may be adapted to transfer substrates from the first central processing rack 152, the second central processing rack 154, the first rear processing rack 302, the second rear processing rack 304, the central robot 107, and/or the stepper/scanner 5. Also, in this configuration the central robot 107 may be adapted to transfer substrates from the first central processing rack 152, the second central processing rack 154, the first rear processing rack 302, the second rear processing rack 304, and/or the rear robot 109. In one embodiment, a shuttle robot 110 is adapted to transfer substrates between two or more adjacent processing chambers retained in one or more processing racks (e.g., front end processing rack 52, first central processing rack 152, etc.).
  • FIG. 2E illustrates a plan view of one embodiment illustrated in FIG. 1B, which contains a twin coater/developer chamber 350 (FIGS. 9A-B) mounted in the second central processing rack 314 (FIG. 4J), that may adapted to perform a photoresist coat step 520 (FIGS. 3A-C) or a develop step 550 (FIGS. 3A-C) in both of the process chambers 370. This configuration is advantageous since it allows some of the common components found in the two process chambers 370 to be shared thus reducing the system cost, complexity and footprint of the tool. FIGS. 9A-B, described below, illustrates the various aspects of the twin coater/developer chamber 350. FIG. 2E also contains a bake/chill chamber 800 mounted in a first central processing rack 322 (FIG. 4K), that may be adapted to perform the various bake steps (e.g., post BARC bake step 512, PEB step 540, etc. (FIGS. 3A-C)) and chill steps (e.g., post BARC chill step 514, post PEB chill step 542, etc. (FIGS. 3A-C)) in the desired processing sequence. The bake/chill chamber 800 is described below in conjunction with FIGS. 18A-B.
  • FIG. 2F is a plan view of yet another embodiment of the cluster tool 10, which contains a front end module 306, and a central module 310. In this embodiment the front end module 306 may contain a first processing rack 308 and a second processing rack 309, and the central module 310 may contain a first central processing rack 312 and a second central processing rack 314. The front end robot 108 is adapted to transfer substrates between a cassette 106 mounted in a pod assembly 105, the first processing rack 308, the second processing rack 309, the first central processing rack 312, the second central processing rack 314, and/or the central robot 107. The central robot 107 is adapted to transfer substrates between the first processing rack 308, the second processing rack 309, the first central processing rack 312, the second central processing rack 314, the front end robot 108, and/or the stepper/scanner 5. In one embodiment, the front end robot 108, and the central robot 107 are articulated robots (described below). In one embodiment, a shuttle robot 110 is adapted to transfer substrates between two or more adjacent processing chambers retained in one or more processing racks (e.g., first processing rack 308, first central processing rack 312, etc.). In one aspect, the front end robot 108 is positioned in a central location between the first processing rack 308 and a second processing rack 309 of the front end module 306. In another aspect, the central robot 107 is positioned in a central location between the first central processing rack 312 and a second central processing rack 314 of the central module 310.
  • FIG. 2G is a plan view of yet another embodiment of the cluster tool 10, which is similar to the embodiment shown in FIG. 2F, with the addition of a rear module 316 which may be attached to a stepper/scanner 5. In this embodiment the front end module 306 may contain a first processing rack 308 and a second processing rack 309, the central module 310 may contain a first central processing rack 312 and a second central processing rack 314, and the rear module 316 may contain a first rear processing rack 318 and a second rear processing rack 319. The front end robot 108 is adapted to transfer substrates between a cassette 106 mounted in a pod assembly 105, the first processing rack 308, the second processing rack 309, the first central processing rack 312, the second central processing rack 314, and/or the central robot 107. The central robot 107 is adapted to transfer substrates between the first processing rack 308, the second processing rack 309, the first central processing rack 312, the second central processing rack 314, the first rear processing rack 318, the second rear processing rack 319, the front end robot 108, and/or the rear robot 109. The rear robot 109 is adapted to transfer substrates between the first central processing rack 312, the second central processing rack 314, the first rear processing rack 318, the second rear processing rack 319, the central robot 107, and/or the stepper/scanner 5. In one embodiment, one or more of the front end robot 108, the central robot 107, and the rear robot 109 are articulated robots (described below). In one embodiment, a shuttle robot 110 is adapted to transfer substrates between two or more adjacent processing chambers retained in one or more processing racks (e.g., first processing rack 308, first central processing rack 312, etc.). In one aspect, the rear robot 109 is positioned in a central location between the first rear processing rack 318 and a second rear processing rack 319 of the rear module 316.
  • The embodiments illustrated in FIGS. 2F and 2G may be advantageous since the gap formed between the processing racks forms a relatively open space that will allow maintenance personnel access to cluster tool components that have become inoperable. As shown in FIGS. 2F and 2G, in one aspect of the invention, the gap is as wide as the space between the processing racks and as high the height of the processing racks. Since system down-time and system availability are important components in determining the CoO for a given tool, the ability to easily access and maintain the cluster tool components have an advantage over other prior art configurations.
  • FIG. 2H is a plan view of yet another embodiment of the cluster tool 10, which is similar to the embodiment shown in FIG. 2F, with the addition of a slide assembly 714 (FIG. 16H) which allows the base of the front end robot 108 and the central robot 107 to translate along the length (items A1 and A2, respectively) of the cluster tool. This configuration extends the reach of each of the robots and improves the “robot overlap.” Robot overlap is the ability of a robot to access processing chambers in the processing rack of other modules. While FIG. 2H illustrates the front end robot 108 and the central robot 107 on a single slide assembly 714 other embodiments may include having each of the robots (Items 107 and 108) on their own slide assembly or only one of the robots mounted on a slide assembly and the other mounted to the floor or system frame, without varying from the scope of the invention.
  • FIG. 2I is a plan view of yet another embodiment of the cluster tool 10, which is similar to the embodiment shown in FIG. 2G, with the addition of two slide assemblies 714A-B (described in FIG. 16H) which allows the base of the front end robot 108 and the base of the central robot 107 and rear robot 109 to translate along the length (items A1, A2 and A3, respectively) of the cluster tool 10. While FIG. 2I illustrates the front end robot 108 on one slide assembly 714A and the central robot 107 and the rear robot 109 on a single slide assembly 714B, other embodiments may include having one or more of the robots ( Items 107, 108 and 109) on their own slide assembly (not shown), on a shared slide assembly or all three on a single slide assembly (not shown), without varying from the scope of the invention.
  • Photolithography Process Sequence
  • FIG. 3A illustrates one embodiment of a series of method steps 501 that may be used to deposit, expose and develop a photoresist material layer formed on a substrate surface. The lithographic process may generally contain the following: a remove substrate from pod 508A step, a BARC coat step 510, a post BARC bake step 512, a post BARC chill step 514, a photoresist coat step 520, a post photoresist coat bake step 522, a post photoresist chill step 524, an optical edge bead removal (OEBR) step 536, an exposure step 538, a post exposure bake (PEB) step 540, a post PEB chill step 542, a develop step 550, and a place in pod step 508B. In other embodiments, the sequence of the method steps 501 may be rearranged, altered, one or more steps may be removed, or two or more steps may be combined into a single step without varying from the basic scope of the invention.
  • The remove substrate from pod 508A step is generally defined as the process of having the front end robot 108 remove a substrate from a cassette 106 resting in one of the pod assemblies 105. A cassette 106, containing one or more substrates “W”, is placed on the pod assembly 105 by the user or some external device (not shown) so that the substrates can be processed in the cluster tool 10 by a user-defined substrate processing sequence controlled by software retained in the system controller 101.
  • The BARC coat step 510, or bottom anti-reflective coating process (hereafter BARC), is a step used to deposit an organic material over a surface of the substrate. The BARC layer is typically an organic coating that is applied onto the substrate prior to the photoresist layer to absorb light that otherwise would be reflected from the surface of the substrate back into the photoresist during the exposure step 538 performed in the stepper/scanner 5. If these reflections are not prevented, optical standing waves will be established in the photoresist layer, which cause feature size(s) to vary from one location to another depending on the local thickness of the photoresist layer. The BARC layer may also be used to level (or planarize) the substrate surface topography, since surface topography variations are invariably present after completing multiple electronic device fabrication steps. The BARC material fills around and over the features to create a flatter surface for photoresist application and reduces local variations in photoresist thickness. The BARC coat step 510 is typically performed using a conventional spin-on photoresist dispense process in which an amount of the BARC material is deposited on the surface of the substrate while the substrate is being rotated, which causes a solvent in the BARC material to evaporate and thus causes the material properties of the deposited BARC material to change. The air flow and exhaust flow rate in the BARC processing chamber is often controlled to control the solvent vaporization process and the properties of the layer formed on the substrate surface.
  • The post BARC bake step 512, is a step used to assure that all of the solvent is removed from the deposited BARC layer in the BARC coat step 510, and in some cases to promote adhesion of the BARC layer to the surface of the substrate. The temperature of the post BARC bake step 512 is dependent on the type of BARC material deposited on the surface of the substrate, but will generally be less than about 250° C. The time required to complete the post BARC bake step 512 will depend on the temperature of the substrate during the post BARC bake step, but will generally be less than about 60 seconds.
  • The post BARC chill step 514, is a step used to assure that the time the substrate is at a temperature above ambient temperature is controlled so that every substrate sees the same time-temperature profile; thus process variability is minimized. Variations in the BARC process time-temperature profile, which is a component of a substrate's wafer history, can have an effect on the properties of the deposited film layer and thus is often controlled to minimize process variability. The post BARC chill step 514, is typically used to cool the substrate after the post BARC bake step 512 to a temperature at or near ambient temperature. The time required to complete the post BARC chill step 514 will depend on the temperature of the substrate exiting the post BARC bake step, but will generally be less than about 30 seconds.
  • The photoresist coat step 520 is a step used to deposit a photoresist layer over a surface of the substrate. The photoresist layer deposited during the photoresist coat step 520 is typically a light sensitive organic coating that is applied onto the substrate and is later exposed in the stepper/scanner 5 to form the patterned features on the surface of the substrate. The photoresist coat step 520 is a typically performed using conventional spin-on photoresist dispense process in which an amount of the photoresist material is deposited on the surface of the substrate while the substrate is being rotated, thus causing a solvent in the photoresist material to evaporate and the material properties of the deposited photoresist layer to change. The air flow and exhaust flow rate in the photoresist processing chamber is controlled to control the solvent vaporization process and the properties of the layer formed on the substrate surface. In some cases it may be necessary to control the partial pressure of the solvent over the substrate surface to control the vaporization of the solvent from the photoresist during the photoresist coat step by controlling the exhaust flow rate and/or by injecting a solvent near the substrate surface. Referring to FIG. 5A, to complete the photoresist coat step 520 the substrate is first positioned on a spin chuck 1033 in a coater chamber 60A. A motor rotates the spin chuck 1033 and substrate while the photoresist is dispensed onto the center of the substrate. The rotation imparts an angular torque onto the photoresist, which forces the photoresist out in a radial direction, ultimately covering the substrate.
  • The post photoresist coat bake step 522 is a step used to assure that most, if not all, of the solvent is removed from the deposited photoresist layer in the photoresist coat step 520, and in some cases to promote adhesion of the photoresist layer to the BARC layer. The temperature of the post photoresist coat bake step 522 is dependent on the type of photoresist material deposited on the surface of the substrate, but will generally be less than about 250° C. The time required to complete the post photoresist coat bake step 522 will depend on the temperature of the substrate during the post photoresist bake step, but will generally be less than about 60 seconds.
  • The post photoresist chill step 524, is a step used to control the time the substrate is at a temperature above ambient temperature so that every substrate sees the same time-temperature profile and thus process variability is minimized. Variations in the time-temperature profile can have an affect on properties of the deposited film layer and thus is often controlled to minimize process variability. The temperature of the post photoresist chill step 524, is thus used to cool the substrate after the post photoresist coat bake step 522 to a temperature at or near ambient temperature. The time required to complete the post photoresist chill step 524 will depend on the temperature of the substrate exiting the post photoresist bake step, but will generally be less than about 30 seconds.
  • The optical edge bead removal (OEBR) step 536, is a process used to expose the deposited light sensitive photoresist layer(s), such as the layers formed during the photoresist coat step 520 and the BARC layer formed during the BARC coat step 510, to a radiation source (not shown) so that either or both layers can be removed from the edge of the substrate and the edge exclusion of the deposited layers can be more uniformly controlled. The wavelength and intensity of the radiation used to expose the surface of the substrate will depend on the type of BARC and photoresist layers deposited on the surface of the substrate. An OEBR tool can be purchased, for example, from USHIO America, Inc. Cypress, Calif.
  • The exposure step 538 is a lithographic projection step applied by a lithographic projection apparatus (e.g., stepper scanner 5) to form a pattern which is used to manufacture integrated circuits (ICs). The exposure step 538 forms a circuit pattern corresponding to an individual layer of the integrated circuit (IC) device on the substrate surface, by exposing the photosensitive materials, such as, the photoresist layer formed during the photoresist coat step 520 and the BARC layer formed during the BARC coat step 510 (photoresist) of some form of electromagnetic radiation. The stepper/scanner 5, which may be purchased from Cannon, Nikon, or ASML.
  • The post exposure bake (PEB) step 540 is a step used to heat a substrate immediately after the exposure step 538 in order to stimulate diffusion of the photoactive compound(s) and reduce the effects of standing waves in the photoresist layer. For a chemically amplified photoresist, the PEB step also causes a catalyzed chemical reaction that changes the solubility of the photoresist. The control of the temperature during the PEB is critical to critical dimension (CD) control. The temperature of the PEB step 540 is dependent on the type of photoresist material deposited on the surface of the substrate, but will generally be less than about 250° C. The time required to complete the PEB step 540 will depend on the temperature of the substrate during the PEB step, but will generally be less than about 60 seconds.
  • The post exposure bake (PEB) chill step 542 is a step used to assure that the time the substrate is at a temperature above ambient temperature is controlled, so that every substrate sees the same time-temperature profile and thus process variability is minimized. Variation in the PEB process time-temperature profile can have an effect on properties of the deposited film layer and thus is often controlled to minimize process variability. The temperature of the post PEB chill step 542 is thus used to cool the substrate after the PEB step 540 to a temperature at or near ambient temperature. The time required to complete the post PEB chill step 542 will depend on the temperature of the substrate exiting the PEB step, but will generally be less than about 30 seconds.
  • The develop step 550 is a process in which a solvent is used to cause a chemical or physical change to the exposed or unexposed photoresist and BARC layers to expose the pattern formed during the exposure step 538. The develop process may be a spray or immersion or puddle type process that is used to dispense the developer solvent. In one embodiment of the develop step 550, after the solvent has been dispensed on the surface of the substrate a rinse step may be performed to rinse the solvent material from the surface of the substrate. The rinse solution dispensed on the surface of the substrate may contain deionized water and/or a surfactant.
  • The insert the substrate in pod step 508B is generally defined as the process of having the front end robot 108 return the substrate to a cassette 106 resting in one of the pod assemblies 105.
  • FIG. 3B illustrates another embodiment in which a series of method steps 502 that may be used to perform a track lithographic process on the substrate surface. The lithographic process in the method steps 502 contains all of the steps found in FIG. 3A, but replaces the BARC coat step 510 and post BARC bake step 512 with a hexamethyldisilazane (hereafter HMDS) processing step 511 and a post HMDS chill step 513. In other embodiments, the series of the method steps 502 may be rearranged, altered, one or more steps may be removed or two or more steps may be combined into a single step with out varying from the basic scope of the invention.
  • The HMDS processing step 511 generally contains the steps of heating the substrate to a temperature greater than about 125° C. and exposing the substrate to a process gas containing an amount of HMDS vapor for a short period of time (e.g., <120 seconds) to prepare and dry the surface of the substrate to promote adhesion of the photoresist layer deposited later in the processing sequence. While the use of HMDS vapor is specifically described above as the chemical used in conjunction with the HMDS processing step 511, the HMDS processing step 511 is meant to more generally describe a class of similar processes that may be utilized to prepare and dry the surface of the substrate to promote adhesion of the photoresist layer. Thus the use of the term HMDS in this specification is not intended to be limiting of the scope of the invention. In some cases the HMDS step is called a “vapor prime” steps.
  • The post HMDS chill step 513 controls the temperature of the substrate so that all substrates entering the photoresist processing step are at the same initial processing temperature. Variations in the temperature of the substrate entering the photoresist coat step 520, can have a dramatic affect on properties of the deposited film layer and thus is often controlled to minimize process variability. The temperature of the post HMDS chill step 513, is thus used to cool the substrate after the HMDS processing step 511 to a temperature at or near ambient temperature. The time required to complete the post HMDS chill step 513 will depend on the temperature of the substrate exiting the HMDS processing step 511, but will generally be less than about 30 seconds.
  • FIG. 3C illustrates another embodiment of a process sequence, or method steps 503, that may be used to perform a track lithographic process on the substrate. The lithographic process may generally contain a remove from pod 508A step, a pre-BARC chill step 509, a BARC coat step 510, a post BARC bake step 512, a post BARC chill step 514, a photoresist coat step 520, a post photoresist coat bake step 522, a post photoresist chill step 524, an anti-reflective top coat step 530, a post top coat bake step 532, a post top coat chill step 534, an optical edge bead removal (OEBR) step 536, an exposure step 538, a post exposure bake (PEB) step 540, a post PEB chill step 542, a develop step 550, a SAFIER™ (Shrink Assist Film for Enhanced Resolution) coat step 551, a post develop bake step 552, a post develop chill step 554, and a place in pod step 508B. The lithographic process in the method steps 503 contains all of the steps found in FIG. 3A, and adds the anti-reflective top coat step 530, the post top coat bake step 532, the post top coat chill step 534, a post develop bake step 552, a post develop chill step 554 and the SAFIER™ coat step 551. In other embodiments, the sequence of the method steps 503 may be re-arranged, altered, one or more steps may be removed or two or more steps may be combined into a single step with out varying from the basic scope of the invention.
  • The pre-BARC chill step 509 controls the temperature of the substrate so that all substrates entering the BARC processing step are at the same initial processing temperature. Variations in the temperature of the substrate entering the BARC coat step 510, can have a dramatic affect on properties of the deposited film layer and thus is often controlled to minimize process variability. The temperature of the pre-BARC step 509, is thus used to cool or warm the substrate transferred from the POD to a temperature at or near ambient temperature. The time required to complete the pre-BARC chill step 509 will depend on the temperature of the substrates in the cassette 106, but will generally be less than about 30 seconds.
  • The anti-reflective top coat step 530 or top anti-reflective coating process (hereafter TARC), is a step used to deposit an organic material over the photoresist layer deposited during the photoresist coat step 520. The TARC layer is typically used to absorb light that otherwise would be reflected from the surface of the substrate back into the photoresist during the exposure step 538 performed in the stepper/scanner 5. If these reflections are not prevented, optical standing waves will be established in the photoresist layer, which cause feature size to vary from one location to another on the circuit depending on the local thickness of the photoresist layer. The TARC layer may also be used to level (or planarizing) the substrate surface topography, which is invariably present on the device substrate. The anti-reflective top coat step 530 is a typically performed using conventional spin-on photoresist dispense process in which an amount of the TARC material is deposited on the surface of the substrate while the substrate is being rotated which causes a solvent in the TARC material to evaporate and thus densify the TARC layer. The air flow and exhaust flow rate in the coater chamber 60A is controlled to control the solvent vaporization process and the properties of the layer formed on the substrate surface.
  • The post top coat bake step 532 is a step used to assure that all of the solvent is removed from the deposited TARC layer in the anti-reflective top coat step 530. The temperature of the post top coat bake step 532 is dependent on the type of TARC material deposited on the surface of the substrate, but will generally be less than about 250° C. The time required to complete the post top coat bake step 532 will depend on the temperature of the process run during the post top coat bake step, but will generally be less than about 60 seconds.
  • The post top coat chill step 534 is a step used to control the time the substrate is at a temperature above ambient temperature is controlled so that every substrate sees the same time-temperature profile and thus process variability is minimized. Variations in the TARC process time-temperature profile, which is a component of a substrates wafer history, can have an affect on the properties of the deposited film layer and thus is often controlled to minimize process variability. The post top coat chill step 534, is typically used to cool the substrate after the post top coat bake step 532 to a temperature at or near ambient temperature. The time required to complete the post top coat chill step 534 will depend on the temperature of the substrate exiting the post top coat bake step 532, but will generally be less than about 30 seconds.
  • The post develop bake step 552 is a step used to assure that all of the developer solvent is removed from the remaining photoresist layer after the develop step 550. The temperature of the post develop bake step 552 is dependent on the type of photoresist material deposited on the surface of the substrate, but will generally be less than about 250° C. The time required to complete the post develop bake step 552 will depend on the temperature of the substrate during the post photoresist bake step, but will generally be less than about 60 seconds.
  • The post develop chill step 554 is a step used to control and assure that the time the substrate is at a temperature above ambient temperature is controlled so that every substrate sees the same time-temperature profile and thus process variability is minimized. Variations in the develop process time-temperature profile, can have an effect on properties of the deposited film layer and thus is often controlled to minimize process variability. The temperature of the post develop chill step 554, is thus used to cool the substrate after the post develop bake step 552 to a temperature at or near ambient temperature. The time required to complete the post develop chill step 554 will depend on the temperature of the substrate exiting the post develop bake step 552, but will generally be less than about 30 seconds.
  • The SAFIER™ (Shrink assist film for enhanced resolution) coat step 551, is a process in which a material is deposited over the remaining photoresist layer after the develop step 550 and then baked in the post develop bake step 552. The SAFIER™ process is typically used to cause physical shrinkage of IC trench patterns, vias and contact holes with very little deterioration of the profile and also improve line edge roughness (LER). The SAFIER™ coat step 551 is typically performed using conventional spin-on photoresist dispense process in which an amount of the SAFIER™ material is deposited on the surface of the substrate while the substrate is being rotated.
  • Processing Racks
  • FIGS. 4A-J illustrate side views of one embodiment of a front end processing rack 52, a first central processing rack 152, a second central processing rack 154, a rear processing rack 202, a first rear processing rack 302, a second rear processing rack 304, a first processing rack 308, a second processing rack 309, a first central processing rack 312, a second central processing rack 314, a first rear processing rack 318 and a second rear processing rack 319, that contain multiple substrate processing chambers to perform various aspects of the substrate processing sequence. In general, the processing racks illustrated in FIGS. 4A-J may contain one or more process chambers, such as, one or more coater chambers 60A, one or more developer chambers 60B, one or more chill chambers 80, one or more bake chambers 90, one or more PEB chambers 130, one or more support chambers 65, one or more OEBR chambers 62, one or more twin coater/developer chambers 350, one or more bake/chill chambers 800, and/or one or more HMDS chambers 70, which are further described below. The orientation, type, positioning and number of process chambers shown in the FIGS. 4A-J are not intended to be limiting as to the scope of the invention, but are intended to illustrate the various embodiments of the invention. In one embodiment, as shown in FIGS. 4A-J, the process chambers are stacked vertically, or one chamber is positioned substantially above another chamber, to reduce the footprint of the cluster tool 10. In another embodiment, the chambers stacked vertically so that the processing chambers are positioned in a horizontally staggered pattern, one chamber is positioned partially above another chamber, to help make more efficient use of the processing rack space when one or more chambers are different physical sizes. In yet another embodiment, the process chambers may be staggered vertically, the base of the process chambers do not share a common plane, and/or are horizontally staggered, where a side of a process chamber does not share a common plane with another process chamber. Minimizing the cluster tool footprint is often an important factor in developing a cluster tool, since the clean room space, where the cluster tool may be installed, is often limited and very expensive to build and maintain.
  • FIG. 4A illustrates a side view of the front end processing rack 52 as viewed from outside the cluster tool 10 and in front of the pod assemblies 105 when facing the central robot 107 and thus will coincide with the view shown in FIGS. 1A-B and FIGS. 2A-C. In one embodiment, as shown in FIG. 4A, the front end processing rack 52 contains four coater/developer chambers 60 (labeled CD1-4), twelve chill chambers 80 (labeled C1-12), six bake chambers 90 (labeled B1-6) and/or six HMDS process chambers 70 (labeled P1-6).
  • FIG. 4B illustrates a side view of the first central processing rack 152 as viewed from outside the cluster tool 10 while facing the central robot 107 and thus will coincide with the view shown in FIGS. 1A-B and FIGS. 2A-C. In one embodiment, as shown in FIG. 4B, the first central processing rack 152 contains twelve chill chambers 80 (labeled C1-12) and twenty four bake chambers 90 (labeled B1-24).
  • FIG. 4C illustrates a side view of the second central processing rack 154 as viewed from outside the cluster tool 10 while facing the central robot 107 and thus will coincide with the view shown in FIGS. 1A-B and FIGS. 2A-C. In one embodiment, as shown in FIG. 4C, the second central processing rack 154 contains four coater/developer chambers 60 (labeled CD1-4) and four support chambers 65 (labeled S1-4). In one embodiment, the four support chambers 65 are replaced with four coater/developer chambers 60.
  • FIG. 4D illustrates a side view of the rear processing rack 202 as viewed from outside the cluster tool 10 while facing the central robot 107 and thus coincides with the views shown in FIGS. 1A-B and FIG. 2B. In one embodiment, as shown in FIG. 4D, the rear processing rack 202 contains four coater/developer chambers 60 (labeled CD1-4), eight chill chambers 80 (labeled C1-8), two bake chambers 90 (labeled B1-24), four OEBR chambers 62 (labeled OEBR1-4), and six PEB chambers 130 (labeled PEB1-6).
  • FIG. 4E illustrates a side view of the first rear processing rack 302 as viewed from outside the cluster tool 10 while facing the rear robot 109 and thus will coincide with the view shown in FIG. 2C. In one embodiment, as shown in FIG. 4E, the first rear processing rack 302 contains four coater/developer chambers 60 (labeled CD1-4), eight chill chambers 80 (labeled C1-8), two bake chambers 90 (labeled B1-24), four OEBR chambers 62 (labeled OEBR1-4), and six PEB chambers 130 (labeled PEB1-6).
  • FIG. 4F illustrates a side view of the second rear processing rack 304 as viewed from outside the cluster tool 10 while facing the rear robot 109 and thus will coincide with the view shown in FIG. 2C. In one embodiment, as shown in FIG. 4F, the second rear processing rack 304 contains four coater/developer chambers 60 (labeled CD1-4) and four support chambers 65 (labeled S1-4). In one embodiment, the four support chambers 65 are replaced with four coater/developer chambers 60.
  • FIG. 4G illustrates a side view of the first processing rack 308 as viewed from outside the cluster tool 10 while facing the front end robot 108 and thus will coincide with the views shown in FIGS. 2F-G. In one embodiment, as shown in FIG. 4G, the first processing rack 308 contains twelve bake/chill chambers 800 (labeled BC1-12) which are described below in conjunction with FIG. 18.
  • FIG. 4H illustrates a side view of the second processing rack 309 as viewed from outside the cluster tool 10 while facing the front end robot 108 and thus will coincide with the view shown in FIGS. 2F-G. In one embodiment, as shown in FIG. 4H, the second processing rack 309 contains four coater/developer chambers 60 (labeled CD1-4) and four support chambers 65 (labeled S1-4). In one embodiment, the four support chambers 65 are replaced with four coater/developer chambers 60.
  • FIG. 4I illustrates a side view of the first central processing rack 312, or the first rear processing rack 318, as viewed from outside the cluster tool 10 while facing the central robot 107, or rear robot 109, and thus will coincide with the views shown in FIGS. 2F-G. In one embodiment, as shown in FIG. 4I, the first central processing rack 312, or the first rear processing rack 318, contains eight chill chambers 80 (labeled C1-8), fourteen bake chambers 90 (labeled B1, B2, B3, B5, B6, B7, etc.), four OEBR chambers 62 (labeled OEBR1-4), and six PEB chambers 130 (labeled PEB1-6). In another embodiment, the first central processing rack 312, or the first rear processing rack 318, may be arranged like the configuration illustrated in FIG. 4G, which contains twelve chill chambers 80 and twenty four bake chambers 90.
  • FIG. 4J illustrates a side view of the second central processing rack 314, or the second rear processing rack 319, as viewed from outside the cluster tool 10 while facing the central robot 107 (or rear robot 109) and thus will coincide with the views shown in FIGS. 2F-G. In one embodiment, as shown in FIG. 4J, the second central processing rack 314, or the second rear processing rack 319, contains four twin coater/developer chambers 350, which contain four pairs of process chambers 370 that may be configured as coater chambers 60A, as developer chambers 60B or combinations thereof.
  • FIG. 4K illustrates a side view of the first processing rack 322 as viewed from outside the cluster tool 10 while facing the front end robot 108 and thus will coincide with the views shown in FIG. 2E. In one embodiment, as shown in FIG. 4K, the first processing rack 322 contains twelve bake/chill chambers 800 (labeled BC1-12) which are described below in conjunction with FIGS. 18A-B.
  • Coater/Developer Chamber
  • The coater/developer chamber 60 is a processing chamber that may be adapted to perform, for example, the BARC coat step 510, the photoresist coat step 520, the anti-reflective top coat step 530, the develop step 550, and/or the SAFIER™ coat step 551, which are shown in FIGS. 3A-C. The coater/developer chamber 60 may generally be configured into two major types of chambers, a coater chamber 60A, shown in FIG. 5A, and a developer chamber 60B, shown in FIG. 5D (discussed below).
  • FIG. 5A, is a vertical sectional view of one embodiment of the coater chamber 60A, that may be adapted to perform the BARC coat step 510, the photoresist coat step and the anti-reflective top coat step 530. The coater chamber 60A may contain an enclosure 1001, a gas flow distribution system 1040, a coater cup assembly 1003, and a fluid dispense system 1025. The enclosure 1001 generally contains side walls 1001A, a base wall 1001B, and a top wall 1001C. The coater cup assembly 1003, which contains the processing region 1004 in which the substrate “W” is processed, also contains a cup 1005, a rotatable spin chuck 1034 and a lift assembly 1030. The rotatable spin chuck 1034 generally contains a spin chuck 1033, a shaft 1032 and a rotation motor 1031, and a vacuum source 1015. The spin chuck 1033, which is attached to the rotation motor 1031 through the shaft 1032, contains a sealing surface 1033A that is adapted to hold the substrate while the substrate is being rotated. The substrate may be held to the sealing surface 1033A by use of a vacuum generated by the vacuum source 1015. The cup 1005 manufactured from a material, such as, a plastic material (e.g., PTFE, PFA, polypropylene, PVDF, etc), a ceramic material, a metal coated with a plastic material (e.g., aluminum or SST coated with either PVDF, Halar, etc.), or other materials that is compatible with the processing fluids delivered from the fluid dispense system 1025. In one embodiment, the rotation motor 1031 is adapted to rotate a 300 mm semiconductor substrate between about 1 revolution per minute (RPM) and about 4000 RPM.
  • The lift assembly 1030 generally contains an actuator (not shown), such as an air cylinder or servomotor, and a guide (not shown), such as a linear ball bearing slide, which are adapted to raise and lower the rotatable spin chuck 1034 to a desired position. The lift assembly 1030 is thus adapted to position the substrate mounted on the rotatable spin chuck 1034 in the cup 1005 during processing and also lift the substrate above the top of the cup 1005A to exchange the substrate with an external robot (e.g., front end robot 108, central robot 107, rear robot 109, etc. which is not shown) positioned outside the enclosure 1001. A robot blade 611, which is attached to the external robot, enters the enclosure 1001 through the access port 1002 formed in the side wall 1001A.
  • The gas flow distribution system 1040 is adapted to deliver a uniform flow of a gas through the enclosure 1001 and coater cup assembly 1003 to the exhaust system 1012. In one embodiment the gas flow distribution system 1040 is a HEPA filter assembly which generally contains a HEPA filter 1041 and a filter enclosure 1044. The HEPA filter 1041 and filter enclosure 1044 form a plenum 1042 that allows the gas entering from the gas source 1043 to uniformly flow through the HEPA filter 1041, the enclosure 1001 and the coater cup assembly 1003. In one embodiment, the gas source 1043 is adapted to deliver a gas (e.g., air) at a desired temperature and humidity to the processing region 1004.
  • The fluid dispense system 1025 generally contains one or more fluid source assemblies 1023 which deliver one or more solution to the surface of a substrate mounted on the spin chuck 1033. FIG. 5A illustrates a single fluid source assembly 1023 which contains a discharge nozzle 1024, a supply tube 1026, a pump 1022, a filter 1021, a suck back valve 1020 and a fluid source 1019. The support arm actuator 1028 is adapted to move the discharge nozzle 1024 and the dispense arm 1027 to a desired position so that a processing fluid can be dispensed from the discharge nozzle 1024 onto a desired position on the surface of the substrate. The processing fluid may be delivered to the discharge nozzle 1024 by use of a pump 1022. The pump 1022 removes a processing fluid from the fluid source 1019 and discharges the processing fluid through the filter 1021, suck back valve 1020 and discharge nozzle 1024 and onto the surface of the substrate. The processing solution discharged from the discharge nozzle 1024 may be dispensed onto the substrate “W” while it is rotated by the spin chuck 1033. The suck back valve 1020 is adapted to draw back an amount of solution from the discharge nozzle 1024 after a desired amount of processing fluid is dispensed on the substrate to prevent dripping of unwanted material on the surface of the substrate. The dispensed processing solution is spun off the edge of the substrate, collected by inner walls of the cup 1005 and diverted to a drain 1011 and ultimately a waste collection system 1010.
  • Photoresist Thickness Control Chamber
  • FIG. 5B is a side view of another embodiment of the coater chamber 60A, that may be adapted to perform, for example, the BARC coat step 510, the photoresist coat step and the anti-reflective top coat step 530. The embodiment shown in FIG. 5B is adapted to form an enclosure around a substrate during one or more phases of the deposition steps to control the evaporation of the solvent from the surface of the material deposited on the substrate surface to improve the thickness uniformity process results. Traditionally, thickness uniformity control in a typical spin-on type coating process relies on the control of the rotation speed of the substrate and exhaust flow rate to control the vaporization of the uniformity of the final deposited layer. The control of thickness uniformity is dependent on the air flow across the substrate surface during the processing step. The rotation speed during processing is commonly lowered as the diameter of the substrate processed in the coater chamber 60A is increased due to the increased likelihood of aerodynamic variations across the surface of the substrate (e.g., transition from laminar to turbulent flow). It is believed that the aerodynamic variations arise due to the variation in air velocity as a function of substrate radius due to the “pumping effect” caused by the momentum imparted to the air from its interaction with the substrate surface. One issue that arises is that the time it takes to complete the coat step depends on the ability to spread out and remove the required amount of solvent from the thinning photoresist layer, which is a function of the rotation speed of the substrate. The higher the rotation speed the shorter the processing time. Therefore, in one embodiment, an enclosure is placed around the substrate to control the environment around the surface of the substrate to improve the thickness uniformity control for larger substrate sizes. The improved uniformity control is believed to be due to the control of the vaporization of the solvent, since the enclosure formed around the substrate tends to prevent of gas flow across the surface of the substrate, and thus allows the photoresist to spread out before an appreciable amount of solvent has evaporated from the photoresist.
  • The coater chamber 60A in this embodiment generally contains an enclosure 1001, a gas flow distribution system 1040, a coater cup assembly 1003, an processing enclosure assembly 1050, and a fluid dispense system 1025. The embodiment illustrated in FIG. 5B contains a number of components described above in reference to the coater chamber 60A described in FIG. 5A and thus the reference numbers for the same or similar components have been reused in FIG. 5B for clarity. It should be noted that the spin chuck 1033 illustrated in FIG. 5A is replaced, in this embodiment, by the enclosure coater chuck 1056 that has an enclosure coater chuck sealing surface 1056A on which the substrate rests and a chuck base region 1056B.
  • FIG. 5B illustrates the processing enclosure assembly 1050 in the processing position. It should be noted that in the “exchange position” (not shown) the enclosure lid 1052 is separated from the chuck base region 1056B so that a substrate can be transferred to the enclosure coater chuck 1056 by use of a robot blade 611 attached to an external robot (e.g., front end robot 108, central robot 107, etc.). The processing enclosure assembly 1050 which contains an enclosure lid 1052 and the chuck base region 1056B which form a processing region 1051 around the substrate so that the processing environment can be controlled during different phases of the coating process. The processing enclosure assembly 1050 generally contains an enclosure lid 1052, the spin chuck 1033, a rotation assembly 1055, and a lift assembly 1054. The lift assembly 1054 generally contains a lift actuator 1054A and lift mounting bracket 1053 which may be attached to a rotation assembly 1055 and a surface of the enclosure 1001. The lift actuator 1054A generally contains an actuator (not shown), such as an air cylinder or DC servomotor, and a guide (not shown), such as a linear ball bearing slide, that are adapted to raise and lower all of the components contained in the processing enclosure assembly 1050, except the spin chuck 1033.
  • The rotation assembly 1055 generally contains one or more rotation bearings (not shown) and a housing 1055A that are adapted to allow the enclosure lid 1052 to be rotated as the enclosure coater chuck 1056 is rotated. In one embodiment, the housing 1055A is rotated as the spin chuck 1033 is rotated by the rotation motor 1031, due to friction created by the contact between the enclosure lid 1052 and the chuck base region 1056B. The enclosure lid 1052 is attached to the rotation bearings through the lid shaft 1052A. In one embodiment, the contact between the enclosure lid 1052 and the chuck base region 1056B is initiated by the movement of the lift assembly 1030, the lift assembly 1054 or both lift assemblies moving together.
  • In one embodiment, when the enclosure lid 1052 and the chuck base region 1056B are in contact, a seal is formed, thus creating an enclosed processing environment around the substrate. In one embodiment, the volume of the processing region 1051 is intended to be rather small to control the vaporization of a solvent from the photoresist on the surface of the substrate, for example, the gap between the enclosure lid 1052 and/or the chuck base region 1056B to the substrate may be about 3 mm.
  • In one embodiment, a photoresist material is delivered to the processing region 1051 through a tube (not shown) in a clearance hole (not shown) in the lid shaft 1052A, while the enclosure lid 1052 and chuck base region 1056B are in contact and the substrate is being rotated at a first rotational speed. In this step the photoresist will tend to spread out due to the centrifugal force effects caused by the rotation, but the photoresist's ability to change properties is restricted due to the formation of a solvent rich vapor over the surface of the substrate. After dispensing the photoresist the enclosure lid 1052 and enclosure coater chuck 1056 may then be rotated at a second rotational speed until the photoresist is thinned to a desired thickness at which time the enclosure lid 1052 is lifted from the surface of the enclosure coater chuck 1056, to allow the solvent remaining in the photoresist to escape and thus complete the final solvent vaporization process.
  • In another embodiment, the photoresist is dispensed using a conventional extrusion dispense process (e.g., sweep a photoresist dispensing arm (not shown) across a stationary substrate), after which the substrate is enclosed in the processing enclosure assembly 1050 and rotated at a desired speed to achieve a uniform layer of a desired thickness. After the desired thickness has been achieved the enclosure lid 1052 is separated from the enclosure coater chuck 1056 to allow the complete vaporization of the solvent from the photoresist.
  • In one embodiment of the enclosure lid 1052, a plurality of holes 1052B are formed in the outer wall of the enclosure lid 1052 to allow the excess photoresist to exit the processing region 1051 during processing. In this configuration air flow across the surface of the substrate is still prevented or minimized due to lack of an entry and/or exit points for the flowing air. In this configuration, due to the centrifugal force acting on the air and photoresist which will cause them to flow out of the holes 1052B, the pressure in the processing region 1051 will drop below ambient pressure. In one embodiment, the pressure in the processing region may be varied during different phases of the process to control the vaporization of the photoresist, by varying the rotation speed of the substrate, enclosure lid 1052 and enclosure coater chuck 1056.
  • In one embodiment, a solvent rich vapor is injected into the processing region 1051 through a hole in the lid shaft 1052A during processing to control the final thickness and uniformity of the photoresist layer.
  • Showerhead Fluid Dispensing System for Solvent/Developer Dispense
  • In an effort to achieve a uniform and repeatable photoresist layer on the surface of a substrate, prior art designs have emphasized the design of the coater chamber cup geometry, method of spinning the substrate, varying the air flow through the processing region of the chamber, and designing photoresist dispensing hardware that improves process of dispensing the photoresist layer. These designs achieve one level of uniformity at varying levels of complexity and cost. Due to the need to reduce CoO and the ever increasing process uniformity requirements further improvement is needed.
  • FIG. 5C illustrates one embodiment of the coater/developer chamber 60, which contains a fluid distribution device 1070 that is adapted to deliver a fluid to the surface of the substrate during the coating process, to enhance the process uniformity results. In one aspect of the invention, the fluid is a solvent found in the photoresist layer so that the evaporation process can be controlled. In this configuration the fluid distribution device 1070 may be raised and lowered relative to the substrate surface by use of a lift assembly 1074 so that an optimum gap between the fluid distribution device 1070 and the surface of the substrate can be achieved so that the surface of the deposited layer can be uniformly saturated with the dispensed fluid. In one embodiment, the gap is between about 0.5 mm and about 15 mm. The lift assembly 1074 generally contains a lift actuator 1074A and lift mounting bracket 1073 which may be attached to a showerhead assembly 1075 and a surface of the enclosure 1001. The lift actuator 1074A generally contains an actuator (not shown), such as an air cylinder or DC servomotor, and a guide (not shown), such as a linear ball bearing slide, that are adapted to raise and lower all of the components contained in the fluid distribution device 1070.
  • FIG. 5C illustrates the fluid distribution device 1070 in the processing position. The fluid distribution device 1070 contains a showerhead assembly 1075 which forms a processing region 1071 between the substrate and the fluid distribution device 1070 so that the processing environment can be controlled during different phases of the coating process. The fluid distribution device 1070 generally contains a showerhead assembly 1075, a fluid source 1077 and a lift assembly 1074.
  • The showerhead assembly 1075 generally contains a showerhead base 1072, a shaft 1072A and a showerhead plate 1072D. The shaft 1072A is attached to the showerhead base 1072 and has a center hole 1072B formed in the shaft to allow fluid delivered from the fluid source 1077 to flow into a plenum 1072C formed within the showerhead base 1072. The showerhead plate 1072D, which is attached to the showerhead base 1072, contains a plurality of holes 1072F formed therein that connect the plenum 1072C, and thus the fluid source 1077, to the lower surface 1072E of the showerhead plate 1072D. During processing, a processing fluid is dispensed from the fluid source 1077 into the center hole 1072B, where it enters the plenum 1072C and then flows through the plurality of holes 1072F and into the processing region 1071 formed between the substrate and the lower surface 1072E. In one embodiment, the hole size, number of holes and distribution of the plurality of holes 1072F across the showerhead plate 1072D are designed to uniformly deliver the processing fluid to the processing region 1071. In another embodiment, the hole size, number of holes and distribution of the plurality of holes 1072F across the showerhead plate 1072D are unevenly spaced across the showerhead plate 1072D to deliver a desired non-uniform distribution of a processing fluid to the processing region 1071. A non-uniform pattern may be useful to correct the thickness variations caused by aerodynamic or other effects that may cause thickness variations in the deposited photoresist layer.
  • In one embodiment, the showerhead assembly 1075 contains a motor 1072G and a rotary seal 1072H that are adapted to rotate and deliver a processing fluid to the showerhead assembly 1075 during processing. The rotary seal 1072H may be a dynamic lip seal, or other similar device that are well known in the art.
  • Photoresist Nozzle Rinse System
  • FIGS. 6A-B are isometric views that illustrate one embodiment of a fluid source assembly 1023, described above, that also contains an encapsulating vessel assembly 1096. To reduce the possibility of contamination of the discharge nozzle 1024, to try to prevent the processing fluid in the supply tube 1026 from drying out, and/or to clean various components of the fluid source assembly 1023 (e.g., discharge nozzle 1024, supply tube outlet 1026A, etc.), during idle times or between processing steps the discharge nozzle 1024 is positioned over the vessel opening 1095A (see FIG. 6A) to form a controlled region in the environment region 1099. This configuration may be advantageous where the processing fluid, such as photoresist, is used, since it can easily dry and flake causing particle problems as the discharge nozzle 1024 is brought over the substrate surface in subsequent processing steps. In one embodiment, the discharge nozzle 1024, as shown in FIGS. 6A-B, contains a nozzle body 1024A that is configured to hold and support the supply tube 1026 so that the processing fluid can be cleanly and repeatably dispensed through the supply tube outlet 1026A.
  • FIG. 6A illustrates a configuration where the discharge nozzle 1024 is separated from the encapsulating vessel assembly 1096 so that it can be rotated to dispense the processing fluid on the surface of the substrate. The encapsulating vessel assembly 1096 generally contains one or more rinse nozzles 1090, a vessel 1095, a drain 1094, and a vessel opening 1095A. The rinse nozzles 1090, which are connected to the tubing 1090A, are in communication with one or more fluid delivery sources 1093 (two are shown in FIGS. 6A-B see items 1093A-B). The drain 1094 is generally connected to a waste collection system 1094A
  • Referring to FIG. 6B, in an effort to reduce contamination of the substrate during processing the discharge nozzle 1024 and supply tube outlet 1026A are cleaned by use of one or more rinse nozzles 1090 that are attached to the fluid delivery sources 1093 which can deliver one or more cleaning solutions to the nozzles. In one embodiment, the cleaning solution is a solvent that can remove leftover photoresist leftover after completing a dispense process. The number and orientation of the nozzles may be arranged so that all sides and surfaces of the discharge nozzle 1024 and supply tube outlet 1026A are cleaned. After cleaning the remaining vapors retained in the environment region 1099 of the vessel 1095 may also be useful to prevent the processing fluid(s) retained in the supply tube 1026 from drying out.
  • Point of Use Photo Resists Temperature Control
  • To assure a uniform and repeatable coating process the dispensed photoresist temperature is often tightly controlled since the properties and process results can be greatly affected by the temperature of dispensed photoresist. The optimum dispense temperature may vary from one photoresist to another. Therefore, since the coater chamber 60A may contain multiple fluid source assemblies 1023 to run different process recipes containing different photoresist materials, the temperature of the fluid source assemblies 1023 will each need to be independently controlled to assure desirable process results are consistently achieved. Embodiments of the invention provide various hardware and methods for controlling the temperature of a photoresist before it is dispensed on the surface of a substrate during a coat or develop process.
  • In one embodiment, as shown in FIGS. 6A and 6B, the discharge nozzle 1024 contains a heat exchanging device 1097 that is adapted to heat and/or cool the nozzle body 1024A, the supply tube 1026 and the processing fluid contained in the supply tube 1026. In one embodiment, the heat exchanging device is a resistive heater that is adapted to control the temperature of the processing fluid. In another embodiment, the heat exchanging device 1097 is a fluid heat exchanger that is adapted to control the temperature of the processing fluid by use of a fluid temperature controlling device (not shown) that causes a working fluid to flow through the fluid heat exchanger to control the temperature of the processing fluid. In another embodiment, the heat exchanging device is a thermoelectric device that is adapted to heat or cool the processing fluid. While FIGS. 6A and 6B show the heat exchanging device 1097 in communication with the nozzle body 1024A, other embodiments of the invention may include configurations where the heat exchanging device 1097 is in contact with the supply tube 1026 and/or the nozzle body 1024A to effectively control the temperature of the processing fluid. In one embodiment, a length of the supply tube 1026 is temperature controlled by use of a second heat exchanger 1097A to assure that all of the volume of the dispensed processing fluid retained in the supply tube inner volume 1026B will be dispensed on the surface of the substrate during the next process step is at a desired temperature. The second heat exchanger 1097A may be an electric heater, a thermoelectric device and/or a fluid heat exchanging device, as described above.
  • In one embodiment, the encapsulating vessel assembly 1096 is temperature controlled to assure that the temperature of the nozzle body 1024A and processing fluid in the supply tube 1026 are maintained at a consistent temperature when the discharge nozzle 1024 is positioned over the vessel opening 1095A (see FIG. 6B). Referring to FIGS. 6A-B, the vessel 1095 can be heated or cooled by use of a vessel heat exchanging device 1098 that is attached to the walls of the vessel 1095. The vessel heat exchanging device 1098 may be an electric heater, a thermoelectric device and/or a fluid heat exchanging device, as described above, which in conjunction with the system controller 101 is used to thus control the temperature of the vessel 1095.
  • In one embodiment, the temperature of the rinse nozzles 1090 and connected to the tubing 1090A are temperature controlled to assure that the cleaning solution sprayed on the discharge nozzle 1024 and supply tube outlet 1026A are at desired temperature so the processing fluid in the supply tube 1026 is not heated or cooled during the clean process.
  • Coater Nozzle Placement System
  • To assure uniform and repeatable process results the position where the photoresist material is dispensed on the substrate surface is preferably tightly controlled. The uniformity of the deposited photoresist layer can be affected by the position on the substrate surface at which the photoresist is dispensed. Therefore, it is common for the dispense arm 1027 position to be accurately controlled by use of an often expensive support arm actuator 1028 that is capable of precisely positioning the discharge nozzle 1024. An issue arises in that it is common for coater chambers 60A to have multiple discharge nozzles 1024 to dispense multiple different photoresist materials, which greatly increases the cost and complexity of the coater chamber 60A, due to the need to accurately or precisely control many dispense arms 1027. Therefore, various embodiments of the invention provide an apparatus and method that utilizes a single dispense arm 1027 that can be easily calibrated since there is only one arm to calibrate and also accurately control. In this configuration the multiple discharge nozzles 1024 found in the various fluid source assemblies 1023 are exchanged with the single dispense arm 1192 by use of shuttle assembly 1180 (FIG. 7A). In one embodiment, a dispense arm 1192 is adapted so that only one degree of freedom (e.g., a single linear direction (z-direction)) needs to be controlled. This configuration thus allows a more accurate and a repeatable control of the discharge nozzle 1024 position and reduces arm complexity, system cost, possible substrate scrap, and the need for calibration.
  • FIG. 7A is a plan view of one embodiment of a dispense arm system 1170 found in a coater chamber 60A, that utilizes a dispense arm 1192 that has a single degree of freedom. In this configuration the dispense arm system 1170 will generally contain a dispense arm assembly 1190, a shuttle assembly 1180, and a carrier assembly 1160. The dispense arm assembly 1190 generally contains a dispense arm 1192, a nozzle mounting position 1193 formed in or on the dispense arm 1192, and an actuator 1191. In one embodiment, a nozzle retaining feature 1194 is adapted to grasp the discharge nozzle 1024 when it is deposited on the nozzle mounting position 1193 by the shuttle assembly 1180. The nozzle retaining feature 1194 may be a spring loaded or pneumatically actuated device which grasps or interlocks with features on the discharge nozzle. The actuator 1191 is, for example, an air cylinder or other device that is able to raise and lower the dispense arm 1192. In one embodiment, the actuator 1191 also contains a linear guide (not shown) which helps to control the placement or movement of the dispense arm 1192 as it is moved from one position to the other.
  • The carrier assembly 1160 generally contains a nozzle support 1161, two or more fluid source assembly 1023 that contains a discharge nozzle 1024 and supply tube 1026 (six discharge nozzle 1024 and fluid source assemblies 1023 are shown) and a rotary actuator (not shown). The rotary actuator is adapted to rotate the nozzle support 1161 and all of the discharge nozzles 1024 and their associated supply tube 1026 to a desired position by use of commands from the system controller 101.
  • The shuttle assembly 1180 is adapted to pick up a discharge nozzle 1024 from the carrier assembly 1160 and then rotate to transfer the discharge nozzle 1024 to the nozzle mounting position 1193 on the dispense arm 1192. The shuttle assembly 1180 generally contains an actuator assembly 1181, a shuttle arm 1182 and a nozzle transfer feature 1183. The nozzle transfer feature 1183 is adapted to engage with or grasp the discharge nozzle 1024 so that it can be removed from the carrier assembly 1160 and transferred to nozzle mounting position 1193 and then returned from the nozzle mounting position 1193 to the carrier assembly 1160 after the process is complete. The actuator assembly 1181 generally contains one or more actuators that are adapted to raise and lower the shuttle assembly 1180 and rotate the shuttle arm 1182 to a desired position. The actuator assembly 1181 may contain, for example, one or more of the following devices to complete the lifting task tasks: an air cylinder, DC servo motor attached to a lead screw, a DC servo linear motor. The actuator assembly 1181 may also contain, for example, one or more of the following devices to complete the rotational tasks: an air cylinder, a stepper motor or a DC servo motor.
  • In operation the shuttle arm 1182 rotates from its home position (see item “A” in FIG. 7A) to a position over the carrier assembly 1160 and then moves vertically until it reaches a nozzle pickup position (not shown). The carrier assembly 1160 then rotates (see item “B”) so that the discharge nozzle 1024 engages with the nozzle transfer feature 1183. The shuttle arm 1182 then moves vertically to separate the discharge nozzle 1024 from the carrier assembly 1160 and then rotates until the discharge nozzle 1024 is positioned over the nozzle mounting position 1193 in dispense arm 1192. The shuttle arm 1182 moves vertically until it deposits the discharge nozzle 1024 on the nozzle mounting position 1193. The shuttle arm 1182 then moves vertically and then rotates back to the home position (see item “A”). The actuator 1191 in the dispense arm assembly 1190 then moves the discharge nozzle to a desired position over the surface of the substrate (see item “W”), so that the substrate processing step can begin. To remove the discharge nozzle 1024 the steps are followed in reverse.
  • FIG. 7B illustrates another embodiment of the dispense arm system 1170, where the dispense arm assembly 1190 has two degrees of freedom, such as, a rotational degree of freedom, or a single linear degree of freedom (x-direction), and a vertical degree of freedom (z-direction). The dispense arm assembly 1190, which was a part of the embodiment shown in FIG. 7A, is not a part of the dispense arm system 1170 illustrated in FIG. 7B, thus reducing the complexity of the coater chamber 60A. In one embodiment, a nozzle retaining feature 1184 is adapted to grasp or retain the discharge nozzle 1024 when it is positioned in the nozzle transfer feature 1183. FIG. 7B also illustrates another possible configuration of the nozzle retaining feature 1184 that may be useful for holding and transferring the discharge nozzle 1024. In operation the shuttle arm 1182 rotates from its home position (see item “A” in FIG. 7B) to a position over the carrier assembly 1160 and then moves vertically until it reaches a nozzle pickup position (not shown). The carrier assembly 1160 then rotates (see item “B”) so that the discharge nozzle 1024 engages with the nozzle transfer feature 1183. The shuttle arm 1182 then moves vertically to separate the discharge nozzle 1024 from the carrier assembly 1160 and then rotates until the discharge nozzle 1024 is positioned over a desired position over the surface of the substrate. The shuttle arm 1182 moves vertically until it reaches a desired position over the surface of the substrate (se item “W”), so that the substrate processing step can begin. To remove the discharge nozzle 1024 the steps are followed in reverse.
  • In one embodiment, the carrier assembly 1160 may contain a plurality of encapsulating vessel assemblies 1096 (not shown in FIGS. 7A-B (see FIGS. 6A-B)) which are temperature controlled to assure that the temperature of the nozzle body 1024A and processing fluid in the supply tube 1026 are maintained at a consistent temperature while they are waiting to be transferred to the shuttle assembly 1180 and brought over the surface of the substrate.
  • Developer Chamber
  • Referring to FIG. 5D, which is a side view of one embodiment of the developer chamber 60B, that may be adapted to perform, for example, the develop step 550, and the SAFIER™ coat step 551. In one embodiment, the developer chamber 60B generally contains all of the components contained in the coater chamber 60A and thus some components of the developer chamber 60B that are the same or similar to those described with reference to the developer chamber 60B, have the same numbers. Accordingly, like numbers have been used where appropriate.
  • In one embodiment, the developer chamber 60B contains a fluid distribution device 1070, described above, is adapted to deliver a uniform flow of a developer processing fluid to the surface of the substrate during the developing process. In one embodiment, the hole size, number of holes and distribution of the plurality of holes 1072F are designed to uniformly deliver the developer processing fluid to the processing region 1071 formed between the substrate and the bottom surface of the fluid distribution device 1070. In another embodiment, the hole size, number of holes and distribution of the plurality of holes 1072F are designed to deliver a non-uniform distribution of a developer processing fluid to the processing region 1071 formed between the substrate and the bottom surface of the fluid distribution device 1070.
  • Developer Endpoint Detection Mechanism
  • FIG. 8A is a side view of one embodiment of the developer chamber 60B that contains a developer endpoint detector assembly 1400. The developer endpoint detector assembly 1400 uses a laser and one or more detectors to perform a scatterometry type technique to determine the endpoint of the develop step 550. In one embodiment, a single wavelength of emitted radiation, or beam, (see item “A”) from a laser 1401 impinges on the surface of the substrate, having an exposed photoresist layer thereon, at an angle that is less than normal to the surface of the substrate. The beam “A” is reflected from the surface of the substrate and the intensity of the reflected radiation “B” is detected by a detector 1410. In one embodiment, the detector 1410 is oriented to receive the primary reflection from the surface and thus is aligned with the incident beam (e.g., same angle relative to the surface and the same direction). Due to the interference between the impinging beam and the pattern formed in the photoresist during the exposure step 538, the intensity of the detected radiation will vary as the develop step 550 progresses. The variation in the intensity of the reflected radiation is created when the developer dissolves the soluble portions of the photoresist during the develop step 550, thus causing a “grating” type pattern to emerge which thus increasingly interferes with the impinging beam. Therefore, the interference with the photoresist pattern causes scattering of the impinging beam, which causes a reduction in the main reflection that is detected. In one embodiment, the endpoint is detected when the change in the reflected intensity measured by the detector 1410 asymptotically approaches zero.
  • The area on the surface of the substrate, on which the beam emitted from the laser 1401 is projected, is defined as the detection area. In one embodiment, the size of the detection area is varied or controlled so that the amount of noise contained in the detected signal is minimized. Noise in the detected signal can be generated due to the variation in the pattern topology seen by the detection area during processing.
  • In one embodiment, a tunable laser is used in place of a single wavelength laser to more easily detect the change in the sharpness of the photoresist pattern as the develop process progresses. The amount of interference will depend on the size of the formed “grating” and the wavelength of the incident radiation. In another embodiment, a plurality of detectors (see items 1410-1412) that are able to detect the primary reflection and the amount of scattered radiation to help determine the develop endpoint. In another embodiment a CCD (charge coupled device) array is used to monitor the scattering and shift in intensity of the reflected radiation. In one embodiment, to prevent noise generated from the reflection of emitted radiation from the processing fluid retained on the substrate surface during processing, a slit may be used to prevent the reflection from reaching the detector.
  • For product substrates, where typically there is already a pattern on the surface of the substrate, the steps shown in FIG. 8B may be used. The process steps include measuring the initial intensity of the scattered radiation prior to performing the develop step 550 (item #1480). The intensity is then measured during the develop process and compared to the initial data so that the contribution from the pattern present on the substrate surface (item #1482). This method may only be needed if the photoresist profile is desired. If noting that the intensity changes over the develop processing period are all that is desired, then the use of a single wavelength is all that is needed and the information regarding the underlying scattering generally is not needed.
  • If detailed knowledge of the pattern is required, then active correction (item# 1484 in FIG. 8C) for the possibly variable refraction at the developer surface is needed. The active correction adjusts for the variation in the developer fluid surface due to external vibrations, and works by having multiple small mirrors (items 1425-27) that adjust in position to compensate for the change in angle. FIG. 8C illustrates one such mirror, with knowledge of the change in the refraction of the incident beam “A” obtained via input from a perpendicular beam (item “C”), also shown. In particular, as the surface of the developer fluid momentarily deviates from flat and level, the normal reflection of the laser beam (item “C”) from laser 1451 is detected in detector 1453, by use of beam splitter 1452. In this configuration the detector 1453 can be a CCD array that is able to sense the change in angle of the reflected beam due to the change in the angle with which the beam “C” strikes the surface of the developer fluid. The system controller 101 in conjunction with the CCD array is able to detect a change in the position of the peak intensity on the CCD array and thus know how much the reflection angle has changed so that the angle of the active mirrors 1425-1427 can be adjusted and thus the position of the reflected beam “B” can be sent to one or more of the detectors 1410-1412. Momentary deviation in the spatial position of this reflection should correlate well with deviations in the developer fluid surface. Therefore, by use of a suitable control system the detected variation in position of the reflected beam, through the use of actively positioned mirrors (items 1425-1427), a spatial correction to the reflected beams can be made.
  • The active mirrors 1425-1427 can be small and compact, such as used on the micromirror chip available from TI in Dallas, Tex. They are shown more widely separated in FIG. 8C for clarity. The active mirrors are designed to compensate for variation the developer surface leading to beam deflection as described above.
  • Twin Coater and Developer Chambers
  • FIGS. 9A-B are plan views of one embodiment of a twin coater/developer chamber 350 that contains two separate process chambers 370 and a central region 395. This configuration is advantageous since it allows some common components in the two chambers to be shared, thus increasing system reliability and reducing the system cost, complexity and footprint of the cluster tool. In one embodiment, the process chamber 370 generally contains all of the processing components described above in conjunction with the coater chamber 60A or developer chamber 60B, except the two chambers are adapted to share a fluid dispense system 1025. The central region 395 contains a shutter 380 and a plurality of nozzles 391 that are contained in a nozzle holder assembly 390. As noted above the fluid dispense system 1025 used in the coater or developer chambers may contain one or more fluid source assemblies 1023 which deliver one or more processing fluid to the surface of a substrate mounted on the spin chuck 1033. Each nozzle 391, contained in the fluid source assemblies 1023, is typically connected to a supply tube 1026, a pump 1022, a filter 1021, a suck back valve 1020 and a fluid source 1019, and is adapted to dispense a single type of processing fluid. Therefore, each fluid source assembly 1023 can be used in either the left or right process chambers 370, thus reducing the redundancy required to in each processing chamber. While FIGS. 9A-B illustrates a configuration where the nozzle holder assembly 390 contains five nozzles 391, in other embodiments the nozzle holder assembly 390 may contain a lesser number of nozzles or a greater number of nozzles without varying form the basic scope of the invention.
  • FIG. 9A is a plan view of the twin coater/developer chamber 350 where the nozzle arm assembly 360 is positioned over the right process chamber 370 to dispense a processing fluid on a substrate “W” retained on the spin chuck 1033. The nozzle arm assembly 360 may contain an arm 362 and nozzle holding mechanism 364. The nozzle arm assembly 360 is attached to an actuator 363 that is adapted to transfer and position the nozzle arm assembly 360 in any position along the guide mechanism 361. In one embodiment, the actuator is adapted to move the nozzle arm assembly 360 vertically to correctly position the nozzle 391 over the substrate during processing and also enable the nozzle holding mechanism 364 to pick-up and drop-off the nozzles 391 from the nozzle holder assembly 390. The system controller 101 is adapted to control the position of the nozzle arm assembly 360 so that the nozzle holding mechanism 364 can pick-up and drop-off nozzles 391 from the nozzle holder assembly 390. A shutter 380 is adapted to move vertically to close and isolate one process chamber 370 from the central region 395 and thus the other process chamber 370 during processing to prevent cross contamination of the substrates during processing. In one aspect, the shutter 380 is adapted to sealably isolate one process chamber 370 from the central region 395 and thus the other process chamber 370 during processing. Conventional o-ring and/or other lip seals may be used to allow the shutter to sealably isolate the two processing chambers.
  • FIG. 9B is a plan view of the twin coater/developer chamber 350 where the nozzle arm assembly 360 is positioned over the left process chamber 370 to dispense a processing fluid on a substrate retained on the spin chuck 1033.
  • In one embodiment, not shown, the twin coater/developer chamber 350 contains two nozzle arm assemblies 360 which are adapted to access the nozzles 391 in the central region 395 and position a nozzle over the surface of the substrate. In this configuration each process chamber could process two substrates using the same processing fluid by sharing the pump and dispensing from two different nozzles 391, or two different processing fluids could be dispensed in each of the chambers.
  • Chill Chamber
  • FIG. 10A is a vertical sectional view that illustrates one embodiment of a chill chamber 80 that may be adapted to perform the post BARC chill step 514, the post photoresist chill step 524, the post top coat chill step 534, the post PEB chill step 542 and/or the post develop chill step 554. The chill chamber 80 generally contains an enclosure 86, chill plate assembly 83, a support plate 84, and a lift assembly 87. The enclosure 86 is formed by a plurality of walls (items 86B-D and item 85) which isolate the processes performed in the chill chamber 80 from the surrounding environment to form a processing region 86A. In one aspect of the invention the enclosure is adapted to thermally isolate and minimize the possibility of atmospheric contamination in the chill chamber 80.
  • The chill plate assembly 83 generally contains a heat exchanging device 83A and a chill plate block 83B. The chill plate block 83B is a thermally conductive block of material that is cooled by the heat exchanging device 83A to perform the various chill processes described above (e.g., pre-BARC chill step 509, post BARC chill step 514, post photoresist chill step 524, etc.). The chill plate block 83B is thermally conductive to improve temperature uniformity during processing. In one embodiment, the chill plate block 83B may be made from aluminum, graphite, aluminum-nitride, or other thermally conductive material. In one embodiment, the chill plate block 83B surface which is in contact with the substrate “W” is coated with a Teflon impregnated anodized aluminum, silicon carbide or other material that can minimize particle generation on the backside of the substrate as it comes in contact with the chill plate block 83B. In one embodiment, the substrate “W” rests on pins (not shown) embedded in the surface of the chill plate block 83B so that only a small gap is maintained between the substrate and the chill plate block 83B to reduce particle generation. In another embodiment, as shown in FIG. 10A, the heat exchanging device 83A consists of a plurality of channels 83C formed in a surface of the chill plate block 83B, which are temperature controlled by use of a heat exchanging fluid that continually flows through the channels 83C. A fluid temperature controller (not shown) is adapted to control the heat exchanging fluid and thus the chill plate block 83B temperature. The heat exchanging fluid may be, for example, a perfluoropolyether (e.g., Galden®) that is temperature controlled to a temperature between about 5° C. and about 20° C. The heat exchanging fluid may also be chilled water delivered at a desired temperature between about 5° C. to about 20° C. The heat exchanging fluid may also be a temperature controlled gas, such as argon or nitrogen.
  • In one embodiment of the chill plate, the heat exchanging device 83A is adapted to heat and cool the substrate resting on the surface of the chill plate block 83B. This configuration may be advantageous since the time required to achieve a desired process set point temperature is dependent on the temperature differential between the substrate and the chill plate block 83B. Thus if the chill plate block 83B is set to a fixed temperature and it is desired that the substrate be cooled to that fixed temperature it will take a very long time to cool the last few degrees to reach the fixed temperature due to the small temperature differential between the substrate and the chill plate block 83B. The time to achieve a desired temperature can be reduced if the temperature of the chill plate block 83B is actively controlled so that a large temperature differential is maintained between the substrate and the chill plate block 83B until the substrate temperature is at or near the desired set point temperature and then the temperature of the chill plate block 83B is adjusted to minimize the amount of undershoot or overshoot in temperature of the substrate. The temperature of the chill plate block 83B is controlled by use of a conventional temperature sensing device (e.g., thermocouple; (not shown)) that is used in conjunction with the system controller 101 to vary the amount of energy removed from or delivered to the chill plate block 83B by the heat exchanging device 83A. Thus in this embodiment, the heat exchanging device 83A has the ability to both heat and cool the chill plate block 83B. In one embodiment, the heat exchanging device 83A is a thermoelectric device that is used to cool and/or heat the chill plate block 83B. In one embodiment, the heat exchanging device 83A is a heat pipe design, described below in conjunction with the PEB chamber 130, which is adapted to heat and cool the substrate. In one embodiment, it may also be advantageous to minimize the mass and/or increase the thermal conductivity of the chill plate block 83B to improve the ability to control the substrate temperature.
  • The support plate 84 is generally a plate that supports the chill plate assembly 83 and insulates it from the base 85. In general the support plate 84 may be made from a thermally insulating material such as a ceramic material (e.g., zirconia, alumina, etc.) to reduce external heat loss or gain.
  • Referring to FIG. 10A, the lift assembly 87 generally contains a lift bracket 87A, an actuator 87B, a lift pin plate 87C, and three or more lift pins 87D (only two are shown in FIG. 10A), which are adapted to raise and lower the substrate “W” off an extended robot blade (not shown) and place the substrate on the surface of the chill plate block 83B once the robot blade has been retracted. The robot blade (not shown) is adapted to enter the chill chamber 80 through an opening 88 in the side wall 86D of the enclosure 86. To prevent substrate to substrate process variation and damage to the substrate caused by misalignment of the substrate in the chamber the robot is calibrated to pick up and drop off a substrate from a transfer position, which is typically aligned to a center point between the lift pins. In one embodiment, three lift pins, which move through the lift pin holes 89 in the base 85, support plate 84, and chill plate assembly 83, are adapted to raise and lower the substrate by use of the actuator 87B. The actuator may be an air cylinder or other conventionally available means of raising and lowering the substrate.
  • Bake Chamber
  • FIG. 10B is a side view that illustrates one embodiment of a bake chamber 90 that may be adapted to perform the post BARC bake step 512, the post photoresist coat bake step 522, the post top coat bake step 532 and/or the post develop bake step 552. The bake chamber 90 generally contains an enclosure 96, bake plate assembly 93, a support plate 94, and a lift assembly 97. The enclosure 96 generally contains a plurality of walls (items 96B-D and element 95) which tend to isolate the processes performed in the bake chamber 90 from the surrounding environment to form a processing region 96A. In one aspect of the invention the enclosure is adapted to thermally isolate and minimize contamination of the bake chamber 90 from the surrounding environment.
  • The bake plate assembly 93 generally contains a heat exchanging device 93A and a bake plate block 93B. The bake plate block 93B is a thermally conductive block of material that is heated by the heat exchanging device 93A to perform the various bake processes described above (e.g., post BARC bake step 512, post photoresist coat bake step 522, etc.). The bake plate block 93B is thermally conductive to improve temperature uniformity during processing. In one embodiment, the bake plate block 93B may be made from aluminum, graphite, aluminum-nitride, or other thermally conductive material. In one embodiment, the bake plate block 93B surface which is in contact with the substrate “W” is coated with a Teflon impregnated anodized aluminum, silicon carbide or other material that can minimize particle generation on the backside of the substrate as it comes in contact with the bake plate block 93B. In one embodiment, the substrate “W” rests on pins (not shown) embedded in the surface of the bake plate block 93B so that only a small gap is maintained between the substrate and the bake plate block 93B to reduce particle generation. In one embodiment, the heat exchanging device 93A is a thermoelectric device that is used to heat the bake plate block 93B. In another embodiment, as shown in FIG. 10B, the heat exchanging device 93A consists of a plurality of channels 93C formed in a surface of the bake plate block 93B, which are temperature controlled by use of a heat exchanging fluid that continually flows through the channels 93C. A fluid temperature controller (not shown) is adapted to control the heat exchanging fluid and thus the bake plate block 93B temperature. The heat exchanging fluid may be, for example, a perfluoropolyether (e.g., Galden®) that is temperature controlled to a temperature between about 30° C. and about 250° C. The heat exchanging fluid may also be a temperature controlled gas, such as argon or nitrogen.
  • The support plate 94 is generally a plate that supports the bake plate assembly 93 and insulates it from the base 95. In general the support plate 94 may be made from a thermally insulating material such as a ceramic material (e.g., zirconia, alumina, etc.) to reduce external heat loss.
  • Referring to FIG. 10B, the lift assembly 97 generally contains a lift bracket 97A, an actuator 97B, a lift pin plate 97C, and three or more lift pins 97D (only two are shown in FIG. 10B), which are adapted to raise and lower the substrate “W” off an extended robot blade (not shown) and place the substrate on the surface of the bake plate block 93B once the robot blade has been retracted. In one embodiment, three lift pins, which move through the lift pin holes 99 in the base 95, support plate 94, and bake plate assembly 93, are adapted to raise and lower the substrate by use of the actuator 97B. The actuator may be an air cylinder or other conventionally available means of raising and lowering the substrate. The robot blade (not shown) is adapted to enter the bake chamber 90 through an opening 98 in the side wall 96D of the enclosure 96.
  • HMDS Chamber
  • FIG. 10C is a side view that illustrates one embodiment of a HMDS process chamber 70 that may be adapted to perform the HMDS processing step 511. In one embodiment, as shown in FIG. 10C, the HMDS process chamber 70 contains some of the components contained in the bake chamber 90 shown in FIG. 10B and thus some components of the HMDS process chamber 70 are the same or similar to those described with reference to the bake chamber 90, described above. Accordingly, like numbers have been used where appropriate.
  • The HMDS process chamber 70 also contains a lid assembly 75 that is used to form a sealed processing region 76 in which the processing gas is delivered to the substrate “W” which is heated by the HMDS bake plate assembly 73. The HMDS bake plate assembly 73 generally contains a heat exchanging device 73A and a HMDS bake plate block 73B. The HMDS bake plate block 73B is a thermally conductive block of material that is heated by the heat exchanging device 73A to perform the various HMDS processing steps described above. The HMDS bake plate block 73B is thermally conductive to improve temperature uniformity during processing. In one embodiment, the HMDS bake plate block 73B may be made from aluminum, graphite, aluminum-nitride, or other thermally conductive material. In one embodiment, the HMDS bake plate block 73B surface which is in contact with the substrate “W” is coated with a Teflon impregnated anodized aluminum, silicon carbide or other material that can minimize particle generation on the backside of the substrate as it comes in contact with the HMDS bake plate block 73B. In one embodiment, the substrate “W” rests on pins (not shown) embedded in the surface of the HMDS bake plate block 73B so that only a small gap is maintained between the substrate and the HMDS bake plate block 73B to reduce particle generation. In one embodiment, the heat exchanging device 73A is a thermoelectric device that is used to heat the HMDS bake plate block 73B. In another embodiment, as shown in FIG. 10C, the heat exchanging device 73A consists of a plurality of channels 73C formed in a surface of the HMDS bake plate block 73B, which are temperature controlled by use of a heat exchanging fluid that continually flows through the channels 73C. A fluid temperature controller (not shown) is adapted to control the heat exchanging fluid and thus the HMDS bake plate block 73B temperature. The heat exchanging fluid may be, for example, a perfluoropolyether (e.g., Galden®) that is temperature controlled to a temperature between about 30° C. and about 250° C. The heat exchanging fluid may also be a temperature controlled gas, such as, argon or nitrogen.
  • The lid assembly 75 generally contains a lid 72A, one or more o-ring seals 72C and an actuator assembly 72. The actuator assembly 72 generally contains an actuator 72B and an o-ring seal 72D. The o-ring seal 72D is designed to isolate the HMDS processing region 77 from the environment outside of the HMDS process chamber 70. The actuator 72B is generally adapted to raise and lower the lid 72A so that a substrate can be transferred to and from the lift pins 97D in the lift assembly 97. The lid 72A is adapted to form a seal between the HMDS base 74 using the o-ring seal 72D retained in the lid 72A (or on the HMDS base 74) to form the processing region 76 and prevent the process gases used during the HMDS processing step 511 from escaping into the HMDS processing region 77.
  • During processing the actuator 72B lowers the lid 72A to form a seal between the lid 72A, the o-ring seals 72C and the HMDS base 74 to form a leak tight seal. The process gas delivery system 71 delivers the process gas(es) to the processing region 76 to perform the HMDS processing step 511. To deliver the process gas(es) an HMDS vaporization system 71A delivers the HMDS vapor and a carrier gas to the processing region through an isolation valve 71B and through the inlet 71F formed in the HMDS base 74, across the surface of the substrate, and out the outlet 71G formed in the HMDS base 74, to a scrubber 71E. In one embodiment, a purge gas is delivered to the processing region 76 from a purge gas source 71C after the HMDS vapor containing processing gas has been delivered to the processing region to remove any leftover HMDS vapor. The purge gas source 71C may be isolated from the HMDS vaporization system 71A by use of an isolation valve 71D. In one embodiment, the purge gas delivered from the purge gas source 71C is heated or cooled by use of a conventional gas heat exchanging means (not shown) to control the temperature of the injected purge gas.
  • Post Exposure Bake Chamber
  • During an exposure process using a positive photoresist an insoluble photoresist material is transformed into a soluble material. During the exposure process, components in the photoresist that contain photoacid generators (or PAGs) generate an organic acid that can attack the unexposed areas of the photoresist and affect the sharpness of the pattern formed in the photoresist layer during the exposure process. The attack of the unexposed photoresist is thus affected by the migration of the generated photoacid, which is a diffusion dominated process. Since the photoacid attack of the formed pattern is a diffusion dominated process, the rate of attack is dependent on two related variables, time and temperature. The control of these variables are thus important in assuring that the critical dimension (CD) uniformity is acceptable and consistent from substrate to substrate.
  • In one embodiment, the PEB step 540 is performed in a bake chamber 90 as shown in FIG. 10B. In another embodiment, the PEB step 540 is performed in a HMDS process chamber 70 where a temperature controlled gas is delivered from the purge gas source 71C to the processing region 76, to heat or cool the substrate retained on the HMDS bake plate assembly 73.
  • In another embodiment, the PEB step 540 is performed in a PEB chamber 130. FIG. 10D illustrates a side view of the PEB chamber 130 in which the processing region 138 and mass of the PEB plate assembly 133 are optimized to improve thermal uniformity, allow rapid changes in temperature, and/or improve process repeatability. In one embodiment, the PEB plate assembly utilizes a low thermal mass PEB plate assembly 133 and a heat exchanging source 143 to rapidly heat up and/or cool down a substrate that is in communication with the top surface 133F of the PEB plate assembly 133. In this configuration the PEB plate assembly 133 will generally contain a substrate supporting region 133B that has a top surface 133F on which the substrate may rest, a heat exchanging region 133A, and a base region 133C. The temperature of the substrate supporting region 133B is controlled by use of a temperature sensing device (not shown) that is used in conjunction with the system controller 101 to vary the amount of energy delivered to the PEB plate assembly 133 by the heat exchanging region 133A.
  • The heat exchanging region 133A is a region enclosed between the substrate supporting region 133B, the base region 133C, and the side walls 133G. The heat exchanging region 133A is in communication with the heat exchanging source 143 through one or more inlet ports 133D and one or more outlet ports 133E. The heat exchanging region 133A is adapted to accept various heat exchanging fluids delivered from the heat exchanging source 143 in order to heat or cool the substrate that is in thermal communication with the top surface 133F. In one aspect of the invention, the material thickness of the top surface 133F (i.e., distance between the heat exchanging region 133A and the top surface 133F), and thus the mass of the top surface 133F, is minimized to allow for rapid heating and cooling of the substrate.
  • In one embodiment, the heat exchanging region 133A may contain a resistive heater or thermoelectric device to control the temperature of the substrate. In another embodiment the heat exchanging region 133A is adapted to control the temperature of the PEB plate assembly 133 by use of a radiation heat transfer method, for example, halogen lamps mounted below the substrate supporting region 133B.
  • The PEB plate assembly 133 may be formed by conventional means (e.g., machining, welding, brazing, etc.) from one single material or it may be formed from a composite structure (e.g., structure containing many different types of materials) that makes the best use of each material's thermal conductivity, thermal expansion, and thermal shock properties to form an optimal PEB plate assembly 133. In one embodiment, the PEB plate assembly 133 is made from a thermally conductive material such as aluminum, copper, graphite, aluminum-nitride, boron nitride, and/or other material.
  • The heat exchanging source 143 generally contains at least one heat exchanging fluid delivery system which is adapted to deliver a heat exchanging fluid to the heat exchanging region 133A. In one embodiment, as shown in FIG. 10D, the heat exchanging source 143 contains two heat exchanging fluid delivery systems, which are a heat source 131 and a cooling source 142.
  • In one embodiment, the heat source 131 is a conventional heat pipe which is used to heat the substrate. In general a heat pipe is an evacuated vessel, typically circular in cross sections, that may be back-filled with a small quantity of a working fluid that transfers heat from the heat source 131 to a heat sink (e.g., the substrate supporting region 133B and thus the substrate). The transfer of heat is performed by the evaporation of the working fluid in the heat source 131 and condensation of a working fluid in the heat exchanging region 133A. In operation the heat exchanging region 133A is evacuated by a vacuum pump (not shown) and then energy is added to a working fluid, retained in the heat source 131, which creates a pressure gradient between the heat source 131 and the heat exchanging region 133A. This pressure gradient forces the vapor to flow to the cooler section where it condenses, thus giving up energy due to the latent heat of vaporization. The working fluid is then returned to the heat source 131 by gravity, or capillary action, through the outlet port 133E and the outlet line 131B. The temperature of the substrate supporting region 133B is controlled by use of a temperature sensing device (not shown) that is used in conjunction with the system controller 101 by varying the amount energy (e.g., flow of the working fluid) delivered to the heat exchanging region 133A.
  • In another embodiment, the heat source 131 delivers a heated gas, vapor or liquid from a fluid source (not shown) to the heat exchanging region 133A to transfer heat to the substrate by a convective heat transfer type process. In this configuration the heated gas, vapor or liquid is delivered to the heat exchanging region 133A through an inlet port 133D from an inlet line 131A and exits the heat exchanging region 133A through the outlet port 133E where it is delivered to a waste collection source 142A. The waste collection source 142A may be a scrubber or typical exhaust system.
  • In one embodiment, as shown in FIG. 10D, the heat exchanging source 143 also contains a cooling source 142 which is adapted to cool the substrate to a desired temperature. In one embodiment of the cooling source 142, the cooling source delivers liquid nitrogen to the heat exchanging region 133A to remove heat from the substrate supporting region 133B and thus the substrate. In another embodiment, the cooling source delivers a chilled gas, liquid or vapor to the heat exchanging region 133A to cool the substrate. In one aspect of the invention the cooling source is used to cool the substrate to a temperature near ambient temperature.
  • In another embodiment of the PEB plate assembly 133, a heat exchanging device 134 is placed on the base region 133C to heat or cool the PEB plate assembly 133. In one aspect of the invention, the heat exchanging device 134 is used to cool the base region 133C, which is in thermal contact with the substrate supporting region 133B through a plurality of thermally conductive pillars 133H (only two shown). In this configuration the substrate can be heated by the injection of a hot fluid from the heat source 131 and cooled by use of the heat exchanging device 134. This configuration may avoid the need for the cooling source 142 to cool the substrate. The plurality of thermally conductive pillars 133H are regions in which heat can be transferred from the substrate supporting region 133B to the base region 133C or vise versa. The conductive pillars 133H may be arranged in any pattern, size or density (e.g., number of pillars 133H per unit area) that allows heat to uniformly flow to or from the heat exchanging device 134 and allows the fluid delivered from the heat source to uniformly communicate with the substrate supporting region 133B.
  • Referring to FIG. 10D, in one aspect of the invention a lid assembly 137 is placed over the substrate “W” and contacts the top surface 133F of the PEB plate assembly 133 to form a controlled environment around the substrate. The lid assembly generally contains the lid 137A and a lid actuator 139. The lid actuator 139 is a device that may be adapted to raise and lower the lid 137A so that the lift assembly 140 can transfer the substrate to and from the cluster tool robot (not shown) and the top surface 133F. In one embodiment, the lid actuator 139 is an air cylinder. When the lid is in the processing position, as shown in FIG. 10D, the lid contacts the top surface 133F and thus forms a processing region 138 that surrounds the substrate to create a controlled thermal environment.
  • In one embodiment, the lid assembly 137 may contain a heat exchanging device 137B to control the temperature of the lid 137A and thus form an isothermal environment around the substrate to improve thermal uniformity across the substrate during processing. In this configuration the heat exchanging device 137B adapted to act as a heat pipe in a similar fashion as described above, to rapidly heat and cool the lid assembly 137. In one embodiment, the heat exchanging device 137B and the heat exchanging region 133A are both adapted to act as a heat pipe to rapidly and uniformly control the temperature of the substrate. In another embodiment, the heat exchanging device 137B is adapted to control the temperature of the lid assembly 137 by use of a radiative (e.g., heat lamps), or convective heat transfer means (described above).
  • In another embodiment of the lid assembly 137, a heated fluid source 141 is connected to the processing region 138 through a lid inlet port 137C to deliver a temperature controlled process fluid across the substrate surface and then out the lid outlet port 137D to a waste collection device 141B. The heated fluid source 141 generally contain a fluid source 141A, a fluid heater 141C and a waste collection device 141B (e.g., typically an exhaust system or scrubber). The fluid source 141A may deliver a gas or liquid during processing to control the temperature of the substrate. In one aspect of the invention the fluid source 141A may deliver an inert gas, for example, argon, nitrogen, or helium.
  • Referring to FIG. 10D, the PEB chamber 130 generally contains an enclosure 136, the PEB plate assembly 133, and a lift assembly 140. The enclosure 136 generally contains a plurality of walls (items 136B-D and item 135) which tend to isolate the processes performed in the PEB chamber 130 from the surrounding environment. In one aspect of the invention the enclosure is adapted to thermally isolate and minimize contamination of the PEB chamber 130 from the surrounding environment. The lift assembly 147 generally contains a lift bracket 140A, an actuator 140B, a lift pin plate 1400, and three or more lift pins 140D (only two are shown in FIG. 10D), which are adapted to raise and lower the substrate “W” off an extended robot blade (not shown) and place the substrate on the surface of the PEB plate assembly 133 once the robot blade has been retracted. The lift pin holes 132 are configured to allow the lift pins 140D to access the substrate so that it can be raised and lowered from the surface of the PEB plate assembly 133. The actuator 140B may be an air cylinder or other conventionally available means of raising and lowering the substrate. The robot blade (not shown) is adapted to enter the enclosure 136 through an opening 136E in the side wall 136D of the enclosure.
  • Variable Heat Transfer Valve
  • FIG. 11A is side view that illustrates one embodiment of a plate assembly that may be used to rapidly heat and cool a substrate. The term “plate assembly” used hereafter is intended to generally describe an embodiment of the PEB plate assembly 133, the chill plate assembly 83, the bake plate assembly 93, or the HMDS bake plate assembly 73 which may be adapted to benefit from this configuration. Referring to FIG. 11A, in one embodiment, a plate assembly 250 contains a conductive block 254 which has a block surface 254A that is in thermal communication with a substrate “W” during processing, a cooling region 253, a gap 259 formed between the conductive block 254 and the cooling region 253, an inlet region 257, an outlet region 258, and a fluid delivery system 275.
  • The conductive block 254 is used to support the substrate, and it contains a heating device 255 which is adapted to heat a substrate that is in thermal communication with the block surface 254A. The conductive block 254 may be made from a thermally conductive material such as aluminum, copper, graphite, aluminum-nitride, boron nitride, and/or other material. The heating device 255 may be a resistive heater or a thermoelectric device that is used to heat the conductive block 254. In another embodiment, the heating device 255 consists of a plurality of channels formed in a surface of the conductive block 254 (not shown), which are temperature controlled by use of a heat exchanging fluid that continually flows through the channels. A fluid temperature controller (not shown) is adapted to control the heat exchanging fluid and thus the conductive block 254 temperature. The heat exchanging fluid may be, for example, a perfluoropolyether (e.g., Galden®) that is temperature controlled to a temperature between about 30° C. and about 250° C. The heat exchanging fluid may also be a temperature controlled gas, such as, argon or nitrogen.
  • The cooling region 253 is an area of the plate assembly 250 that is isolated from the conductive block 254 by the gap 259 and is maintained at a low temperature to cool the conductive block 254 when a conductive working fluid is delivered to the gap 259 by the fluid delivery system 275. The cooling region 253 contains a cooling device 265 that is used to cool this area of the plate assembly 250. The cooling region 253 may be made from a thermally conductive material such as aluminum, copper, graphite, aluminum-nitride, boron nitride, and/or other material. The cooling device 265 may be a thermoelectric device that is used to cool the cooling region 253. In another embodiment, the cooling device 265 consists of a plurality of channels (not shown) formed in a surface of the cooling region 253, which are temperature controlled by use of a heat exchanging fluid that continually flows through the channels. A fluid temperature controller (not shown) is adapted to control the heat exchanging fluid and thus the cooling region 253 temperature. The heat exchanging fluid may be, for example, a perfluoropolyether (e.g., Galden®) that is temperature controlled to a temperature between about 5° C. and about 20° C. The heat exchanging fluid may also be a temperature controlled gas, such as, argon or nitrogen.
  • The fluid delivery system 275 generally contains a fluid delivery source 270 that is adapted to deliver a conductive working fluid to the gap 259 formed between the conductive block 254 and the cooling region 253. The fluid delivery system 275 thus causes the conductive working fluid to flow from the fluid delivery system 275 through the inlet region 257 into the gap 259 and then out the outlet region 258, where it is returned to the fluid delivery system 275. The conductive working fluid is thus used to increase the thermal coupling between the cooling region 253 and the conductive block 254 during different phases of the process, to heat and cool the substrate. The conductive working fluid may a liquid, vapor or gas that is able to increase the thermal coupling between the conductive block 254 and the cooling region 253. In one embodiment, the conductive working fluid is liquid such as: a liquid metal alloy of gallium, indium, and tin (e.g., galinstan); mercury (Hg); Galden; or polyethylene glycol. In another embodiment, the conductive working fluid is a gas, such as, helium, argon, or carbon dioxide (CO2).
  • In one embodiment, the plate assembly 250 is used to bake the substrates in, for example, the PEB chamber to perform the PEB step 540. In this configuration the substrate is first delivered to the block surface 254A while the conductive working fluid is flowing through the gap 259 and thus the cooling region 253 is in communication with the conductive block 254 and the block surface remains at a low temperature. Once the substrate contacts the block surface 254A the flow of the conductive working fluid is stopped and is removed from the gap 259 to decouple the cooling region 253 from the conductive block 254. In one embodiment, a gas source 272 is used to force the remaining conductive working fluid back to the fluid delivery system 275. The conductive block 254 is then heated by energy delivered from the heating device 255 until a desired processing temperature is achieved in the conductive block 254. After maintaining the desired processing temperature for a period of time the heating device 255 is shut off and the conductive working fluid is delivered to the gap 259 to cool the conductive block 254 by increasing the thermal coupling between the conductive block 254 and cooling region 253. Once the substrate has reached a desired temperature it is removed from the processing chamber.
  • In one embodiment of the plate assembly 250, as shown in FIG. 11A, the block surface 256 is purposely roughened by use of a mechanical fabrication process, such as, bead blasting, knurling, or other machining process to reduce the chance of thermal shock damage to the conductive block 254 material, and increase the surface area to couple the cooling region 253 to the conductive block 254.
  • PEB Process Endpoint Detection System
  • In an effort to reduce the processing time in the bake chamber, PEB chamber and/or the HMDS process chamber and improve the repeatability of the process results, an endpoint detector can be integrated into the chamber to notify the system controller 101 that the process is complete or nearly complete so that it can then be transferred to the next chill chamber 80. This design thus minimizes the need to run the process longer than necessary, or “over bake”, while still assuring that the chamber process is complete. This process is especially important in the PEB chamber due to the prevention of the generated organic acid during exposure from attacking the unexposed areas of the photoresist.
  • To resolve this problem, in one embodiment, the process endpoint is determined by measuring the concentration of a previously identified PEB, HMDS, or bake chamber reaction byproducts contained in the gas, or vapor, above the surface of the previously deposited or exposed photoresist layer. FIG. 12A illustrates one embodiment of an endpoint detection system 190 that is adapted to detect a change the concentration of the byproducts diffusing from the surface of the photoresist layer (not shown) on the surface of the substrate “W”. In this configuration a laser 191 emits a beam (see item “A”) at a wavelength that is tuned so that the intensity of the signal received by the detector 192 is decreased due to the interaction with the byproducts that diffuse into the gas, or vapor, above the surface of the photoresist during the processing step. The wavelength and intensity of the laser is also tuned so that the laser will not potentially cause further exposure of the photoresist. In general the typical photoresist process byproducts will be, for example, hydrocarbon containing materials and carbon dioxide (CO2). From the variation in intensity caused by the change in the concentration of CO2 or other organic breakdown products evolving from the photoresist, an endpoint can be inferred. The wavelength, or wavelengths, emitted by the laser may be between about 500 nm and about 4000 nm. In one embodiment, where carbon dioxide concentration is being detected, the wavelength of the laser is about 1960 nm, which conventional laser diodes can readily achieve. In another embodiment, the wavelength of the beam emitted by the laser is 4230 nm.
  • FIG. 12A is a side view of a bake chamber, PEB chamber or HMDS process chamber (see element 199) that contains a laser 191 that emits a beam that crosses just above the surface of the photoresist contained on the surface of the substrate. In this configuration the laser 191 and detector 192 are mounted so that the emitted beam is parallel and in close proximity to the photoresist layer on the surface of the substrate “W” which is retained on the plate assembly 193. The plate assembly 193 may be, for example, the PEB plate assembly 133 or bake plate assembly 93, which is used to process the substrate during the bake, PEB or HMDS process steps described above. Since the concentration of the evolved byproducts are the highest just above the surface of the photoresist the endpoint detection system 190 will generally have the highest sensitivity to changes in the concentration of the byproducts in the gas, or vapor in this configuration. An advantage of this configuration is that by projecting the beam over the surface of the photoresist, the detected variation in intensity is the sum of the amount of byproducts passing through the beam over the whole length of the beam. This method provides a lower signal to noise ratio, and also corrects for variations in the process during different phases of the process.
  • In another embodiment of the endpoint detector, a laser is used to determine the photoresist layer thickness and/or sense a change in the index of refraction of the photoresist layer to determine the endpoint of the process. FIG. 12B illustrates one embodiment of a endpoint detection system 198 that can be used to measure the photoresist layer thickness and/or sense a change in the index of refraction of the photoresist layer. The endpoint detection system 198 generally contains a laser 194, a beam splitter 195 and a detector 196. In one embodiment, shown in FIG. 12B, the endpoint detection system 198 also contains a fiber optic cable 197 which can allow the laser 194, beam splitter 195 and detector 196 to be positioned a desirable distance from the processing region 199A above the surface of the substrate.
  • In one embodiment of the endpoint detection process, the laser is designed to emit multiple wavelengths so that the photoresist thickness and/or index of refraction changes can be monitored during the processing. The thickness of the photoresist is measured by detecting a change in multi-wavelength interference patterns that will change as the photoresist thickness and index of refraction change during the process. In one embodiment of the endpoint detection process, the laser 194 emits radiation to a beam splitter 195, where a percentage of the radiation emitted from the laser 194 passes directly through the beam splitter 195 to the fiber optic cable 197. The fiber optic cable 197 then directs the emitted energy towards the surface of the substrate. The emitted radiation is then reflected, scattered or absorbed at the surface of the photoresist layer (item “P”) and/or the surface of the substrate. A percentage of the reflected radiation then travels back to the fiber optic cable 197 where it directs the radiation to the beam splitter 195. The beam splitter 195 then reflects a percentage of the reflected radiation to the detector 196 where the incident radiation is detected.
  • To detect when the endpoint of a process has occurred, using either of the embodiments described above, the detected signal may be compared with the signal or data collected from previously processed substrates. In one embodiment, obtaining post process measurements before the endpoint can be confidently detected may be required. FIG. 12C illustrates a method of optimizing the endpoint detection process by using data collected from previously processed wafers. The method requires that endpoint signals from two or more substrates be recorded for reference or be stored in the memory of the system controller 101 (see item A). The two or more substrates are then fully processed to and inspected to determine how the endpoint signal compared with the ideal process (see item B). The inspection data is then used to determine the ideal process time and actual endpoint signal, which is then used by subsequent substrates processed in the chamber to determine the actual end of the process (see item C).
  • Improved Heat Transfer Design with Minimum Contact
  • To increase the system throughput, by reducing the chill chamber, bake chamber, PEB chamber and/or the HMDS process chamber processing times, various methods have been employed to increase the thermal coupling of the substrate to the heat exchanging device. While increasing the contact between the substrate surface and the surface of the plate assembly (e.g., PEB plate assembly 133, chill plate assembly 83, etc.) will increase the thermal coupling and reduce the time it takes a substrate to reach the desired process temperature, increasing contact is often undesirable since it will increase the number of particles generated on the backside of the substrate, which can affect the exposure process results and also device yield.
  • To reduce the particle generation on the backside of the substrate the contact of the substrate to the surface of the plate assembly can be minimized by use of an array of protrusions that space the substrate off the surface of the plate assembly. While protrusions reduce the number of particles generated they may tend to reduce the thermal coupling between the substrate and the plate assembly. Therefore, it is often desirable to minimize the height of the protrusions from the surface of the plate assembly to improve the thermal coupling, while also assuring that the substrate will not touch the surface of the plate assembly. Prior art applications have typically used sapphire spheres that are pressed or placed into machined holes in plate assembly surface to act as the protrusions. It is often difficult to mechanically achieve sufficiently good height control between the spheres and the surface of the plate assembly, since it needs to be very flat for this technique to assure that the substrate will not contact the plate assembly surface. These problems arise since the machining operations required to form the surface features that hold the spheres, or pins, are all referenced to some reference datum and thus does not take into account the variation in the surface topology of the plate assembly. This issue becomes especially important where the height of the protrusions from the surface of the plate assembly is about 30 micrometers.
  • Referring to FIG. 13A, to resolve these competing issues, in one embodiment, an array of accurately controlled small contact area protrusions 171 are formed on the surface of the plate assembly 170 and the substrate is biased towards the plate assembly to increase the thermal coupling between the substrate and the plate assembly. The substrate may be biased towards the plate assembly 170 by use of a vacuum chucking device, an electrostatic chucking device or other conventional method of forcing the substrate against plate assembly. The array of accurately controlled small contact area protrusions 171 can be formed by use of a CVD and/or PVD deposition process. By use of a CVD and/or PVD deposition process a thin layer of material, of a controlled size, can be uniformly deposited on the surface of the plate assembly to a desired height. The material deposited on the surface of the plate assembly 170 to form the protrusions 171 may be silicon dioxide (SiO2), silicon (Si), a metal (e.g., nickel, titanium, titanium nitride, molybdenum, tungsten, etc.), a ceramic material, a polymeric material (e.g., polyimide, Teflon, etc.) or other material that is hard enough to withstand the biasing force without appreciable deformation and is not easily abraded by the interaction with the backside of the substrate (e.g., diamond, diamond-like carbon, or boron nitride). This approach is advantageous since the height of the protrusion above the surface of the plate assembly surface can be controlled to height that may be about ten times smaller (e.g., 1/10th) than on a state of the art configuration. The decrease in protrusion height will increase the heat transfer rate, so the wafer can heat much faster, and thus reduces the time that the wafer spends transiting to the final temperature, which reduces the variation in the diffusion and chemical reaction. It also ensures closer thermal coupling between the wafer and heater, which reduces the thermal impact of other chamber non-uniformities. Another advantage of this approach is that by using more protrusions 171, the magnitude of the substrate bow is reduced since the substrate bow is inversely proportional to the fourth power of the distance between the protrusions when an external pressure is applied to the substrate. With each protrusion 171 nominally the same height from the surface of the plate assembly, and the substrate being uniformly held above the surface of the plate assembly, with minimal bowing between protrusions, the thermal transfer from the plate assembly to the substrate will be uniform. Therefore, this design brings the temperature of the substrate quickly and uniformly to the target temperature, while minimizing the generation of backside particles that are inherent in normal vacuum chucks.
  • To form the protrusions 171, in one embodiment, a mask (not shown) is placed over the surface of the plate assembly which allows CVD or PVD material to be deposited on certain defined areas of the substrate by use of features or holes formed in the mask. In this way the size is controlled by the features formed in the mask and the height of the protrusion is can be controlled by assuring a certain amount of material is deposited on the surface of the plate assembly using a known PVD or CVD process deposition rate. In one embodiment, the protrusions 171 which are deposited by a PVD or CVD process are about 100 micrometers thick.
  • FIGS. 13C and 13D illustrate one embodiment of a masking process where a selective CVD deposition process is used to deposit protrusions of a desired height. In this configuration, for example, a silicon dioxide or diamond seed crystal 182A layer is imbedded in the plate assembly surface 170A of plate assembly 170 made from Teflon coated aluminum. In this configuration a conventional CVD process may be adapted to selectively deposit a layer 182B of silicon dioxide or diamond film on the seed crystal 182A. In this embodiment, a seed crystal 182A is imbedded into the plate assembly surface 170A so that the top surface of the seed crystal is substantially flush with the plate assembly surface 170A. In one aspect of the invention an insertion tool (not shown) is used to assure the seed crystal 182A can be repeatably installed and it is flush with the plate assembly surface 170A. The insertion tool should be made from a material is relatively incompressible, flat, and has a polished face. The insertion tool should have a working surface (not shown), which contacts with the seed crystal during insertion into the plate assembly, that is at-least as hard as the material from which the seed crystal 182A is made.
  • FIG. 13A illustrates one embodiment of a heat/cool assembly 180 which may be used in the chill chamber 80, the bake chamber 90, the PEB chamber 130 and/or the HMDS process chamber 70. In one embodiment, the heat/cool assembly 180 contains a plate assembly 170, and a vacuum source 175, which are mounted in a processing chamber 186. The plate assembly 170 generally contains a plate 170B, plate assembly surface 170A, protrusions 171, and a vacuum source port assembly 172. In this configuration the vacuum source 175 is used to create a negative pressure in the vacuum port plenum 172B, thus causing air to flow into the a plurality of vacuum ports 172A formed in the surface of the plate assembly 170, which creates a reduced pressure behind the substrate which causes the substrate to be biased towards to the surface of the protrusions 171. The plate 170B may be made from a thermally conductive material such as aluminum, copper, graphite, aluminum-nitride, boron nitride, and/or other material, and is in communication with a heat exchanging device 183A. While FIG. 13A illustrates a heat exchanging device 183A which has a different shape than that shown in the chill chamber 80, the bake chamber 90, the PEB chamber 130 and/or the HMDS process chamber 70 drawings described above, this embodiment is intended incorporate all of the features described above.
  • In one embodiment, the plate assembly 170 also contains a gas source port assembly 173 and a gas source 174 to purge the edge of the substrate during processing to prevent the evaporating solvent vapors from being deposited on the plate assembly surface 170A or the backside of the substrate due to the reduced pressure generated behind the substrate (e.g., a vacuum chuck configuration). In this configuration the gas source 174 is used to create a positive pressure in the gas port plenum 173B, thus causing the gas to flow out of a plurality of gas ports 173A formed in the surface of the plate assembly 170. In one embodiment the gas source 174 is adapted to deliver an inert gas to the edge of the substrate, such as, argon, xenon, helium, nitrogen, and/or krypton. The gas source 174 may also be adapted to deliver a fluid to the edge of the substrate.
  • FIG. 13B illustrates a plan view of the surface of the plate assembly 170 with no substrate on top of the protrusions 171, to illustrate one possible configuration of protrusions 171 (33 shown), vacuum ports 172A (˜367 shown), and gas ports 173A (˜360 shown). In general, the plurality of protrusions 171 are spaced across the surface of the plate assembly 170 so that the contact area can be minimized and the gap between the substrate and the plate assembly surface 170A is substantially uniform. The plurality of vacuum ports 172A are spaced across and around the surface of the plate assembly 170 so that the substrate can be uniformly biased towards the plate assembly 170 and thus the gap between the substrate and the plate assembly surface 170A is substantially uniform. In one embodiment, as shown in FIG. 13B an inner array of vacuum ports 172A (see item “A”) is mirrored with an outer array of gas ports 173A (see item “B”), where the diameter of the inner array “A” is smaller than the substrate diameter and the diameter of the outer array “B” is equal to or larger than the substrate diameter. In one embodiment, a small ridge of the CVD or PVD deposited material that is used to form the protrusions 171 (not shown) is placed between the inner array of vacuum ports 172A and the outer array of gas ports 173A to minimize the amount of gas required to purge the edge of the substrate. FIGS. 13A-B also illustrate a configuration having a lift assembly 87 and lift pin hole 189 extending through the plate assembly surface 170A to lift the substrate off the plate assembly surface 170A.
  • In one embodiment, the gas delivered from the gas source 174 is heated prior to exiting the gas ports 173A to prevent cooling of the edge of the substrate during processing. In another embodiment, the length of the gas port plenum 173B in the plate assembly 170 is designed to assure that the gas resides in the gas port plenum long enough for the injected gas to substantially achieve the plate temperature before it exits the gas ports 173A.
  • Support Chamber
  • The support chamber 65 (FIGS. 4C, 4F and 4H) may be used to house containers, pumps, valves, filters and other support components that are useful for completing the process sequence in the cluster tool 10.
  • In one embodiment, the support chamber 65 contains various metrology tools, such as, a particle measurement tool, an OCD spectroscopic ellipsometry device, spectroscopic reflectometry and various scatterometry devices to detect defects in the processed substrates, perform statistical process control, and/or allow the system to compensate for variations in the incoming substrate quality. In one case a non-contact visible and/or DUV reflectometry technique can be used to perform measurements of film thickness and uniformity of the films on the substrate in the cluster tool. A reflectometry tool can be purchased from Nanometrics Incorporated, Milpitas Calif.
  • An integrated OCD spectroscopic ellipsometry tool may be used to enable complete film characterization and closed-loop control within the lithographic process without having to move the wafer to a standalone metrology tool, saving transport time and eliminating potential handling contamination and damage. The integration of the various process control metrology capability directly into the cluster tool will thus help improve CD control and CoO. An OCD spectroscopic ellipsometry tool can be purchased from Nanometrics Incorporated, Milpitas Calif.
  • Wafer Sequencing/Parallel Processing
  • In an effort to be more competitive in the market place and thus reduce CoO, electronic device manufacturers often spend a large amount of time trying to optimize the process sequence and chamber processing time to achieve the greatest substrate throughput possible given the cluster tool architecture limitations and the chamber processing times. In track lithography type cluster tools, since the chamber processing times tend to be rather short, (e.g., about a minute to complete the process) and the number of processing steps required to complete a typical track system process is large, a significant portion of the time it takes to process a substrate is taken up by the processes of transferring the substrates in a cluster tool between the various processing chambers. In one embodiment of the cluster tool 10, the CoO is reduced by grouping substrates together and transferring and processing the substrates in groups of two or more. This form of parallel processing thus increases the system throughput, and reduces the number of moves a robot has to make to transfer a batch of substrates between the processing chambers, thus reducing wear on the robot and increasing system reliability.
  • In one aspect of the invention, the track architecture is designed so that substrates leave the cassette 106 mounted in the pod assemblies 105A-D one-by-one, and are then grouped together in groups containing two or more substrates after being processed in the first processing station. For example, when using the process sequence shown in FIG. 3A, the substrates might be grouped after completing the BARC coat step 510. In this configuration, the robot that serves the cassettes 106 and places each substrate in the first process stations may use a single blade robot, but the robot (e.g., central robot 107) that picks up the substrates from the first process stations and places them in subsequent process stations, will be a robot that contains as many substrate retaining devices (e.g., robot blades) as there are substrates to be grouped. For example, as shown in FIG. 16A, in the case where two substrates are to be grouped together, a dual bladed type central robot 107 may be used. In another aspect of the invention, the substrates are ungrouped before they are transferred into the stepper/scanner 5, then are regrouped again after the performing the PEB step 540, and are then ungrouped again at the last process station prior to being picked up by the front end robot 108.
  • In one aspect of the invention, the substrates may be grouped together at the pod assembly 105 and transferred through the cluster tool in groups, by use of a multiple bladed type front end robot 108, central robot 107 and rear robot 109. FIGS. 16A-D illustrate one embodiment of a multiple bladed robot. In this case, after each blade of the front end robot 108 is loaded with a substrates, all of the transfer processes through the cluster tool is completed in groups. One will note that it is likely that the substrates will have to be de-grouped, i.e, transferred one at a time, at the stepper/scanner 5.
  • In one embodiment, the substrates are grouped in pairs and thus the transferring process would include the grouping steps of single substrate transfer in to the first process chamber, then dual substrate transfer through the system, then single substrate transfer to and from the stepper/scanner 5, then dual substrate transfer through the system, and single substrate transfer from the last chamber to the cassette. In one embodiment, the central robot 107, as shown below in FIGS. 16A-B, contains a dual blade assembly 705 that contains at least one robot blade 711A on the first blade assembly 715A and at least one robot blade 711B on the second blade assembly 715B to transfer substrates in groups of two. In this configuration, the first blade assembly 715A and the second blade assembly 715B are a fixed distance apart, which corresponds to the vertical spacing of the two chambers in which the substrates are to be grouped. For example, if the substrates are grouped in pairs after the BARC coat step 510 is performed in CD1 and CD2 of the front end processing rack 52 shown in FIG. 4A, the spacing of the transfer positions in the CD1 and CD2 chambers is configured to allow transferring of the substrates to the C12 and C9 chill chambers or B5 and B2 bake chambers in the first central processing rack 152. Therefore, after the post BARC chill step 514 has been completed the central robot 107 may transfer the pair of substrates to one of the pairs of coater/developer chambers 60 retained in the second central processing racks 154, such as chambers CD1 and CD2, CD2 and CD3, or CD3 and CD4.
  • In one embodiment of the dual blade assembly 705, the horizontal spacing of the first blade assembly 715A relative to the second blade assembly 715B is a fixed distance apart, which corresponds to the horizontal spacing of the two chambers in which the substrates are to be grouped. In this configuration, the first blade assembly 715A and the second blade assembly 715B are aligned in the horizontal plane so that the dual blade assembly 705 can access chambers spaced horizontally.
  • Referring to FIG. 16D, in another embodiment, the spacing of the first blade assembly 715A and the second blade assembly 715B are made a variable distance apart by use of an actuator 722 mounted on the dual blade assembly 705. Generally, the actuator 722 is adapted to vary the spacing between the various number of grouped substrates to coincide with the desired spacing of the chambers to which the grouped substrates will be transferred. In one aspect, the actuator 722 is mounted on the support 720 and is adapted to position the second blade assembly 715B that is attached to the second surface 720B. In this configuration the actuator 722 can vary the spacing “A” between the second blade assembly 715B relative to the first blade assembly 715A by positioning the second surface 720B in a direction “B”. In one embodiment, the actuator 722 is a direct drive linear brushless servomotor that may be purchased from Danaher Motion of Wood Dale, Ill. or Aerotech, Inc. of Pittsburgh, Pa.
  • In one embodiment, a batch develop process could be performed on the substrates, in which case the substrates would be transferred in a group and then ungrouped to perform the develop process, after which they would be regrouped transferred as a group.
  • Sequencing without Buffer Stations
  • In one aspect of the invention, the substrate processing sequence and cluster tool are designed so that the substrate transferring steps performed during the processing sequence are completed to chambers that will perform the next processing step in the processing sequence. The prior art cluster tool configurations commonly install interim stations, or buffer chambers, in the process sequence so that the robot that dropped off a substrate can complete other transferring steps and/or allow other robots to pick up and transfer the waiting substrate to another desired position in the system. The step of placing a substrate in a chamber that will not perform the subsequent processing step wastes time, decreases the availability of the robot(s), wastes space in the cluster tool, and increases the wear on the robot(s). The addition of the buffering steps will also adversely affect device yield, due to the increase in the number of substrate handoffs which will increase the amount of backside particle contamination. Also, substrate processing sequences that contain buffering steps will inherently have different substrate wafer histories, unless the time spent in the buffer chamber is controlled for every substrate. Controlling the buffering time will increase the system complexity, due to an added process variable, and it will likely hurt the maximum achievable substrate throughput. In a case where the system throughput is robot limited, the maximum substrate throughput of the cluster tool is governed by the total number of robot moves to complete the process sequence and the time it takes to make the robot move. The time it takes a robot to make a desired move is usually limited by robot hardware, distance between processing chambers, substrate cleanliness concerns, and system control limitations. Typically the robot move time will not vary much from one type of robot to another and is fairly consistent industry wide. Therefore, a cluster tool that inherently has fewer robot moves to complete the processing sequence will have a higher system throughput than a cluster tool that requires more moves to complete the processing sequence, such as cluster tools that contain multiple buffering steps.
  • The various embodiments of the cluster tool shown on FIGS. 2A-G and 14A-B have particular advantage over prior art configurations since fewer moves and fewer robots are required to transfer the substrate through the system. One example, is the ability of the front end robot 108 to access the cassette(s) 106 and then directly place the substrate in a first processing chamber (e.g., coater chamber 60A) and then after processing in the first processing chamber deliver the substrate to a subsequent processing chamber (e.g., bake chamber 90). Prior art configurations require the use of multiple interim stations between the cassettes, process chambers and/or stepper/scanners, and multiple robots to complete the process sequence through the cluster tool. In some prior art configurations, for example, it is common for a first robot to place a substrate in a first position, where it is picked up by second robot and placed in a second position in a processing chamber. After being processed in the processing chamber the substrate is then placed back in the first position by the second robot where it is picked up by the first robot or third robot to be transferred to another position in the system. This transferring process, or transfer path, is wasteful since it requires a separate robot to complete the transfer between the first position and the second position and it requires two non-value added moves to transfer the substrate. Adding extra robots and/or increasing the non-value added moves can be costly due to decreased substrate throughput and will make the cluster tool less reliable. The importance of this aspect may be better understood by noting that the reliability of a serial sequence is proportional to the product of the reliability of each component in the sequence. Therefore, a single robot having 99% up-time is always better than two robots having 99% up-time, since the system up-time for two serial robots each having 99% up-time is only 98.01%. Since track lithography chamber processing times tend to be rather short, and the number of processing steps required to complete a typical process sequence is large, the system throughput can be significantly affected by the reliability of the system, the number of wafer handoffs and the non-value added moves of a robot.
  • One advantage of the cluster tool configuration described herein is the ability of the two or more robots to access processing chambers (e.g., chill chamber 80, bake chambers 90, etc.) in the different main modules (e.g., front end module 306, central module 310, etc.). For example, in the embodiment shown in FIG. 2F the front end robot 108 can access the processing chambers in the first central processing rack 312 and the second central processing rack 314 while the central robot 107 can access processing chambers in the first processing rack 308 and the second processing rack 309. The ability of a robot to access chambers in other main modules, or “robot overlap,” can be an important aspect in preventing system robot transfer bottlenecks, since it allows an under utilized robot to help out a robot that is limiting the system throughput. Therefore, the substrate throughput can be increased, a substrate's wafer history can be made more repeatable, and the system reliability can be improved through the act of balancing the load each robot takes during the substrate sequence. In one aspect, the system controller 101 is adapted to adjust the substrate transfer path through the cluster based on an optimized throughput or to work around processing chambers that have become inoperable. The feature of the system controller 101 which allows it to optimize throughput is known as the logical scheduler. The logical scheduler prioritizes tasks and substrate movements based on inputs from the user and various sensors distributed throughout the cluster tool. The logical scheduler may be adapted to review the list of future tasks requested of each of the various robots (e.g., front end robot 108, central robot 107, rear robot 109, one or more shuttle robots 110, etc.), which are retained in the memory of the system controller, to help balance the load placed on each of the various robots. Use of a cluster tool architecture and system controller 101 to work together to maximize the utilization of the cluster tool to improve CoO makes the wafer history more repeatable and improves the system reliability.
  • In one aspect, the system controller 101 is further programmed to monitor and control the motion of the end-effector of all robots in the system (e.g., dual blade assembly 705 (FIGS. 16A-C), blade assembly 706 (FIG. 16F-G), etc.) to avoid a collision between the robots and improve system throughput by allowing robots to be in motion at the same time. This so called “collision avoidance system,” may be implemented in multiple ways, but in general the system controller 101 monitors the position of each of the robots by use of various sensor positioned on the robot or in the cluster tool during the transferring process to avoid a collision. In one aspect, the system controller is adapted to actively alter the motion and/or trajectory of each of the robots during the transferring process to avoid a collision and minimize the transfer path length. In one embodiment, a “zone avoidance” system is used to prevent collisions between multiple robots. In one aspect of the zone avoidance system, the system controller, through use of its hardware and software components, is able to continually monitor, update and define regions around each robot that are “open” or safe to move within. The defined “open” or safe regions are thus areas in which a robot may move into, or through, without the possibility of colliding with another robot. In another embodiment of the collision avoidance system, the system controller is adapted to monitor and control multiple sensors (e.g., encoders on the various robot axes, position sensors, etc.) and emitters distributed around the cluster tool mainframe and on the robot(s) to continually track the actual position of each robot within the cluster tool to assure that the motion of two or more robots will not cause them to move into the same space and thus collide. In one aspect, the sensors are optical sensors that are positioned in various vertical and/or horizontal orientations in the cluster tool to monitor the position of each of the robots. In another aspect, each robot and its components are monitored by use of a sensing system that is able to triangulate the position of each of the various robot components by use of emitters positioned on the various robot components relative to multiple sensors positioned in the mainframe. In one aspect, the sensing system contains emitters and sensors that are RF transmitters and receivers.
  • FIG. 14A illustrates schematically a substrate transfer path which is intended to illustrate one example of the substrate flow through the cluster tool 10 where the number of buffering steps is minimized or completely eliminated. A transfer path is generally a schematic representation of the path a substrate will travel as it is moved from one position to another so that various process recipe steps can be performed on the substrate(s). FIG. 14A illustrates the transfer path of a substrate following the processing sequence described in FIG. 3A. In this embodiment, the substrate is removed from a pod assembly 105 (item # 105A) by the front end robot 108 and is delivered to a coater chamber 60A (e.g., CD1, CD2, etc. (FIG. 4A)) following the transfer path A1, so that the BARC coat step 510 can be completed on the substrate. Once the BARC process has been completed, the substrate is then transferred to a bake chamber 90 (e.g., B1, B3, etc. (FIG. 4B)) by the central robot 107 following the transfer path A2, where the post BARC bake step 512 is completed on the substrate. After completing the post BARC bake step 512 the substrate is then transferred to the post BARC chill step 514 (e.g., C1, C2, etc. (FIG. 4B)) by a shuttle robot 110 following the transfer path A3. After performing the post BARC chill step 514 the substrate is then transferred by the central robot 107, following the transfer path A4, to the coater chamber 60A (e.g., CD1, CD2, etc. (FIG. 4C)) where the photoresist coat step 520 is performed. After performing the photoresist coat step 520 the substrate is then transferred by the central robot 107, following the transfer path A5, to the bake chamber 90 (e.g., B2, B4, etc. (FIG. 4B)) where the post photoresist coat bake step 522 is performed. After performing the post photoresist coat bake step 522 the substrate is then transferred by a shuttle robot 110, following the transfer path A6, to the chill chamber 80 (e.g., C1, C2, etc. (FIG. 4B)) where the post photoresist chill step 524 is performed. After performing the post photoresist chill step 524 the substrate is then transferred by the central robot 107, following the transfer path A7, to the OEBR chamber 62 (e.g., OEBR1, etc. (not shown in FIG. 14A, see FIG. 4D)) where the OEBR step 536 is performed. The substrate is then transferred to the stepper/scanner 5 following the transfer path A8 using the rear robot 109. After the exposure step 538 is complete, the rear robot 109 transfers the substrate to the PEB chamber 130 (FIG. 4D) following the transfer path A9. After performing the PEB step 540 the substrate is then transferred by the shuttle robot 110, following the transfer path A10, to the chill chamber 80 where the post PEB chill step 542 is performed. After performing the post PEB chill step 542, the substrate is then transferred by the rear robot 109 (or central robot 107), following the transfer path A11, to the developer chamber 60B where the develop step 550 is performed. After performing the develop step 550 the substrate is then transferred by the central robot 107, following the transfer path A12, to the chill chamber 80 where it will be picked up by the front end robot 108 to be transferred to the pod assembly 105 following the transfer path A13.
  • In one aspect of the cluster tool 10 illustrated in FIG. 14A, the substrates are grouped together and transferred in groups of two or more, such that the grouped substrates may move as a group along the transfer paths A1-A7 and A10-A12. As noted above this form of parallel processing will increases the system throughput, and reduces the number of moves a robot has to make to transfer a batch of substrates between the processing chambers, thus reducing wear on the robot and increasing system reliability.
  • In one aspect of the cluster 10, as illustrated in FIG. 14A, the transfer paths A3, A6, and/or A10 are completed by the central robot 107. In one embodiment, the transfer path A11 is completed by a shuttle robot 110 that is adapted to transfer substrates between the chill chamber 80 and the developer chamber 60B.
  • FIG. 14B illustrates schematically one example of a substrate transfer path through the FIG. 2F configuration of cluster tool 10, where the number of buffering steps can be minimized or completely eliminated. FIG. 14B illustrates the transfer path of a substrate following the processing sequence described in FIG. 3A. In this embodiment, the substrate is removed from a pod assembly 105 (item # 105C) by the front end robot 108 and is delivered to a coater chamber 60A following the transfer path A1, so that the BARC coat step 510 can be completed on the substrate. Once the BARC process has been completed, the substrate is then transferred to a bake chamber 90 (e.g., B1, B2, B3, etc. (FIG. 4G)) by the front end robot 108 following the transfer path A2, where the post BARC bake step 512 is completed on the substrate. After completing the post BARC bake step 512 the substrate is then transferred to the post BARC chill step 514 (e.g., C1, C2, etc. (FIG. 4G)) by a shuttle robot 110 following the transfer path A3. After performing the post BARC chill step 514 the substrate is then transferred by the front end robot 108, or central robot 107, following the transfer path A4, to the process chamber 370 configured as a coater chamber 60A (e.g., CD1, CD2, CD3, etc. (FIG. 4J)) where the photoresist coat step 520 is performed. After performing the photoresist coat step 520 the substrate is then transferred by the central robot 107, following the transfer path A5, to the bake chamber 90 (e.g., B2, B4, etc. (FIG. 4I)) where the post photoresist coat bake step 522 is performed. After performing the post photoresist coat bake step 522 the substrate is then transferred by a shuttle robot 110, following the transfer path A6, to the chill chamber 80 (e.g., C1, C2, etc. (FIG. 4I)) where the post photoresist chill step 524 is performed. After performing the post photoresist chill step 524 the substrate is then transferred by the central robot 107, following the transfer path A7, to the OEBR chamber 62 (e.g., OEBR1, etc. (FIG. 4I)) where the OEBR step 536 is performed. The substrate is then transferred to the stepper/scanner 5 following the transfer path A8 using the central robot 107. After the exposure step 538 is complete, the central robot 107 transfers the substrate to the PEB chamber 130 following the transfer path A9. After performing the PEB step 540 the substrate is then transferred by the shuttle robot 110, following the transfer path A10, to the chill chamber 80 where the post PEB chill step 542 is performed. After performing the post PEB chill step 542, the substrate is then transferred by the central robot 107, following the transfer path A11, to the process chamber 370 configured as a developer chamber 60B (e.g., CD1, CD2, CD3, etc. as (FIG. 4J)) where the develop step 550 is performed. After performing the develop step 550 the substrate is then transferred by the front end robot 108, following the transfer path A12, to the pod assembly 105. In one aspect, transfer path A12 may be completed by picking up the substrate from the developer chamber 60B using the central robot 107, transferring the substrate to the front end robot 108, and then transferring the substrate to the pod assembly 105.
  • In one aspect, the transfer path A12 may be broken up into two steps (not shown) where the substrates are transferred to a chill chamber 80 in the first processing rack 308 by the central robot 107 and then transferred to the cassette using the front end robot 108. In this configuration the chill chamber 80 acts as a “safe” position where the substrate can reside without being exposed to thermal energy or processing fluids which may affect the wafer history and amount contamination on the processed substrate. A “safe” position may coincide with holding the substrate on raised lift pins 87D (shown in lower position of FIG. 10A) or retaining the substrate on the chill plate block 83B (FIG. 10A).
  • In one aspect, transfer path A12 may be completed by picking up the substrate from the developer chamber 60B using the central robot 107 and then transferring the substrate to the pod assembly 105. In this configuration the central robot 107 may be further adapted to translate a distance along the length of the cluster tool 10 by use of a slide assembly (not shown) and a translation actuator (e.g., linear servo motor, etc. (not shown)) to give the robot the desired reach to access the cassettes.
  • In one aspect of the cluster 10, as illustrated in FIG. 14B, the transfer paths A3, A6, and/or A10 are completed by the central robot 107 or the front end robot 108. In another aspect of the cluster tool 10 illustrated in FIG. 14B, the substrates are grouped together and transferred in groups of two or more, such that, the grouped substrates may move as a group along the transfer paths A1-A7 and A10-A12.
  • Cluster Robots Design A. Vertical Rail Robot Design
  • FIG. 15A is an isometric view of cluster tool 10 which illustrates one embodiment of the central robot 107. This embodiment of the central robot 107 contains a frog-leg robot (hereafter FLR or FL robot) assembly 602 that is adapted to transfer substrates to and from the various process chambers contained in the front end processing rack 52, the first central processing rack 152, the second central processing rack 154 and/or the rear processing rack 202. The second central processing rack 154 has been removed from the FIG. 15A to highlight and clarify the components contained in this embodiment. Referring to FIGS. 15A-D, the FLR assembly 602 generally contains an upper frog-leg (FL) robot assembly 610, a lower frog-leg (FL) robot assembly 620, and a lift rail assembly 626. The lift rail assembly 626 generally contains a front rail 614 and a back rail 612. This configuration thus contains two robot assemblies, the upper FL robot assembly 610 and the lower FL robot assembly 620, which are adapted to move independently of each other in both the vertical and horizontal planes. In this embodiment, the independent upper FL robot assembly 610 or the independent lower FL robot assembly 620 each are able to move in the vertical plane, (i.e., along the lift rail assembly 626), and are able to transfer the substrates to any position in the horizontal plane by movement of the FL robot 625 from commands from the system controller 101. While FIGS. 15A-D illustrate a configuration that contains two robot assemblies, the upper FL robot assembly 610 and the lower FL robot assembly 620, other embodiments of the cluster tool 10 may contain three or more robot assemblies. In another embodiment of the cluster tool 10, a single FL robot assembly is utilized to transfer substrates through the cluster tool.
  • FIG. 15B is plan view of the cluster tool 10 in which the lower FL robot assembly 620 of the FL robot assembly 602 is exchanging a substrate from a process chamber contained in the rear processing rack 202.
  • FIG. 15C is an isometric view of the central robot 107 which highlights the various components of the upper FL robot assembly 610 and the lower FL robot assembly 620. Typically the lift rail assembly 626 is mounted to a central module frame (not shown) that is part of the central module 150. While FIG. 15A-D illustrate a configuration in which the FL robot 625 in the upper FL robot assembly 610 or the lower FL robot assembly 620 are facing each other (i.e., the upper FL robot is facing down and the lower FL robot is facing up), but other configurations may be used, such as where the upper FL robot assembly 610 or the lower FL robot assembly 620 are both facing up or down, without varying from the scope of the invention.
  • FIG. 15D, which is a plan view of a lower FL robot assembly 620, is intended to show that various components that are commonly found in either the upper FL robot assembly 610 or the lower FL robot assembly 620. The upper FL robot assembly 610 or the lower FL robot assembly 620 will generally contain a FL robot 625 and a support assembly 624. In one embodiment, as shown in FIGS. 15A-D, the FL robot 625 has two substrate carriers (i.e., 611A and 611B) that are adapted to transfer substrates between the various processing stations, but this configuration is not intended to limit the scope of the present invention since the number of substrate carriers or the use of the frog-leg configuration is not intended to limit to the various aspects of the invention described herein. An example of an exemplary FL robot having two substrate carriers that may be adapted to benefit from the invention is described in commonly assigned U.S. Pat. No. 5,447,409, entitled “Robot Assembly” filed on Apr. 11, 1994, which is hereby incorporated by reference in its entirety. Examples of other FL robots designs that may be adapted to benefit from the invention are described in commonly assigned U.S. Pat. No. 5,469,035, entitled “Two-axis magnetically coupled robot”, filed on Aug. 30, 1994 and U.S. Pat. No. 6,379,095, entitled Robot For Handling Semiconductor Substrates”, filed on Apr. 14, 2000, which are hereby incorporated by reference in their entireties.
  • In one embodiment, where the FL robot 625 has two substrate carriers 611A-B, the FL robot 625 will generally contain a dual axis motor 615, primary arms 618A-B, secondary arms 619A-D, wrist assemblies 621A-B, and substrate carriers 611A-B. In general by movement of the various axes of the dual axis motor 615 the primary arms 618A-B can be rotated in an opposing direction to extend or retract the substrate carriers 611A-B or rotated in the same rotational direction to rotate the substrate carriers 611A-B to a desired position. The FL robot 625 is mounted on the support 613 of the support assembly 624 which supports and retains the robot assembly 625.
  • Referring to FIGS. 15C-D, the support assembly 624 generally contains the support 613, and the motor assembly 617A, which is in communication with the front rail 614, and the motor assembly 617B, which is in communication with the back rail 612, which are both attached to the support 613. The motor assembly 617A and motor assembly 617B generally contain an actuator 630 and a guiding mechanism 631. In one embodiment, the actuator 630 is a direct drive linear brushless servomotor, which through communication with the base component 616A-B (e.g., secondary coil or “rotor” section), mounted on the lift rail assembly 626 components, is adapted to independently raise or lower the attached FL robot assembly components (e.g., items 610 or 620). In one embodiment, it may advantageous from a cost and ease of control point of view to only have a single actuator 630 mounted to one of the lift rails (i.e., front rail 614 and a back rail 612) and the other rail only have the guiding mechanism 631. A direct drive linear brushless servomotor that may be purchased from Danaher Motion of Wood Dale, Ill. or Aerotech, Inc. of Pittsburgh, Pa. In other embodiments, the actuator 630 may be stepper motor or other type of actuator that can be used to raise and lower the various FL robot assembly 610 or 620 components.
  • The guiding mechanism 631 is adapted to support and precisely guide the FL robot assembly 610 or FL robot assembly 620 components as they are raised and lowered on the lift rails to assure that the position and accuracy of the motion of the FL robot assembly 610 or FL robot assembly 620 are well controlled to allow consistent movement and transfer of substrates. In one embodiment (not shown), the guiding mechanism 631 contains a linear guide which supports and retains the FL robot assembly 610 or 620 components. A linear guide may be purchased from Danaher Motion of Wood Dale, Ill. In another embodiment, as shown in FIGS. 15C-D, wheels 619 are attached in an orthogonal configuration to the motor assemblies 617A-B and roll on a t-shaped rail structure 618 to position and accurately control of the motion of the FL robot assembly 610 or FL robot assembly 620 components.
  • In one aspect of the invention the FL robot assembly 602 contains two or more FL robot assemblies (e.g., items 610, 620) which are synchronized to allow substrates to be grouped and transferred together. This configuration may be advantageous since it will improve substrate throughput in the cluster tool. In one aspect, the two or more FL robot assemblies are physically coupled together so that the motion of each blade of the FL robot assemblies moves in unison and thus are grouped. In this case the robot assemblies 610 may be a fixed distance apart and move in a synchronized motion. In another aspect, the FL robot assemblies (e.g., items 610, 620) are mechanically coupled together so that they maintained at a fixed distance apart, but each of the FL robots 625 are able to move independently of each other (e.g., move independently in the horizontal plane).
  • In another aspect, the system controller 101 is utilized to control and synchronize the movement of each of the two or more FL robot assemblies so that substrates can be transferred in groups of two or more. For example, if the central robot 107 is a FL robot assembly 602 that contains two robots, the transfer path A2, described in FIG. 14A, could be completed by using the upper FL robot assembly 610 and the lower robot assembly 620 to substantially simultaneously pick up substrates from two coater chambers 60A (e.g., CD1 and CD2 (FIG. 4A)) and then substantially simultaneously drop off the substrates into desired bake chambers 90 (e.g., B1 and B5 (FIG. 4B)). This configuration may be advantageous since it can allow grouped moves to improve throughput, but also allow for each robot to move independently if needed to complete some other desired task.
  • B. Articulated Robot
  • FIG. 16A is an isometric view of one embodiment of the central robot 107 containing an articulated robot assembly 702 (hereafter AR assembly 702). The AR assembly 702 is adapted to transfer substrates to and from the various process chambers contained in the front end processing rack 52, the first central processing rack 152, the second central processing rack 154 and/or the rear processing rack 202. The second central processing rack 154 has been removed from FIG. 16A to highlight and clarify the components contained in this embodiment. The AR assembly 702 generally contains articulated robot 710 and a dual blade assembly 705. The articulated robot 710 is generally a 6-axis articulated robot which can be purchased from Mitsubishi Electric Corporation, of Tokyo, Japan, Kawasaki Robotics (USA), Inc. of Wixom, Mich., and Staubli Corp. of Duncan, S.C. In one embodiment, the 6-axis articulated robot is a model number TX90 purchased from Staubli Corp. of Duncan, S.C. The articulated robot 710 has a robot base 713A and a mechanical interface 713B, which connect the robot to the cluster tool and the end-effector assembly (e.g., dual blade assembly 705, blade assembly 706, etc.) to the robot, respectively. In general, the 6-axis articulated robot is advantageous since the reach of the articulated robot is far superior from conventional robots due to its multiple axis and multiple linkage design, the reach of multiple articulated robots can more easily “overlap” since the motion of the end-effector, which retains and transfers the substrate(s), is not linked to motion of the robot base 713A which allows the robots to more effectively avoid each other while transferring substrates, and/or the reliability of the articulated robots exceeds most conventional robots.
  • The dual blade assembly 705 generally contains a support 720, and two or more blade assemblies 715 (e.g., first blade assembly 715A, a second blade assembly 715B, etc.). The support 720 attaches to and is guided by the articulated robot 710 so that a blade in a first blade assembly 715A and a blade in a second blade assembly 715B can each pick-up and/or place a substrate in a two different processing chambers retained in a processing rack. The pitch (see item “A”), or the distance, between the robot blades is fixed by the distance between the first supporting surface 720A and second supporting surface 720B, and is designed to coincide with the pitch between two of the processing chambers retained in the processing racks. Therefore, the distance between the transfer position of the bake chambers labeled B1 and B4, for example, in the first central processing rack 152, would coincide with the pitch between the coater/developer chambers labeled CD1 and CD2 in the front end processing rack 52, so that after completing the BARC coat step 510 the substrates could then be transferred to bake chambers labeled B1 and B4 to complete the post BARC bake step 512. Referring to FIG. 16B, the pitch “A” is generally defined as the distance, or spacing, between the blades 711A-B in a normal direction to the substrate receiving surfaces 712A-B. In one embodiment, the pitch (see item “A”), is a distance between about 100 mm and about 1200 mm, and preferably between about 300 mm and about 700 mm. While the dual blade assembly 705 is illustrated in conjunction with the articulated robot assembly 702, other configurations may utilize the dual blade assembly 705 on other types of robots without varying from the basic scope of the invention.
  • In one aspect, the substrate receiving surfaces 712A-B are adapted to retain a substrate positioned on the blade (not shown) by use of an edge gripping mechanism that holds the substrate in position on the robot blade. The edge gripping mechanism can be adapted to grab the edge of the substrate at multiple points (e.g., 3 points) to hold and retain the substrate.
  • Referring to FIG. 16B, in one embodiment, each blade assembly 715 (e.g., first blade assembly 715A or second blade assembly 715B), generally contains one or more robot blade actuators 721 (see items 721A-721B) and one or more robot blades 711 (see items 711A-711B). The robot blade actuators 721 may be a direct drive linear brushless servomotor or other equivalent device that is able to control the motion and position of the robot blade 711. Generally, the pitch between the robot blades will not affected by the actuation, or translation, of one robot blade relative to another robot blade, since it is preferred that the actuated blade translate in a plane that is parallel to the other robot blade.
  • FIG. 16C illustrates one embodiment of the dual blade assembly 705 which contains one pair of blade assemblies 715A and 715C mounted on the support bracket 722A positioned on the first supporting surface 720A and a second pair of blade assemblies 715B and 715D mounted on the support bracket 722B positioned on the second supporting surface 720B. FIG. 16C further illustrates a configuration where robot blade 711B is shown in an actuated position while the other blades (e.g., 715A and 715C-D) are shown in their retracted position. In one aspect of the dual blade assembly 705, each robot blade 711 (e.g., 711A-D), contained in its respective blade assembly 715 (e.g., 715A-D), may be independently actuated by use of the system controller (not shown) and its robot blade actuator 721 (e.g., 721A-D). In one aspect, as shown in FIG. 16C, each robot blade 711 in each of the pairs may be physically positioned in an orientation that is substantially horizontally aligned over each other and vertically spaced apart (often termed “over/under” configuration), so that a substrate can be retained on each blade at the same time. The over/under blade configuration may be advantageous, for example, where the robot has to remove a substrate from a processing chamber prior to placing the next substrate to be processed in the same processing chamber, without having to leave its basic position to move the “removed” substrate to another chamber. In another aspect, this configuration may allow the robot to fill up all of the blades and then transfer the substrates in groups to a desired location in the tool. For example, in FIG. 16C four substrates could be transferred on the four blades. This configuration also has a further advantage that allows substrates transferred in groups to be ungrouped by dropping-off or picking-up the substrates one at a time from each of the blades 711A-D. In other embodiments, three or more stacked blades mounted on each of the supporting surfaces (e.g., 720A and 720B FIG. 16B) may be used in place of the “pairs” of robot blades to further facilitate the transfer of multiple substrates in groups.
  • FIG. 16E illustrates a cross-sectional view of an over/under type dual blade assembly 705 where a single blade (item# 715D) has been extended to access a substrate “W” in a pod assembly 105 so that it can be picked-up or dropped-off in the cassette 106. This configuration will allow grouped transfer of the substrates through the system and then single drop-off and/or pick-up of substrates in stations that can only accept one substrate at a time (e.g., cassette 106, stepper/scanner 5, etc.).
  • In one aspect of the invention, to perform a single substrate transfer task using a robot that contains two or more fixed robot blades, i.e., contains no robot blade actuators 721, the robot is adapted to “re-position,” e.g., flip, rotate, and/or detach, at least one of the robot blades so that the “re-positioned” blade(s) will not interfere with the process of transferring a substrate on another robot blade. In this configuration a special position or chamber (e.g., support chambers) may be adapted to receive a robot blade and reposition it in a desired orientation to allow substrates to be transferred using other robot blades. The ability to re-position one or more of the robot blades may be especially useful when one or more processing chambers in a grouped transferring sequence is not operational, and thus will not allow a blade to enter the processing chamber, since it will allow other adjacent processing chamber positions to be utilized.
  • FIGS. 16F and 16G are isometric views of one embodiment of the front end robot 108 or the rear robot 109 containing a single blade type articulated robot assembly 703. The single articulated robot assembly 703 (hereafter SA robot assembly 703) is adapted to transfer substrates to and from the various process chambers contained in the front end processing rack 52 and the pod assembly 105, or the rear processing rack 202 and stepper/scanner 5, depending on whether the robot is a front end robot 108 or the rear robot 109. The SA robot assembly 703 generally contains a articulated robot 710 and a blade assembly 706. The articulated robot 710 is generally a 6-axis articulated robot which can be purchased from Mitsubishi Electric Corporation, of Tokyo, Japan, Kawasaki Robotics (USA), Inc., of Wixom, Mich., and Staubli Corp. of Duncan, S.C.
  • Referring to FIG. 16G, the blade assembly 706 generally contains a support 718 and a blade assembly 715 (e.g., first blade assembly 715A), described above. The support 718 attaches to and is guided by the articulated robot 710 so that robot blade 711 in a blade assembly 715 can pick-up and/or place a substrate in a processing chamber retained in a processing rack. In one embodiment, the single blade articulated robot assembly 703 may contain a pair of blade assemblies 715 (e.g., items 715A and 715C) such as one of the pairs illustrated and described in conjunction with FIG. 16C.
  • In one embodiment, the front end robot 108 or the rear robot 109 are a dual blade assembly 705 as illustrated and described above in conjunction with FIGS. 16A-D and 14A-B. This configuration will allow grouped transfer of the substrates throughout the system and thus increase throughput, CoO and system reliability.
  • FIG. 16H is an isometric view of one embodiment of a moveable articulated robot (e.g., AR assembly 702 is shown) that is adapted to allow the articulated robot base 713 to be translated and positioned along the length of a cluster tool by use of a slide assembly 714. In this configuration the articulated robot base 713 is connected to an actuator assembly 717 of the slide assembly 714, which is adapted to move the AR assembly 702 to a desired position in the cluster tool by use of commands from the system controller 101. The slide assembly 714 generally contains an actuator assembly 717, a cover (not shown), and a base 716. The base 716 supports and mounts the AR assembly 702 and slide assembly components to the cluster tool. The cover, not shown for clarity, is used to enclose the actuator assembly 717 and other slide assembly features to prevent generated particles from making their way to the processing chambers and prevent damage to these features during maintenance of the cluster tool. The actuator assembly 717 may generally contain an actuator 719 and a guiding mechanism 723 ( elements 723A and 723B. In one embodiment, as shown in FIG. 16H, the actuator 719 is a direct drive linear brushless servomotor, which through communication with the base component 719A (e.g., secondary coil or “rotor” section) mounted on the base 716 and a slider 719B (e.g., stator), is adapted to move the AR assembly 702 along the length of the slide assembly 714. A direct drive linear brushless servomotor that may be purchased from Danaher Motion of Wood Dale, Ill. or Aerotech, Inc. of Pittsburgh, Pa. In other embodiments, the actuator 719 may be stepper motor or other type of actuator that can be used to position the robot. The guiding mechanism 723 is mounted to the base 716 and is used to support and guide the robot as it is moved along the length of the slide assembly 714. The guide mechanism 723 may be a linear ball bearing slides or a conventional linear guide, which are well known in the art.
  • While FIG. 16H illustrates a single robot mounted to the slide assembly 714, in other embodiments two or more robots may be affixed to the same slide assembly. This configuration can reduce cost by reducing the number of redundant parts and improve the precise motion of each of the robots relative each other. Also, while FIG. 16H illustrates a dual blade articulated robot mounted to the slide assembly 714, the type of robot or number of blades is not intended to be limiting of the scope of the invention.
  • FIG. 16I illustrates a cross-sectional view of one embodiment of a robot having two fixed blades that are positioned to pick-up two substrates positioned in the two separate vertically stacked pod assemblies 105. In this configuration the multiple bladed robot is adapted to pick-up and/or drop-off substrates positioned in the two cassettes (item #s 106A-B) to allow grouped substrate transferring process to be performed at the start and/or the end of the substrate transferring sequence. In one aspect, the cassettes and thus pod assemblies are spaced a distance “A” apart so that a robot can access the substrates in similar positions in each cassette. In one aspect, when at least one cassette (e.g., item 106A) is not required various regions (e.g., items 731A, 731B, etc.) may formed above and/or below one of the other cassettes to allow a robot that has a fixed blades to access a first cassette with a first fixed robot blade without causing a collision with a second fixed robot blade and a cluster tool wall 731C. Therefore, in one aspect a region 731B may be formed to allow the first blade 711A to access a position in the lower cassette 106B while allowing the lower blade 711B to enter the region 731B without colliding with the wall 731C. While FIG. 16I illustrates a configuration where the robot blades 711A-B are fixed to the support surfaces 720A-B of the support 720, and thus do not utilize a robot blade actuator 721, other embodiments having robot blade actuators can be used without varying from the basic scope of the invention.
  • C. Shuttle Robot.
  • FIGS. 17A-C illustrate various embodiments of a shuttle robot 110 that can be adapted to transfer substrates between adjacent chambers in the various processing racks. The design here may be advantageous for use when transferring substrates between a bake process chamber (e.g., bake chamber 90, HMDS process chamber 70, PEB chamber 130, etc.) and a chill chamber 80 which are used in subsequent processing steps, for example, between the post BARC bake step 512 and the post BARC chill step 514 and the post photoresist coat bake step 522 and the post photoresist chill step 524. The shuttle robot 110 is thus used to reduce the work load on the various system robots, such as, the front end robot 108, the central robot 107, and the rear robot 109, thus allowing the system robots to do other tasks while the other processing steps are completed on the substrates.
  • FIG. 17A is an isometric view of one configuration in which the shuttle robot 110 is used to transfer substrates between three adjacent processing chambers, such as between two bake chambers 90 and a chill chamber 80. This configuration may thus be used between, for example, a bake chamber B1, chill chamber C1 and bake chamber B2 in the first central processing rack 152 shown in FIG. 4B.
  • FIG. 17B is an isometric view of one configuration in which the shuttle robot 110 is used to transfer substrates between two adjacent processing chambers, such as between a bake chamber 90 and a chill chamber 80. This configuration may thus be used between, for example, a bake chamber B1 and chill chamber C7 contained in the front end processing rack 52 shown in FIG. 4A, a PEB bake chamber PEB1 and chill chamber C3 contained in the rear processing rack 202 shown in FIG. 4D, or a HMDS process chamber P1 and chill chamber C1 contained in the front end processing rack 52 shown in FIG. 4A.
  • FIG. 17C is an isometric view of the backside of the adjacent processing chambers shown in FIG. 17A or 17B which is intended to show an embodiment of the shuttle robot 110. The shuttle robot 110 generally contains a robot blade 111 and a shuttle robot actuator assembly 120. A shuttle robot actuator assembly 120 generally contains a robot blade actuator 112, a slide assembly 113 and a robot drive assembly 119. The robot blade 111 generally contains a substrate retaining area 111A and a mounting region 111B. The mounting region 111B is an area of the robot blade 111 that is used to attach the robot blade 111 to the robot blade actuator 112 (see mount 112A). The substrate retaining area 111A may be adapted to act as a conventional vacuum chuck, which is attached to a vacuum generating source (not shown), to hold a substrate during the substrate transferring process. The robot blade actuator 112 is a device that is used to raise and lower the robot blade 111 so that the substrate can be transferred from one processing chamber to another. In one embodiment, the robot blade actuator 112 is an air cylinder. In one embodiment, a linear actuator (e.g., linear brushless servo motor (not shown)) is mounted between the robot blade actuator 112 and the robot blade 111, so that the robot blade 111 can be extended and/or retracted (e.g., into or out of the chamber) to complete the substrate transfer process with the lift pins or other substrate retaining features in the processing chamber.
  • In one embodiment, the slide assembly 113 is a linear ball bearing slide that guides the shuttle robot 110 as it transfers the substrates between the various processing chambers. The slide assembly 113 generally contains a shuttle 113A on which the robot blade actuator 112 is attached. The clamp 118 is used to attach the shuttle 113A to the belt 117 of the robot drive assembly 119 to allow the robot drive assembly 119 to move the robot blade 111 between the various processing chambers.
  • In one embodiment, as shown in FIG. 17C, the robot drive assembly 119 is a belt and pulley type system which is used move the robot along the length of the slide assembly 113. In this configuration the robot drive assembly 119 will generally contain two or more idler pulleys 116A-B, a belt 117 and a motor 115 that is adapted to drive and control the position of the robot. In one embodiment, the motor 115 is a DC servomotor with an integrated encoder so that the system controller 101 can keep track of and control the position of the shuttle robot 110. In another embodiment of the robot drive assembly 119, the belt and pulley type system is replaced with a direct drive linear brushless servomotor that may be purchased from Danaher Motion of Wood Dale, Ill.
  • Integrated Bake/Chill Chamber
  • FIG. 18A illustrates one embodiment of an integrated bake/chill chamber 800 that may be used in conjunction with the various embodiments of the cluster tool. In general the integrated bake/chill chamber 800 has three major processing regions: an input region 830, a chill region 810 and a bake region 820, which are adapted to perform a process sequence where various bake method steps (e.g., post BARC bake step 512, PEB step 540, etc.) and/or chilled method steps (e.g., post BARC chill step 514, post PEB chill step 542, etc.) are performed. The integrated bake/chill chamber 800 may contain two or more access ports 802 (two shown in FIG. 18A) in the enclosure 804, which are adapted to allow an external robot (e.g., front end robot 108, the central robot 107, etc. (not shown)) to access the input region 830 and/or the chill region 810 to pick up or drop off substrates. The enclosure 804 generally contains an input station enclosure 804A, a chill chamber enclosure 804B and a bake chamber enclosure 804C, that are adapted to isolate the various regions of the integrated bake/chill chamber 800.
  • In one embodiment, the input region 830 is used to receive a substrate from an external robot. The input region 830 is generally an enclosed region that contains a substrate exchanging device, such as lift pins 836 or some other similar device, that is adapted to allow an external robot to pick up or drop-off a substrate in the integrated bake/chill chamber 800. The input region 830 is also configured to allow a chilled transfer arm assembly 832 to pick-up and drop off substrates from the lift pins 836.
  • The chilled transfer arm assembly 832 generally contains a chilled blade 833 that has a blade receiving surface 834 and a plurality of cut-outs 835 that are adapted to allow the chilled blade 833 to pick-up, retain and drop-off substrates from the various substrate exchanging devices in the various processing regions of the integrated bake/chill chamber 800. In one embodiment, the chilled blade 833 of the chilled transfer arm assembly 832 contains a heat exchanging device 837 (FIG. 18B) that is in thermal communication with the blade receiving surface 834 so that the temperature of a substrate positioned on the blade receiving surface 834 can be temperature controlled. In one aspect, the temperature of the heat exchanging device 837 is monitored and controlled by use of a temperature controlling device 838 (FIG. 18B) that is in communication with the system controller 101. The heat exchanging device 837 may be a thermal electric device and/or embedded heating elements so that the temperature of the substrate can be controlled. In one aspect, the heat exchanging device 837 may contain a plurality of fluid channels (not shown) that are embedded in the chilled blade 833, that are configured to allow a temperature controlled heat exchanging fluid to flow therethrough. The blade receiving surface 834 may contain mechanical features (not shown) to retain a substrate on the receiving surface. In one aspect, the blade receiving surface 834 may contain a plurality of vacuum ports (not shown) that are connected to a vacuum source (not shown) to retain the substrate and assure intimate contact between the substrate and the blade receiving surface 834.
  • FIG. 18B illustrates one embodiment of the chilled transfer arm assembly 832 that utilizes a chilled blade actuator assembly 839, similar to the shuttle robot actuator assembly 120 described above in conjunction with FIG. 17C, which is used to control the position of the chilled blade assembly 832 in any of the various processing regions of the integrated bake/chill chamber 800. One will note, for clarity reasons, the item numbers of the common components used in the chilled blade actuator assembly 839 and shuttle robot actuator assembly 120 have not been changed. In one aspect of the chilled transfer arm assembly 832, the system controller 101 is utilized to position, both vertically and horizontally, the chilled blade assembly 832 in any of the various processing regions of the integrated bake/chill chamber 800. The chilled blade 833 is positioned by use of a chilled blade actuator assembly 839, on which is mounted one or more surfaces of the integrated bake/chill chamber 800. Referring to FIGS. 18A-B, the enclosure 804 contains a plurality of enclosure cut-outs 806, which allow the chilled blade 833 to transfer a substrate between the various processing regions of the integrated bake/chill chamber 800.
  • Referring to FIG. 18A, the chill region 810 contains the chill chamber 80 components illustrated and described in reference to FIG. 10A. In one aspect of the chill region 810, the enclosure 804B contains one or more enclosure cut-outs 806 to allow the chilled transfer arm assembly 832 to facilitate the transfer of a substrate between the various processing regions of the integrated bake/chill chamber 800.
  • The bake region 820 may contain all of the components of a bake chamber 90, HMDS process chamber 70, or a PEB chamber 130 as illustrated and described in reference to FIGS. 10B-D. In one aspect of the bake region 820, the enclosure 804C contains one or more enclosure cut-outs 806 to allow the chilled transfer arm assembly 832 to transfer a substrate between the various processing regions of the integrated bake/chill chamber 800.
  • When the integrated bake/chill chamber 800 is in use, an external robot delivers the substrate to the lift pins 836 of the input region 830 through an access port 802. The chilled blade 833, which is positioned below the lift pins 836, then moves vertically to remove the substrate from the lift pins 836 and positions the substrate on the blade receiving surface 834. The chilled blade 833 is then moved to the bake region 820 where the chilled blade 833 deposits the substrate and then exits the bake region 820 so that a bake process can be performed on the substrate. After the bake process has been performed the chilled blade 834 picks up the substrate from the bake region 820, transfers the substrate to a substrate exchanging device in the chill region 810, and then exits the chill region 810. After a chill process has been performed, the substrate is removed from the chill region 810 through the access port 802 by use of the external robot. In one aspect, after the chill process has been performed the chilled blade 833 removes the substrate from the chill region 810 and deposits the substrate on the lift pins 836 in the input region. This configuration may be advantageous since the chill region 810 is made available to complete a chill process on a new substrate and/or it allows the external robot to pickup the substrate from the same position that it deposited the substrate.
  • Integrated Scanner/Stepper with PEB Cluster Tool Configuration
  • FIG. 19A illustrates a plan view of one embodiment of the invention in which a cluster tool contains a cluster tool 10A and a stepper/scanner 5A. In this configuration a PEB chamber 5C (i.e., element 130 described above (FIG. 10D)) is integrated into a stepper/scanner 5A and the stepper scanner is detached from the cluster tool 10A. This configuration has an advantage over the prior art since the throughput of the stepper/scanner is often many times greater than the throughput of the track system type cluster tool, and thus dedicating one stepper/scanner to a single track system wastes the stepper/scanner's excess throughput capacity. This embodiment allows a single stepper/scanner to service multiple track systems while also stabilizing the photoresist after performing the exposure process by performing the PEB step 540 and the post PEB chill step 542 in the stepper/scanner.
  • In one embodiment, as shown in FIG. 19A, the cluster tool 10A may contain the front end module 50, a central module 150, and a rear module 200 as illustrated and described above in relation to FIG. 1B. In this configuration, the cluster tool 10A is not integrated with the stepper/scanner and thus the rear robot 109 (shown in FIG. 2E) has been removed from the rear module 200 to save cost and reduce system complexity. In other embodiments, the cluster tool 10A may contain a different number of processing chambers and/or processing racks without deviating from the basic scope of the invention.
  • In this configuration the stepper/scanner 5A will generally contain one or more PEB chambers 5C and one or more chill chambers 5B (i.e., item 80 described above (FIG. 10A)). The number of PEB chambers and chill chambers that are required is dependent on the throughput need of the stepper/scanner 5A and the processing time in the PEB and chill chambers. In practice the PEB chambers 5C and/or chill chamber 5B may act as an input stage and/or an output stage of the stepper/scanners, so the stepper/scanner robot (not shown) has a place to pickup and return substrates. In one embodiment, where the PEB chamber 5C is adapted to both heat and cool the substrate (described above), at least two PEB chambers may be integrated into the stepper/scanner in the positions 5B and 5C, not shown in FIG. 19A. In one embodiment, where the PEB chamber 5C is adapted to both heat and cool the substrate (described above), only one PEB chamber is integrated into the stepper/scanner 5.
  • FIG. 19B illustrates one embodiment of method steps 504 containing various process recipe steps that may be used in conjunction with the cluster tool 10A and stepper/scanner 5A illustrated in FIG. 19A. In this embodiment, the processing sequence can be split into three distinct parts, the cluster tool phase 1, the stepper/scanner phase, and the cluster tool phase 2. The cluster tool phase 1 includes all of the processing steps completed before being transferred to the stepper/scanner tool which may include: a remove substrate from pod 508A step, a BARC coat step 510, a post BARC bake step 512, a post BARC chill step 514, a photoresist coat step 520, a post photoresist coat bake step 522, a post photoresist chill step 524, an optical edge bead removal (OEBR) step 536, and a place in pod step 508B. The pod of substrates is then removed from the cluster tool 10A and placed on the stepper/scanner 5A so that the stepper scanner can perform its processing steps which may include: a remove substrate from pod 508A step, an exposure step 538, a post exposure bake (PEB) step 540, a post PEB chill step 542, and a place in pod step 508B. The pod of substrates are then removed from the stepper/scanner 5A so that the cluster tool phase 2 steps can be completed which may include: a place in pod 508A step, a develop step 550, a post develop chill step 554 and a place in pod step 508B. In other embodiments, the sequence of the method steps 504 may be rearranged, altered, one or more steps may be removed, or two or more steps may be combined into a single step without varying from the basic scope of the invention.
  • Oval System Configuration
  • FIGS. 20A-B illustrate another embodiment of the cluster tool 10 in which the processing chambers contained in the various processing racks, shown in FIGS. 4A-K (e.g., front end processing rack 52, the first central processing rack 152, etc.), are not oriented in a linear fashion but are arranged around a common central point in the system. One drawback of the linear orientation of the chambers is that the top-most and bottom-most positions in a processing rack can be difficult for the robot to reach or requires a larger robot with greater arm extension to fully utilize all of the available space. This problem is especially problematic where the 6-axis articulated robots are used since their reach is limited by the distance from a central point. The problem becomes more pronounced where the chamber is at the top and at the end of a linearly arranged rack since these chambers are the farthest distance from the robot center. Any chamber that is out of the reach of the robot cannot be accessed, so the processing rack height in some cases may not be fully utilized. This problem thus necessitates additional chambers and/or robots to access these chambers, which increases the cost and footprint of the tool.
  • In one embodiment, as shown in FIG. 20A, an alternative orientation may be used to allow robot to access the process chambers which may be considered an oval shape or hemispherical shape. FIG. 20A is a side view of an oval cluster tool configuration where a robot R1 is able to access the process chambers (labeled PM1-12) that are in a hemispherical shape. In this configuration the top-most and bottom-most stations in the corner stacks can be moved in toward the center of the track, further reducing the distance the robot needs to move to service them. In this case, the corner stacks are cascaded in a staircase pattern from center to top and from center to bottom. The result is that a smaller robot with less reach can be used and the reduced reach distances will lower the robot handling times.
  • FIG. 20B illustrates an isometric view of one embodiment of a plurality of vertically spaced processing chambers (labeled PM1-18) are arranged about a center point of the robot (labeled R1). This configuration takes advantage of the spherical work area provided by a 6-axis articulated robot by bringing the “corner” stacks closer to the center of the track, making them easier for the robot to reach.
  • In one aspect of the invention, the configurations illustrated in FIGS. 20A and 20B are merged to form a complete spherical, partial spherical or hemispherical orientation of the processing chambers surrounding the robot to reduce the distance the robot needs to move to service the processing chambers and reduce the transfer time between processing chambers.
  • Gantry Robot Design Configuration
  • FIGS. 21A-D illustrate another embodiment of the cluster tool 10 which uses multiple robots that are configured in a parallel processing configuration around the various processing racks so that a desired processing sequence can be performed. In one embodiment, the parallel processing configuration contains three robots ( items 420, 430 and 450 shown in FIG. 21B) that move in vertical (hereafter defined as the z-direction) and parallel directions to access the various processing chambers retained in the processing racks aligned along the parallel direction. One advantage of this system configuration is that if one of the robots in the central region 425 breaks or is taken down for servicing the system can still continue to process substrates using the other two robots. Another advantage of this configuration is the flexible and modular architecture allows the user to configure the number of processing chambers, processing racks, and processing robots required to meet the throughput needs of the user.
  • FIG. 21A is an isometric view that illustrates an embodiment of the cluster tool 10 which contains three robots that are adapted to access the various process chambers that are stacked vertically in a first processing rack 460 and a second processing rack 480. A stepper/scanner 5 which is typically attached to the rear region 445 is not shown in FIG. 21A.
  • FIGS. 21B-C are plan and side views of the embodiment of the cluster tool 10 shown in FIG. 21A. FIGS. 21A-C are intended to illustrate some of the various robot and process chamber configurations that may be used in conjunction with this embodiment. In this configuration the cluster tool 10 will generally contain a front end region 405, a central region 425 and a rear region 445. The front end region 405 generally contains one or more pod assemblies 105 and a front end robot 410. The one or more pod assemblies 105, or FOUPs, are generally adapted to accept one or more cassettes 106 that may contain one or more substrates “W”, or wafers, that are to be processed in the cluster tool 10. The central region 425 generally contains a first central robot 420, a second central robot 430, a third central robot 440, a first processing rack 460 and a second processing rack 480. The first processing rack 460 and a second processing rack 480 contain various processing chambers (e.g., coater/developer chamber 60, bake chamber 90, chill chamber 80, etc.) that are adapted to perform the various processing steps found in the substrate processing sequence. The front end robot 410 is adapted to transfer substrates between a cassette mounted in a pod assembly 105 and the one or more processing chambers in the first processing racks 460 or a second processing rack 480 that abuts the front end region 405.
  • The first central robot 420, the second central robot 430, and the third central robot 440 are adapted to transfer substrates to the various processing chambers contained in the first processing rack 460 and the second processing rack 480. In one embodiment, the second central robot 430 is adapted to transfer substrates between the first processing rack 460 and the second processing rack 480.
  • Referring to FIG. 21B, in one aspect of the invention the first central robot 420 is adapted to access the processing chambers in the first processing rack 460 from at least one side, e.g., the first side 471, as shown. In another aspect, the second central robot 430 is adapted to access the processing chambers in the first processing rack 460 from at least one side, and the second processing rack 480 from at least one side, e.g., the second side 472 of the first processing rack and the first side 473 of the second processing rack 480. In one aspect, the third central robot 450 is adapted to access the processing chambers in the second processing rack 480 from at least one side, e.g., the second side 474, as shown. In one aspect, the first side 471 of the first processing rack 460, the second side 472 of the first processing rack 460, the first side 473 of the second processing rack 480 and the second side 474 of the second processing rack 480 are all aligned along a direction parallel to the horizontal motion assembly 490 (described below) of each of the various robot assemblies (i.e., first central robot 420, second central robot 430, third central robot 450).
  • In one embodiment, the rear region 445 contains a rear robot 440 which is adapted to transfer substrates between the processing chambers retained in the first processing racks 460 and a second processing rack 480 that abut the rear region 445 and a stepper/scanner 5.
  • FIG. 21D illustrates a side view of one embodiment of the first processing rack 460 as viewed when facing the first processing rack 460 while standing on the side closest to the third central robot 440, and thus will coincide with the views shown in FIGS. 21A-C. The first processing rack 460 will generally contain one or more coater/developer chambers 60, one or more chill chambers 80, one or more bake chambers 90, one or more OEBR chambers 62, one or more PEB chambers 130, one or more support chambers 65, and/or one or more HMDS chambers 70. In one embodiment, as shown in FIG. 21D, the first processing rack 460 contains eight coater/developer chambers 60 (labeled CD1-8), eighteen chill chambers 80 (labeled C1-18), eight bake chambers 90 (labeled B1-8), six PEB chambers 130 (labeled PEB1-6), two OEBR chambers 62 (labeled 62) and/or six HMDS process chambers 70 (labeled P1-6).
  • FIG. 21E illustrates a side view of one embodiment of the second processing rack 480 as viewed when facing the second processing rack 480 while standing on the side closest to the third central robot 440, and thus will coincide with the views shown in FIGS. 21A-C. The second processing rack 480 will generally contain one or more coater/developer chambers 60, one or more chill chambers 80, one or more bake chambers 90, one or more OEBR chambers 62, one or more PEB chambers 130, one or more support chambers 65, and/or one or more HMDS chambers 70. In one embodiment, as shown in FIG. 21E, the second processing rack 480 contains four coater/developer chambers 60 (labeled CD1-4), twenty four chill chambers 80 (labeled C1-24), twelve bake chambers 90 (labeled B1-12), six PEB chambers 130 (labeled PEB1-6) and/or six support chambers 65 (labeled S1-6).
  • The orientation, positioning and number of process chambers shown in the FIGS. 21A-E are not intended to be limiting as to the scope of the invention, but are intended to illustrate the various embodiments of the invention.
  • FIG. 21F illustrates the processing steps which each of the cluster tool robots will service in the completion of the method steps 501, shown in FIG. 3A, using the cluster tool configuration illustrated in FIGS. 21A-D. The method steps 508A, 510, 550 and 508B enclosed in the box labeled “A” are serviced by the front end robot 410. In one embodiment, the BARC coat step 510 is completed in a coater chamber 60A mounted in the first processing rack 460 that abuts the front end region 405. Referring to FIGS. 21B, 21D and 21F, the front end robot 410 removes a substrate from a pod assembly 105 and places the substrate in one of the coater chambers 60A labeled CD1 or CD2 in the first processing rack 460. In another embodiment, the BARC coat step 510 is completed in a coater chamber 60A mounted in the first processing rack 460 or the second processing rack 480 that abuts the front end region 405. In this embodiment, the develop step 550 may completed in a chill chamber 80 mounted in the second processing rack 480 that abuts the front end region 405.
  • In one embodiment, the process of transferring substrates between the method steps 510 through 536, which are enclosed in the broken line labeled “B”, are completed using the first central robot 420 and the second central robot 430 and the chambers contained in the first processing rack 460. In another embodiment, the second central robot 430 may be used to transfer the substrates to and from the first processing rack 460 and the second processing rack 480 so that available chambers in these racks can be used as required to meet the process sequence requirements.
  • In one embodiment, the process of transferring substrates between the processing steps 536 through 550, which are enclosed in the box labeled “C”, are completed using the rear robot 450. In one embodiment, the OEBR step 536 is completed in a OEBR chamber 62 mounted in the first processing rack 460 that abuts the rear region 445. Referring to FIGS. 21B and 21D, the rear robot 450 removes a substrate from OEBR chamber 62 and exchanges the substrate in the stepper/scanner 5 where the exposure step 538 is completed. After completing the exposure step 538 the rear robot 450 removes the substrate from stepper/scanner 5 and places the substrate in one of the PEB chambers labeled PEB1-6 contained in the first processing rack 460 or the second processing rack 480.
  • In one embodiment, the process of transferring substrates between the processing steps 540 through 550, which are enclosed in the box labeled “D”, are completed using the second central robot 430 and the third robot 440, and the chambers contained in the second processing rack 480. In another embodiment, the second central robot 430 may be used to transfer the substrates to and from the first processing rack 460 and the second processing rack 480 so that available chambers in these racks can be used as required to meet the process sequence requirements.
  • Referring to FIGS. 21B, 21D and 21F, after completing the process step 550 the front end robot 410 then removes the substrate from one of the developer chambers labeled CD1 or CD2 and place the substrate in its respective pod assembly 105.
  • FIG. 21G illustrates an embodiment of a robot assembly 411 that may be adapted for use as the front end robot 410, the first central robot 420, the second central robot 430, the third central robot 440 and/or the rear robot 450. The robot assembly 411 generally contains a robot hardware assembly 485, a horizontal motion assembly 490 and two vertical motion assemblies 495. The robot hardware assembly 485 generally contains a conventional selectively compliant articulated robot arm (SCARA) robot containing two independently controllable arms/blades. In another embodiment, as shown in FIG. 21H, a single blade type robot hardware assembly 485 is used to transfer substrates. A dual blade robot may be advantageous, for example, where the robot has to remove a substrate from a processing chamber prior to placing the next substrate in the same processing chamber. An exemplary dual bladed robot may be purchased from Asyst Technologies in Fremont, Calif.
  • In one embodiment of the cluster tool 10, the front end robot 410, the first central robot 420, the second central robot 430, the third central robot 440 and/or the rear robot 450 may be adapted to transfer substrates in groups of two or more to improve the system throughput by parallel processing the substrates. For example, in one aspect, a robot containing multiple independently controllable arms/blades is used to pick up a plurality of substrates from a plurality of processing chambers and then transfer and deposit the substrates in a plurality of subsequent processing chambers. In one aspect, the robot is adapted to pick-up or drop off simultaneously using an arm that has multiple blades that are spaced a desired distance, or pitch, apart. For example, the front end robot 410, the first central robot 420, the second central robot 430, the third central robot 440 and/or the rear robot 450 may have a pair of blade assemblies 715A and 715B mounted on a support 720 (shown in FIGS. 16A-B) that is attached to an end of a SCARA robot's independently controllable arms/blades. In another aspect, the robot is adapted to separately pick-up, transfer and drop off multiple substrates. For example, a two arm robot is adapted to pick-up a substrate using a first arm, or blade, from a first chamber and then move to second processing chamber to pick-up a substrate using a second arm, or blade, so that they can be transferred and dropped off in a group.
  • Referring to FIGS. 21G-I, the horizontal motion assembly 490 generally contains an enclosure 491, a robot actuator 489, a robot support interface 487, a linear slide 488 and cable guide assembly 492. The linear slide 488 may contain one or more linear ball bearing slides, or a conventional linear guide, that guides the robot support interface 487 (e.g., robot base interface) and robot hardware assembly 485 as it transfers the substrates between the various processing chambers. In one embodiment, the robot actuator 489 is a direct drive linear brushless servomotor, illustrated in FIG. 21I, which is adapted to move the robot support interface 487 relative to the linear slide 488 mounted on the support structure 486 of the enclosure 491. FIG. 21H illustrates one embodiment of the horizontal motion assembly 490 in which a motor 489A (e.g., DC servo motor, stepper motor, etc.), a belt (not shown) and pulley system (not shown) which runs horizontally along the length of the horizontal motion assembly 490, are adapted to transfer and position the robot support interface 487 so that substrates can be transferred between the processing chambers.
  • FIG. 21H illustrates an isometric view of an embodiment of a robot assembly 411 shown in FIG. 21G that is intended to illustrate the internal components contained in the horizontal motion assembly 490 and vertical motion assemblies 495. The vertical motion assembly 495 generally contains a lift rail assembly 495A, a lift actuator 495B, and a vertical enclosure 495D (see FIG. 21G, not shown in FIG. 21H). The lift rail assembly 495A contains a structural support 496 and a guide mechanism 494 to precisely raise and lower the horizontal motion assembly 490. The structural support 496 is a conventional structural member, such as an I-beam or other common structural component, that is designed to connect the robot assembly 411 to a frame member (not shown) in the cluster tool 10 and support the weight and loads created by the vertical motion assembly 495 and the horizontal motion assembly 490 components. The guide mechanism 494 may be a linear ball bearing slide or a conventional linear guide that is able to align and precisely guide the horizontal motion assembly 490 as it moves vertically along the guide mechanism 494.
  • Referring to FIG. 21H, in one embodiment of the vertical motion assembly 495, the lift actuator 495B contains a motor 495C (e.g., DC servomotor, stepper motor, or other type of actuator) that is used in conjunction with a belt and pulley configuration (not shown) to raise and lower the horizontal motion assembly 490 and its components. In another embodiment of the vertical motion assembly 495 (not shown), the lift actuator 495B is a direct drive linear brushless servomotor that may be purchased from Danaher Motion of Wood Dale, Ill. In one embodiment of the robot assembly 411, each vertical motion assembly contains a lift actuator 495B to raise and lower the horizontal motion assembly 490 and other supporting components. In another embodiment of the robot assembly 411, a single lift actuator 495B mounted to one of the two vertical motion assemblies 495 and the other vertical motion assembly 495 only contains the guiding mechanism 494.
  • FIG. 21I illustrates an isometric view of one embodiment of the enclosure 491 contained in the horizontal motion assembly 490. The enclosure 491 is adapted to cover and support the components in the horizontal motion assembly 490, for safety and contamination reduction reasons. Since particle generation is commonly generated by mechanical components that roll, slide, or contact each other, it is important to assure that the components in the horizontal motion assembly 490, and also the vertical motion assembly 495, do not cause defects on the substrates while the substrates are transferred through the cluster tool. The enclosure 491 generally contains a plurality of walls (see items 491A-F) and a support structure 486, which form an enclosed region that minimizes the chance that generated particles inside the enclosure can make their way to the surface of a substrate. The support structure 486 is a structural member to which the walls 491A-F, robot actuator 489, robot hardware assembly 485, and linear slides 488 all attach.
  • The fan unit 493 is adapted to draw air from inside the enclosure 491 through a fan port 491G formed in one of the walls of the enclosure 491 and pushes the particulate containing air through a filter (not shown) to remove particles before it is exhausted (see item “A”) into the cluster tool 10. In this configuration a fan 493A, contained in the fan unit 493, is designed to create a negative pressure inside the enclosure 491 so that air outside the enclosure is drawn into the enclosure thus limiting the possibility of particles generated inside the enclosure 491 from leaking out. In one embodiment, the filter (not shown) is a HEPA type filter or other type of filter that can remove the generated particulates from the air. The configuration shown in FIG. 21I illustrates an embodiment where there are three fan units 493 that are used to draw air from the enclosure. In another embodiment, a single or dual fan unit system may be used in place of a three fan unit 493 configuration, as shown, without varying form the scope of the invention.
  • In one embodiment of the lift rail assembly 495A, a fan unit 493 (not shown) is adapted to draw air from inside each of the vertical enclosures 495D to minimize the chance that the particles generated inside the vertical motion assembly 495 will cause defects on the devices formed on the surface of the substrate.
  • Substrate Center Finding Device
  • In an effort to be more competitive in the market place and thus reduce CoO, electronic device manufacturers often spend a large amount of time trying to improve the system uptime and system reliability to reduce substrate scrap and increase the total system throughput (i.e., wafers starts per week). One factor that can affect the system uptime and reliability is the misplacement of substrates in the various processing chambers which can cause substrate damage (e.g., chipping, substrate breakage, etc.). Damage to the substrates will cause the user to shut down the current process, scrap all of the partially processed substrates, clean the affected chamber(s) and then restart the process sequence, all leading to significant system downtime and cost. Typically, to prevent substrate to substrate process variation and damage to the substrate caused by misalignment of the substrate in one of the processing chambers, or other chambers, the robot is repeatedly calibrated to pick up and drop off a substrate from a transfer position. The transfer position may be, for example, the center point between the process chamber lift pins or the center point of the chuck.
  • To solve these problems, in one embodiment of the cluster tool 10, a substrate position error detection and correction system 1200 (hereafter SPEDAC 1200), shown in FIG. 22A, is used. FIG. 22A illustrates an isometric view of two adjacent process chambers 1220 (e.g., bake chamber 90, chill chamber 80, coater/developer chamber 60, etc.) retained in a processing rack that have two separate substrate position error detection and correction systems 1200 mounted outside each of their openings 88. FIG. 22A illustrates one embodiment of the SPEDAC system 1200 in which the transmitters 1206 are mounted to a top support 1204 and the detectors 1205 are mounted n a bottom support 1203 which are all connected to the process chamber 1220.
  • The SPEDAC system 1200 determines the presence of a substrate on a substrate transport robot blade as it enters or exits the opening 88 found in the various processing chambers and corrects for any error by repositioning the robot blade 1210 in subsequent transferring steps. The SPEDAC system 1200 utilizes a pair of beams (item “A”) sent from two pairs of transmitters 1206 to detectors 1205 to detect the position of the substrate as it passes through the beams and adjusts the robot position to compensate for any error in the substrate's position. When a substrate position error is detected, the system determines the extent of the misalignment and corrects such misalignment, if correctable, by the movement of the robot blade position or alerts an operator for operator intervention. Further description of an exemplary method of detecting and compensating for substrate misplacement on the blade of the robot is further described in U.S. Pat. No. 5,563,798, entitled “Wafer Positioning System,” issued Oct. 8, 1996, U.S. Pat. No. 5,483,138, entitled “System and Method for Automated Positioning of a Substrate in a Processing Chamber,” issued Jan. 9, 1996, and U.S. Pat. No. 5,980,194, issued Nov. 9, 1999, to Freerks, et al., which are incorporated by reference in their entirety to the extent not inconsistent with the present disclosure. An example of an exemplary method to control robot position and thus substrate position is further described in U.S. Pat. No. 6,556,887, issued Apr. 29, 2003 to Freeman, et al., which is incorporated by reference in their entirety to the extent not inconsistent with the present disclosure.
  • Global Positioning
  • Another embodiment which may be used to improve the system uptime and system reliability by preventing substrate damage (e.g., chipping, substrate breakage) is the use of global positioning system (GPS) (not shown) to track and correct errors in the position of the robot blade and/or the position of the substrate. In this configuration, the global positioning detection system is used to define the location of the robot blade (substrate or robot end effector) with respect to a predetermined system datum. Typically, positional feedback of the robot blade's position is provided by incorporating encoders on shafts of drive motors for each control axis, that report the position of the motor and not the actual position of the robot blade. The actual position may vary from the reported position due to a loose coupling between the various drive components, improper robot parameter setup, robot positional control drift, undetected motion failures, and hardware collisions that may occur. Therefore, to resolve these issues, embodiments of the invention can be used to track the actual position of the robot blade, and thus substrate position. In one embodiment, a global positioning device 1300 and a communicating system (e.g., RF transmitter 1302, cable, etc.) is integrated into the robot blade or robot to measure and feedback its position to the system controller 101. Therefore, by use of previously collected 3-dimensional coordinate system measurements of each transfer position, using the GPS sensor or other device, the system controller can correct errors in the blade position by adjusting the position of the various robot parts. The robot parts are positioned by use of conventional control means which may include encoders and other devices feedback type device used to control the robot's position.
  • In one embodiment, real-time positional feedback of the blade position can be accomplished by the communication of the global positioning device 1300 which is in communication with a RF transmitter 1302 mounted near the robot blade, that is in communication with an RF receiver 1303 that communicates with the system controller 101. The feedback of the global positioning device 1300 allows the actual position of the robot blade to be compared to the commanded position eliminating positional drifting and failures due to undetected hardware failures,
  • In one embodiment, the system controller 101 uses the GPS system and the SPEDAC system 1200 (described above) to correct the robot positional placement and also the substrate to robot blade misalignment errors. This embodiment thus can be used to correct for substrate placement errors or movement of the substrate relative to the robot blade.
  • While the foregoing is directed to embodiments of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims (21)

1. A cluster tool for processing a substrate, comprising:
at least one processing rack, comprising:
a first plurality of substrate processing chambers that are positioned adjacent to each other and aligned in a first direction;
a second plurality of substrate processing chambers that are positioned adjacent to each other and adjacent to at least one of the first plurality of substrate processing chambers, the second plurality of substrate processing chambers being positioned in a second direction relative to the first direction;
a first shuttle robot movable in the first direction for moving substrates between each of the first plurality of substrate processing chambers; and
a second shuttle robot movable in the second direction for moving substrates between each of the second plurality of substrate processing chambers.
2. The cluster tool of claim 1, wherein each of the first plurality of processing chambers are isolated from each other.
3. The cluster tool of claim 2, wherein the second direction is substantially normal to the first direction.
4. The cluster tool of claim 3, wherein the first direction is a horizontal direction.
5. The cluster tool of claim 4, wherein the second direction is a horizontal direction.
6. The cluster tool of claim 4, wherein the second plurality of processing chambers are stacked on the first plurality of processing chambers.
7. The cluster tool of claim 2, wherein each of the second plurality of processing chambers are isolated from the first plurality of processing chambers.
8. The cluster tool of claim 7, wherein the second plurality of processing chambers are isolated from each other.
9. A cluster tool for processing a substrate, comprising:
at least one processing rack, comprising:
a first plurality of substrate processing chambers that are positioned adjacent to each other and aligned in a horizontal direction;
a second plurality of substrate processing chambers that are positioned adjacent to each other and adjacent to each of the first plurality of substrate processing chambers in a vertical direction;
a first shuttle robot movable in the horizontal direction for moving substrates between each of the first plurality of substrate processing chambers; and
a second shuttle robot movable in the horizontal direction for moving substrates between each of the second plurality of substrate processing chambers.
10. The cluster tool of claim 9, wherein each of the first plurality of processing chambers are isolated from each other.
11. The cluster tool of claim 10, wherein each of the second plurality of processing chambers are isolated from the first plurality of processing chambers.
12. The cluster tool of claim 11, wherein the second plurality of processing chambers are isolated from each other.
13. The cluster tool of claim 9, wherein at least one of the first plurality of processing chambers or at least one of the second plurality of processing chambers comprise a heat exchanging device.
14. The cluster tool of claim 13, wherein the heat exchanging device comprises a chill plate.
15. The cluster tool of claim 13, wherein the heat exchanging device comprises a bake plate.
16. A cluster tool for processing a substrate, comprising:
at least a first processing rack, comprising:
a first plurality of substrate processing chambers that are positioned adjacent to each other and aligned in a horizontal direction, each of the first plurality of processing chambers being isolated from each other and having a shuttle robot movable in the horizontal direction for moving substrates between each of the first plurality of substrate processing chambers; and
a second plurality of substrate processing chambers that are positioned adjacent to each other and adjacent to each of the first plurality of substrate processing chambers in a vertical direction, each of the second plurality of processing chambers being isolated from each other and having a shuttle robot movable in the horizontal direction for moving substrates between each of the second plurality of substrate processing chambers.
17. The cluster tool of claim 16, wherein at least one of the first plurality of processing chambers or at least one of the second plurality of processing chambers comprise a heat exchanging device.
18. The cluster tool of claim 17, wherein the heat exchanging device comprises a chill plate.
19. The cluster tool of claim 17, wherein the heat exchanging device comprises a bake plate.
20. The cluster tool of claim 16, further comprising:
a second processing rack positioned in an opposing relationship to the first processing rack.
21. The cluster tool of claim 20, wherein the second processing rack comprises:
a third plurality of substrate processing chambers that are positioned adjacent to each other and aligned in the horizontal direction, each of the third plurality of processing chambers having a shuttle robot movable in the horizontal direction for moving substrates between each of the third plurality of substrate processing chambers; and
a fourth plurality of substrate processing chambers that are positioned adjacent to each other and adjacent to each of the third plurality of substrate processing chambers in the vertical direction, each of the fourth plurality of processing chambers having a shuttle robot movable in the horizontal direction for moving substrates between each of the fourth plurality of substrate processing chambers.
US13/411,120 2004-12-22 2012-03-02 Cluster tool architecture for processing a substrate Abandoned US20120180983A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US13/411,120 US20120180983A1 (en) 2004-12-22 2012-03-02 Cluster tool architecture for processing a substrate

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US63910904P 2004-12-22 2004-12-22
US11/112,281 US7357842B2 (en) 2004-12-22 2005-04-22 Cluster tool architecture for processing a substrate
US11/458,664 US7694647B2 (en) 2004-12-22 2006-07-19 Cluster tool architecture for processing a substrate
US12/254,778 US8146530B2 (en) 2004-12-22 2008-10-20 Cluster tool architecture for processing a substrate
US13/411,120 US20120180983A1 (en) 2004-12-22 2012-03-02 Cluster tool architecture for processing a substrate

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US12/254,778 Continuation US8146530B2 (en) 2004-12-22 2008-10-20 Cluster tool architecture for processing a substrate

Publications (1)

Publication Number Publication Date
US20120180983A1 true US20120180983A1 (en) 2012-07-19

Family

ID=39193608

Family Applications (18)

Application Number Title Priority Date Filing Date
US11/111,155 Abandoned US20060130767A1 (en) 2004-12-22 2005-04-20 Purged vacuum chuck with proximity pins
US11/111,353 Active 2026-03-10 US7396412B2 (en) 2004-12-22 2005-04-20 Coat/develop module with shared dispense
US11/111,156 Active 2025-10-08 US7371022B2 (en) 2004-12-22 2005-04-20 Developer endpoint detection in a track lithography system
US11/111,154 Active 2025-06-24 US7255747B2 (en) 2004-12-22 2005-04-20 Coat/develop module with independent stations
US11/112,281 Active US7357842B2 (en) 2004-12-22 2005-04-22 Cluster tool architecture for processing a substrate
US11/112,932 Abandoned US20060134330A1 (en) 2004-12-22 2005-04-22 Cluster tool architecture for processing a substrate
US11/147,037 Abandoned US20060134536A1 (en) 2004-12-22 2005-06-06 Method and system for determining post exposure bake endpoint
US11/316,329 Abandoned US20060158240A1 (en) 2004-12-22 2005-12-21 Distributed temperature control system for point of dispense temperature control on track systems utilizing mixing of hot and cold streams
US11/458,664 Active 2025-09-02 US7694647B2 (en) 2004-12-22 2006-07-19 Cluster tool architecture for processing a substrate
US11/458,667 Expired - Fee Related US7925377B2 (en) 2004-12-22 2006-07-19 Cluster tool architecture for processing a substrate
US12/033,837 Abandoned US20080223293A1 (en) 2004-12-22 2008-02-19 Cluster tool architecture for processing a substrate
US12/106,824 Active US7743728B2 (en) 2004-12-22 2008-04-21 Cluster tool architecture for processing a substrate
US12/136,006 Abandoned US20080296316A1 (en) 2004-12-22 2008-06-09 Coat/develop module with shared dispense
US12/254,778 Active 2026-11-17 US8146530B2 (en) 2004-12-22 2008-10-20 Cluster tool architecture for processing a substrate
US12/254,750 Active 2027-04-19 US8181596B2 (en) 2004-12-22 2008-10-20 Cluster tool architecture for processing a substrate
US12/254,784 Active 2027-06-16 US8215262B2 (en) 2004-12-22 2008-10-20 Cluster tool architecture for processing a substrate
US13/411,120 Abandoned US20120180983A1 (en) 2004-12-22 2012-03-02 Cluster tool architecture for processing a substrate
US13/524,854 Active US8550031B2 (en) 2004-12-22 2012-06-15 Cluster tool architecture for processing a substrate

Family Applications Before (16)

Application Number Title Priority Date Filing Date
US11/111,155 Abandoned US20060130767A1 (en) 2004-12-22 2005-04-20 Purged vacuum chuck with proximity pins
US11/111,353 Active 2026-03-10 US7396412B2 (en) 2004-12-22 2005-04-20 Coat/develop module with shared dispense
US11/111,156 Active 2025-10-08 US7371022B2 (en) 2004-12-22 2005-04-20 Developer endpoint detection in a track lithography system
US11/111,154 Active 2025-06-24 US7255747B2 (en) 2004-12-22 2005-04-20 Coat/develop module with independent stations
US11/112,281 Active US7357842B2 (en) 2004-12-22 2005-04-22 Cluster tool architecture for processing a substrate
US11/112,932 Abandoned US20060134330A1 (en) 2004-12-22 2005-04-22 Cluster tool architecture for processing a substrate
US11/147,037 Abandoned US20060134536A1 (en) 2004-12-22 2005-06-06 Method and system for determining post exposure bake endpoint
US11/316,329 Abandoned US20060158240A1 (en) 2004-12-22 2005-12-21 Distributed temperature control system for point of dispense temperature control on track systems utilizing mixing of hot and cold streams
US11/458,664 Active 2025-09-02 US7694647B2 (en) 2004-12-22 2006-07-19 Cluster tool architecture for processing a substrate
US11/458,667 Expired - Fee Related US7925377B2 (en) 2004-12-22 2006-07-19 Cluster tool architecture for processing a substrate
US12/033,837 Abandoned US20080223293A1 (en) 2004-12-22 2008-02-19 Cluster tool architecture for processing a substrate
US12/106,824 Active US7743728B2 (en) 2004-12-22 2008-04-21 Cluster tool architecture for processing a substrate
US12/136,006 Abandoned US20080296316A1 (en) 2004-12-22 2008-06-09 Coat/develop module with shared dispense
US12/254,778 Active 2026-11-17 US8146530B2 (en) 2004-12-22 2008-10-20 Cluster tool architecture for processing a substrate
US12/254,750 Active 2027-04-19 US8181596B2 (en) 2004-12-22 2008-10-20 Cluster tool architecture for processing a substrate
US12/254,784 Active 2027-06-16 US8215262B2 (en) 2004-12-22 2008-10-20 Cluster tool architecture for processing a substrate

Family Applications After (1)

Application Number Title Priority Date Filing Date
US13/524,854 Active US8550031B2 (en) 2004-12-22 2012-06-15 Cluster tool architecture for processing a substrate

Country Status (3)

Country Link
US (18) US20060130767A1 (en)
JP (3) JP2012069957A (en)
CN (2) CN101142656A (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140011348A1 (en) * 2012-07-09 2014-01-09 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer Alignment System and Method
US9403275B2 (en) * 2014-10-17 2016-08-02 GM Global Technology Operations LLC Dynamic obstacle avoidance in a robotic system

Families Citing this family (550)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4682137B2 (en) * 2003-09-16 2011-05-11 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ Audio frequency range adaptation
JP4271095B2 (en) * 2004-07-15 2009-06-03 東京エレクトロン株式会社 Substrate heating apparatus and substrate heating method
JP4426403B2 (en) * 2004-08-31 2010-03-03 東京エレクトロン株式会社 Laser processing equipment
JP5154006B2 (en) * 2004-12-06 2013-02-27 株式会社Sokudo Substrate processing equipment
US20070295276A1 (en) * 2004-12-22 2007-12-27 Sokudo Co., Ltd. Bake plate having engageable thermal mass
US7798764B2 (en) 2005-12-22 2010-09-21 Applied Materials, Inc. Substrate processing sequence in a cartesian robot cluster tool
US7819079B2 (en) * 2004-12-22 2010-10-26 Applied Materials, Inc. Cartesian cluster tool configuration for lithography type processes
US7699021B2 (en) 2004-12-22 2010-04-20 Sokudo Co., Ltd. Cluster tool substrate throughput optimization
US20060130767A1 (en) 2004-12-22 2006-06-22 Applied Materials, Inc. Purged vacuum chuck with proximity pins
JP4955977B2 (en) * 2005-01-21 2012-06-20 東京エレクトロン株式会社 Coating and developing apparatus and method thereof
US20060162658A1 (en) * 2005-01-27 2006-07-27 Applied Materials, Inc. Ruthenium layer deposition apparatus and method
US20060236941A1 (en) * 2005-04-20 2006-10-26 Applied Materials, Inc. Passive wafer support for particle free wafer acceleration
US7513822B2 (en) * 2005-06-18 2009-04-07 Flitsch Frederick A Method and apparatus for a cleanspace fabricator
US20150227136A1 (en) * 2005-06-18 2015-08-13 Fred Flitsch Methods and apparatus for vertically orienting substrate processing tools in a clean space
US9457442B2 (en) * 2005-06-18 2016-10-04 Futrfab, Inc. Method and apparatus to support process tool modules in a cleanspace fabricator
EP1912592A4 (en) 2005-07-26 2016-01-06 Rox Medical Inc Devices, systems, and methods for peripheral arteriovenous fistula creation
JP4767641B2 (en) * 2005-09-27 2011-09-07 大日本スクリーン製造株式会社 Substrate processing apparatus and substrate transfer method
US7470919B2 (en) * 2005-09-30 2008-12-30 Applied Materials, Inc. Substrate support assembly with thermal isolating plate
JP4629574B2 (en) * 2005-12-27 2011-02-09 日本発條株式会社 Substrate support device and manufacturing method thereof
JP4527670B2 (en) * 2006-01-25 2010-08-18 東京エレクトロン株式会社 Heat treatment apparatus, heat treatment method, control program, and computer-readable storage medium
US20080050679A1 (en) * 2006-02-22 2008-02-28 Sokudo Co., Ltd. Methods and systems for performing immersion processing during lithography
US7824934B2 (en) * 2006-02-24 2010-11-02 Tokyo Electron Limited Substrate processing apparatus, parameter management system for substrate processing apparatus, parameter management method for substrate processing apparatus, program, and storage medium
JPWO2007105455A1 (en) * 2006-02-28 2009-07-30 株式会社アルバック Stage equipment
WO2007102321A1 (en) * 2006-03-06 2007-09-13 Ulvac, Inc. Stage unit
JP4994074B2 (en) * 2006-04-20 2012-08-08 東京エレクトロン株式会社 Substrate cleaning apparatus, substrate cleaning method, substrate processing apparatus
US20070247165A1 (en) * 2006-04-25 2007-10-25 Applied Materials, Inc. Wafer backside particle detection for track tools
US7521915B2 (en) * 2006-04-25 2009-04-21 Sokudo Co., Ltd. Wafer bevel particle detection
US20070254493A1 (en) * 2006-04-28 2007-11-01 Applied Materials, Inc. Integrated thermal unit having vertically arranged bake and chill plates
US7833351B2 (en) * 2006-06-26 2010-11-16 Applied Materials, Inc. Batch processing platform for ALD and CVD
US20080051930A1 (en) * 2006-07-10 2008-02-28 Oh Hilario L Scheduling method for processing equipment
WO2008008727A2 (en) * 2006-07-10 2008-01-17 Applied Materials, Inc. Scheduling method for processing equipment
US8815013B2 (en) * 2006-07-19 2014-08-26 Intermolecular, Inc. Method and system for isolated and discretized process sequence integration
KR101412398B1 (en) * 2006-07-19 2014-06-25 인터몰레큘러 인코퍼레이티드 Method and system for isolated and discretized process sequence integration
JP4801522B2 (en) * 2006-07-21 2011-10-26 株式会社日立ハイテクノロジーズ Semiconductor manufacturing apparatus and plasma processing method
US7935948B2 (en) * 2006-08-11 2011-05-03 Sokudo Co., Ltd. Method and apparatus for monitoring and control of suck back level in a photoresist dispense system
KR100829923B1 (en) * 2006-08-30 2008-05-16 세메스 주식회사 Spin head and method using the same for treating substrate
JP2008072016A (en) * 2006-09-15 2008-03-27 Tokyo Electron Ltd Liquid-treating apparatus, liquid-treating method, and storage medium
JP5013400B2 (en) * 2006-09-29 2012-08-29 国立大学法人東北大学 Coating film coating equipment
US7460972B2 (en) * 2006-10-19 2008-12-02 Sokudo Co., Ltd. Methods and systems for performing real-time wireless temperature measurement for semiconductor substrates
US20080099181A1 (en) * 2006-10-31 2008-05-01 Sokudo Co., Ltd. Method to cool a bake plate using an actively chilled transfer shuttle
US7758763B2 (en) * 2006-10-31 2010-07-20 Applied Materials, Inc. Plasma for resist removal and facet control of underlying features
US20080145191A1 (en) * 2006-11-15 2008-06-19 Sokudo Co., Ltd. Actively chilled substrate transport module
JP5023679B2 (en) * 2006-12-05 2012-09-12 東京エレクトロン株式会社 Coating and developing apparatus and method, and storage medium
JP4777232B2 (en) * 2006-12-27 2011-09-21 東京エレクトロン株式会社 Substrate processing method, substrate processing system, and computer-readable storage medium storing program
US8740670B2 (en) 2006-12-28 2014-06-03 Saint-Gobain Ceramics & Plastics, Inc. Sapphire substrates and methods of making same
EP2865790A1 (en) * 2006-12-28 2015-04-29 Saint-Gobain Ceramics & Plastics Inc. Sapphire substrates
CA2673523C (en) * 2006-12-28 2012-10-09 Saint-Gobain Ceramics & Plastics, Inc. Method of grinding a sapphire substrate
JP5226695B2 (en) * 2006-12-28 2013-07-03 サン−ゴバン セラミックス アンド プラスティクス,インコーポレイティド Sapphire substrate and manufacturing method thereof
US20080155852A1 (en) * 2006-12-29 2008-07-03 Olgado Donald J K Multiple substrate vapor drying systems and methods
US20080160462A1 (en) * 2007-01-03 2008-07-03 Sokudo Co., Ltd. Method and system for bake plate heat transfer control in track lithography tools
US7497026B2 (en) * 2007-01-11 2009-03-03 Sokudo Co., Ltd. Method and system for detection of wafer centering in a track lithography tool
US8580078B2 (en) * 2007-01-26 2013-11-12 Lam Research Corporation Bevel etcher with vacuum chuck
US20080216077A1 (en) * 2007-03-02 2008-09-04 Applied Materials, Inc. Software sequencer for integrated substrate processing system
US20080224817A1 (en) * 2007-03-15 2008-09-18 Sokudo Co., Ltd. Interlaced rtd sensor for zone/average temperature sensing
US7789576B2 (en) * 2007-03-27 2010-09-07 Taiwan Semiconductor Manufacturing Company, Ltd. PEB embedded exposure apparatus
US9383138B2 (en) * 2007-03-30 2016-07-05 Tokyo Electron Limited Methods and heat treatment apparatus for uniformly heating a substrate during a bake process
US20080241400A1 (en) * 2007-03-31 2008-10-02 Tokyo Electron Limited Vacuum assist method and system for reducing intermixing of lithography layers
US20080267257A1 (en) * 2007-04-27 2008-10-30 Sokudo Co., Ltd. Method and System for Detecting Substrate Temperature in a Track Lithography Tool
JP4877075B2 (en) * 2007-05-29 2012-02-15 東京エレクトロン株式会社 Coating, developing device, coating, developing device operating method, and storage medium
US7942969B2 (en) * 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
US8636458B2 (en) * 2007-06-06 2014-01-28 Asml Netherlands B.V. Integrated post-exposure bake track
US20090001071A1 (en) * 2007-06-28 2009-01-01 Sokudo Co., Ltd Method and System for Cooling a Bake Plate in a Track Lithography Tool
JP5006122B2 (en) 2007-06-29 2012-08-22 株式会社Sokudo Substrate processing equipment
JP4464993B2 (en) * 2007-06-29 2010-05-19 東京エレクトロン株式会社 Substrate processing system
JP4979079B2 (en) * 2007-07-09 2012-07-18 東京エレクトロン株式会社 Substrate processing equipment
US8092599B2 (en) * 2007-07-10 2012-01-10 Veeco Instruments Inc. Movable injectors in rotating disc gas reactors
US7934898B2 (en) * 2007-07-16 2011-05-03 Semitool, Inc. High throughput semiconductor wafer processing
US7567885B2 (en) * 2007-08-23 2009-07-28 Sokudo Co., Ltd. Method and system for determining object height
US7831135B2 (en) * 2007-09-04 2010-11-09 Sokudo Co., Ltd. Method and system for controlling bake plate temperature in a semiconductor processing chamber
US8041450B2 (en) * 2007-10-04 2011-10-18 Asm Japan K.K. Position sensor system for substrate transfer robot
JP5151383B2 (en) * 2007-10-12 2013-02-27 東京エレクトロン株式会社 Coating and developing apparatus, method and storage medium
JP5253511B2 (en) * 2007-10-24 2013-07-31 オーツェー・エリコン・バルザース・アーゲー Workpiece manufacturing method and apparatus
JP5160204B2 (en) * 2007-11-30 2013-03-13 株式会社Sokudo Substrate processing equipment
US9002514B2 (en) 2007-11-30 2015-04-07 Novellus Systems, Inc. Wafer position correction with a dual, side-by-side wafer transfer robot
JP5128918B2 (en) 2007-11-30 2013-01-23 株式会社Sokudo Substrate processing equipment
US8060252B2 (en) * 2007-11-30 2011-11-15 Novellus Systems, Inc. High throughput method of in transit wafer position correction in system using multiple robots
JP5318403B2 (en) * 2007-11-30 2013-10-16 株式会社Sokudo Substrate processing equipment
KR101489963B1 (en) 2007-12-13 2015-02-04 한국에이에스엠지니텍 주식회사 Thin film deposition apparatus and method thereof
US20090162170A1 (en) * 2007-12-19 2009-06-25 Asm Japan K.K. Tandem type semiconductor-processing apparatus
KR100892756B1 (en) * 2007-12-27 2009-04-15 세메스 주식회사 Apparatus for treating substrate and method for transferring substrate using the same
JP5179170B2 (en) * 2007-12-28 2013-04-10 株式会社Sokudo Substrate processing equipment
JP5001828B2 (en) * 2007-12-28 2012-08-15 株式会社Sokudo Substrate processing equipment
US8198567B2 (en) * 2008-01-15 2012-06-12 Applied Materials, Inc. High temperature vacuum chuck assembly
JP4547016B2 (en) * 2008-04-04 2010-09-22 東京エレクトロン株式会社 Semiconductor manufacturing apparatus and semiconductor manufacturing method
US8077098B2 (en) * 2008-05-15 2011-12-13 The United States Of America As Represented By The Secretary Of The Navy Antenna test system
KR101202203B1 (en) 2008-06-05 2012-11-16 도쿄엘렉트론가부시키가이샤 Liquid treatment apparatus and liquid treatment method
US20090308860A1 (en) * 2008-06-11 2009-12-17 Applied Materials, Inc. Short thermal profile oven useful for screen printing
WO2010004636A1 (en) * 2008-07-10 2010-01-14 川崎重工業株式会社 Robot and its teaching method
KR101396469B1 (en) * 2008-07-15 2014-05-23 가부시키가이샤 아루박 Work-piece transfer systems and methods
KR101226954B1 (en) * 2008-08-06 2013-01-28 세메스 주식회사 Substrate processing apparatus and method for transferring substrate of the same
JP5036664B2 (en) * 2008-09-04 2012-09-26 東京エレクトロン株式会社 Nozzle cleaning in liquid treatment, treatment liquid drying prevention method and apparatus
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
JP2010123230A (en) * 2008-11-21 2010-06-03 Sony Disc & Digital Solutions Inc Developing method and developing apparatus
JP2010129929A (en) * 2008-11-28 2010-06-10 Canon Inc Substrate holding apparatus, substrate holding method, exposure apparatus, and device manufacturing method
US8127713B2 (en) * 2008-12-12 2012-03-06 Sokudo Co., Ltd. Multi-channel developer system
US20100162955A1 (en) * 2008-12-31 2010-07-01 Lawrence Chung-Lai Lei Systems and methods for substrate processing
US8110511B2 (en) * 2009-01-03 2012-02-07 Archers Inc. Methods and systems of transferring a substrate to minimize heat loss
US7897525B2 (en) * 2008-12-31 2011-03-01 Archers Inc. Methods and systems of transferring, docking and processing substrates
US20100162954A1 (en) * 2008-12-31 2010-07-01 Lawrence Chung-Lai Lei Integrated facility and process chamber for substrate processing
US8367565B2 (en) * 2008-12-31 2013-02-05 Archers Inc. Methods and systems of transferring, docking and processing substrates
US8886354B2 (en) * 2009-01-11 2014-11-11 Applied Materials, Inc. Methods, systems and apparatus for rapid exchange of work material
US8241425B2 (en) * 2009-01-23 2012-08-14 Axcelis Technologies, Inc. Non-condensing thermos chuck
CN101794710B (en) 2009-01-30 2012-10-03 细美事有限公司 Method and system for treating substrate
US20100192844A1 (en) * 2009-01-30 2010-08-05 Semes Co., Ltd. Apparatus and method for treating substrate
JP2010251705A (en) * 2009-03-24 2010-11-04 Nuflare Technology Inc Coating apparatus and coating method
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
CN101897351A (en) * 2009-05-31 2010-12-01 北京佩奇科技发展中心 Cordierite baking oven
US8847122B2 (en) * 2009-06-08 2014-09-30 Macronix International Co., Ltd. Method and apparatus for transferring substrate
KR20170018479A (en) 2009-07-02 2017-02-17 이 아이 듀폰 디 네모아 앤드 캄파니 Semiconductor manufacture component
US8021745B2 (en) * 2009-07-02 2011-09-20 E. I. Du Pont De Nemours And Company Semiconductor manufacture component
TWI489580B (en) * 2009-07-10 2015-06-21 Macronix Int Co Ltd Method and apparatus for transferring substrate
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20110064545A1 (en) * 2009-09-16 2011-03-17 Applied Materials, Inc. Substrate transfer mechanism with preheating features
JP5445006B2 (en) * 2009-10-05 2014-03-19 東京エレクトロン株式会社 Substrate processing apparatus, substrate processing method, and storage medium
TWI408766B (en) * 2009-11-12 2013-09-11 Hitachi High Tech Corp Vacuum processing device
US8542492B2 (en) * 2009-12-10 2013-09-24 Richard Anthony Dunn, JR. Scalable up and down nesting integrated electronic enclosures with form factors including asteroids and/or dumbbells and/or approximated tessellation(s)/tiling(s) or combinations thereof with thermal management, wiring, sliding fit, manual and/or automated full range vertical to horizontal positioning, access and structural systems for individual modules and intra-and inter-planar stacks, columns, rows, arrays and associated infrastructures
US20110140232A1 (en) * 2009-12-15 2011-06-16 Intersil Americas Inc. Methods of forming a thermal conduction region in a semiconductor structure and structures resulting therefrom
US20120055916A1 (en) * 2010-03-01 2012-03-08 Sokudo Co., Ltd. Method and system for thermal treatment of substrates
JP5318005B2 (en) 2010-03-10 2013-10-16 株式会社Sokudo Substrate processing apparatus, stocker apparatus, and substrate container transport method
JP5392190B2 (en) * 2010-06-01 2014-01-22 東京エレクトロン株式会社 Substrate processing system and substrate processing method
JP5620574B2 (en) 2010-06-07 2014-11-05 カスケード マイクロテックインコーポレイテッドCascade Microtech,Incorporated High voltage chuck for probe station
TWM394568U (en) * 2010-07-23 2010-12-11 Chen Long Technology Corp Ltd Multiplexing wafer baking processing system
US9645162B2 (en) 2010-08-27 2017-05-09 Hewlett-Packard Development Company, L.P. Automated assay fluid dispensing
US9433939B2 (en) 2010-08-27 2016-09-06 Hewlett-Packard Development Company, L.P. Liquid dispensing assembly frame
JP5251941B2 (en) * 2010-09-01 2013-07-31 東京エレクトロン株式会社 Liquid processing apparatus, liquid processing method, and storage medium
US9370273B2 (en) 2010-12-02 2016-06-21 Pepsico, Inc. Hot and cold beverage dispenser
US20120225204A1 (en) * 2011-03-01 2012-09-06 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition
JP5490741B2 (en) * 2011-03-02 2014-05-14 東京エレクトロン株式会社 Substrate transport apparatus position adjustment method and substrate processing apparatus
US8956098B2 (en) * 2011-05-02 2015-02-17 Murata Machinery, Ltd. Automated warehouse
US20120305192A1 (en) 2011-06-03 2012-12-06 Arthur Keigler Parallel single substrate processing fluid jet module
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US8961693B2 (en) * 2011-06-08 2015-02-24 Shenzhen China Star Optoelectronics Technology Co., Ltd. Component supporting device
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US9421617B2 (en) 2011-06-22 2016-08-23 Tel Nexx, Inc. Substrate holder
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US8967935B2 (en) 2011-07-06 2015-03-03 Tel Nexx, Inc. Substrate loader and unloader
US10854498B2 (en) * 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
KR20230084597A (en) 2011-09-16 2023-06-13 퍼시몬 테크놀로지스 코포레이션 A Transport Apparatus and A Processing Apparatus Comprising the Same
TWI523134B (en) * 2011-09-22 2016-02-21 東京威力科創股份有限公司 Substrate treatment system, substrate transfer method and computer-readable storage medium
CN202257027U (en) * 2011-10-12 2012-05-30 深圳市华星光电技术有限公司 Bearing system of photoresist coating machine and photoresist coating machine provided with bearing system
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US20130123966A1 (en) * 2011-11-14 2013-05-16 Shenzhen China Star Optoelectronics Technology Co., Ltd. Spatial three-dimensional inline handling system
CN103137519B (en) * 2011-11-28 2016-08-17 和舰科技(苏州)有限公司 Cold-hot plate device and temperature control method thereof
CN102645698B (en) * 2012-01-09 2016-03-30 京东方科技集团股份有限公司 Light guide plate mesh point, method for manufacturing light guide plate and backlight module, display device
EP3550364A1 (en) 2012-02-03 2019-10-09 ASML Netherlands B.V. Substrate holder, lithographic apparatus and method of manufacturing a substrate holder
JP5926086B2 (en) * 2012-03-28 2016-05-25 株式会社Screenホールディングス Substrate processing apparatus and substrate processing method
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US10199350B2 (en) * 2012-05-25 2019-02-05 Asm Technology Singapore Pte Ltd Apparatus for heating a substrate during die bonding
US9490150B2 (en) 2012-07-03 2016-11-08 Applied Materials, Inc. Substrate support for substrate backside contamination control
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
SG11201503659QA (en) 2012-11-28 2015-06-29 Acm Res Shanghai Inc Method and apparatus for cleaning semiconductor wafer
JP5835195B2 (en) * 2012-11-29 2015-12-24 東京エレクトロン株式会社 Method for manufacturing high-pressure vessel for drying process and method for manufacturing substrate processing apparatus
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
WO2014116681A2 (en) * 2013-01-22 2014-07-31 Brooks Automation, Inc. Substrate transport
US9543186B2 (en) * 2013-02-01 2017-01-10 Applied Materials, Inc. Substrate support with controlled sealing gap
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9446467B2 (en) 2013-03-14 2016-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Integrate rinse module in hybrid bonding platform
KR101273615B1 (en) 2013-04-30 2013-06-13 마이다스시스템주식회사 Mini lab for semiconductor fabrication that are used in the photolithography process
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
JP6268425B2 (en) * 2013-07-16 2018-01-31 シンフォニアテクノロジー株式会社 EFEM, load port, wafer transfer method
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
JP6234736B2 (en) * 2013-08-30 2017-11-22 芝浦メカトロニクス株式会社 Spin processing device
US10424498B2 (en) 2013-09-09 2019-09-24 Persimmon Technologies Corporation Substrate transport vacuum platform
US9245767B2 (en) * 2013-09-12 2016-01-26 Applied Materials, Inc. Anneal module for semiconductor wafers
JP6112485B2 (en) * 2013-09-19 2017-04-12 国立研究開発法人産業技術総合研究所 Method for producing single crystal diamond
CN105580124B (en) * 2013-09-26 2018-05-18 应用材料公司 For mixing flatbed devices, system and the method for processing substrate
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10074547B2 (en) * 2013-12-19 2018-09-11 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresist nozzle device and photoresist supply system
WO2015112538A1 (en) 2014-01-21 2015-07-30 Persimmon Technologies, Corp. Substrate transport vacuum platform
JP6559706B2 (en) 2014-01-27 2019-08-14 ビーコ インストルメンツ インコーポレイテッド Wafer carrier with holding pockets with compound radius for chemical vapor deposition systems
JP5850964B2 (en) * 2014-02-19 2016-02-03 ファナック株式会社 Robot traveling device having cable track, robot system, and processing system
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
CN103949376B (en) * 2014-04-17 2016-08-31 天津市盈硕科技发展有限公司 The point glue equipment of the solar panel of new energy electric bicycle
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
JP6211458B2 (en) * 2014-04-30 2017-10-11 東京エレクトロン株式会社 Substrate liquid processing apparatus and substrate liquid processing method
US10892180B2 (en) * 2014-06-02 2021-01-12 Applied Materials, Inc. Lift pin assembly
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
TWI546376B (en) * 2014-08-25 2016-08-21 柯伊珊 Wafer treatment solution for edge-bead removal, edge film hump reduction and resist surface smooth, its apparatus and edge-bead removal method by using the same
JP6296164B2 (en) * 2014-09-08 2018-03-20 株式会社安川電機 Robot system and transfer method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102469258B1 (en) * 2014-11-18 2022-11-22 퍼시몬 테크놀로지스 코포레이션 Robot adaptive placement system with end-effector position estimation
KR102300403B1 (en) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. Method of depositing thin film
KR102263121B1 (en) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. Semiconductor device and manufacuring method thereof
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
EP3167493A4 (en) * 2015-02-17 2017-10-04 Sierra Solar Power (Hangzhou) Co., Ltd. Method and system for improving solar cell manufacturing yield
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR102478317B1 (en) * 2015-04-08 2022-12-16 도쿄엘렉트론가부시키가이샤 Substrate processing system
US9889567B2 (en) * 2015-04-24 2018-02-13 Applied Materials, Inc. Wafer swapper
US20160359080A1 (en) 2015-06-07 2016-12-08 Solarcity Corporation System, method and apparatus for chemical vapor deposition
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
KR101695948B1 (en) * 2015-06-26 2017-01-13 주식회사 테라세미콘 Substrate processing apparatus
US10256121B2 (en) 2015-07-06 2019-04-09 Tokyo Electron Limited Heated stage with variable thermal emissivity method and apparatus
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
JP6918770B2 (en) 2015-07-13 2021-08-11 ブルックス オートメーション インコーポレイテッド On-the-fly automatic wafer centering method and equipment
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
CN105278259A (en) * 2015-07-27 2016-01-27 江苏影速光电技术有限公司 Stand-alone double-table and multi-station automatic printed circuit board (PCB) exposure equipment and exposure method
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
JP6942117B2 (en) 2015-08-14 2021-09-29 エム キューブド テクノロジーズ, インコーポレイテッド Methods for removing contamination from the chuck surface
TWI595963B (en) * 2015-08-18 2017-08-21 Machvision Inc Automatic feeding device
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
DE102015011177B4 (en) * 2015-08-27 2017-09-14 Süss Microtec Photomask Equipment Gmbh & Co. Kg Device for applying a liquid medium exposed to UV radiation to a substrate
US10073444B2 (en) * 2015-09-20 2018-09-11 Macau University Of Science And Technology Petri net-based optimal one-wafer cyclic scheduling of treelike hybrid multi-cluster tools
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
KR20170048787A (en) * 2015-10-27 2017-05-10 세메스 주식회사 Apparatus and Method for treating a substrate
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (en) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. Method of forming metal interconnection and method of fabricating semiconductor device using the same
US9748434B1 (en) 2016-05-24 2017-08-29 Tesla, Inc. Systems, method and apparatus for curing conductive paste
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10580681B2 (en) * 2016-07-10 2020-03-03 Yaskawa America Inc. Robotic apparatus and method for transport of a workpiece
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
CN107644832B (en) * 2016-07-20 2023-09-29 朗姆研究公司 Design for storing and organizing MCA features and wafer transfer pins during system maintenance
WO2018022670A1 (en) 2016-07-26 2018-02-01 M Cubed Technologies, Inc. Methods for masking a pin chuck, and articles made thereby
KR102354490B1 (en) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9954136B2 (en) 2016-08-03 2018-04-24 Tesla, Inc. Cassette optimized for an inline annealing system
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10131053B1 (en) * 2016-09-14 2018-11-20 X Development Llc Real time robot collision avoidance
JP6870944B2 (en) * 2016-09-26 2021-05-12 株式会社Screenホールディングス Board processing equipment
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10115856B2 (en) 2016-10-31 2018-10-30 Tesla, Inc. System and method for curing conductive paste using induction heating
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
CN108107680B (en) * 2016-11-25 2020-10-30 沈阳芯源微电子设备股份有限公司 Stack type glue spreading and developing system
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US10246087B2 (en) * 2016-12-15 2019-04-02 Caterpillar Inc. System and method for collision mitigation during machine articulation
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
JP6770887B2 (en) * 2016-12-28 2020-10-21 株式会社Screenホールディングス Board processing equipment and board processing system
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US11201078B2 (en) * 2017-02-14 2021-12-14 Applied Materials, Inc. Substrate position calibration for substrate supports in substrate processing systems
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
DE102017104840A1 (en) 2017-03-08 2018-09-13 SW Automation GmbH Traveling System
KR20190142766A (en) * 2017-03-15 2019-12-27 캘러헌 이노베이션 Apparatus for and method of manufacturing an article using photolithography and a photoresist
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
DE102017115833A1 (en) * 2017-07-13 2019-01-17 SW Automation GmbH Method for operating a workpiece machining system and workpiece machining system
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10861723B2 (en) * 2017-08-08 2020-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. EFEM robot auto teaching methodology
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10510575B2 (en) 2017-09-20 2019-12-17 Applied Materials, Inc. Substrate support with multiple embedded electrodes
US20190088518A1 (en) * 2017-09-20 2019-03-21 Applied Materials, Inc. Substrate support with cooled and conducting pins
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (en) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 Storage device for storing wafer cassettes for use with batch ovens
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
JP6967954B2 (en) * 2017-12-05 2021-11-17 東京エレクトロン株式会社 Exhaust device, processing device and exhaust method
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (en) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 Method for depositing gap filling layer by plasma auxiliary deposition
TW202325889A (en) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 Deposition method
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
KR101938104B1 (en) * 2018-01-25 2019-01-14 주식회사 기가레인 Flexible circuit board with improved bonding flatness
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN116732497A (en) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 Method for depositing ruthenium-containing films on substrates by cyclical deposition processes
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
TWI811348B (en) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
TW202349473A (en) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (en) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10589423B2 (en) * 2018-06-18 2020-03-17 Shambhu Nath Roy Robot vision super visor for hybrid homing, positioning and workspace UFO detection enabling industrial robot use for consumer applications
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (en) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. Periodic deposition method for forming metal-containing material and film and structure comprising metal-containing material
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11081358B2 (en) 2018-07-05 2021-08-03 Applied Materials, Inc. Silicide film nucleation
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11199466B2 (en) * 2018-08-31 2021-12-14 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for liquid leak detection
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US10796940B2 (en) 2018-11-05 2020-10-06 Lam Research Corporation Enhanced automatic wafer centering system and techniques for same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
GB201819454D0 (en) * 2018-11-29 2019-01-16 Johnson Matthey Plc Apparatus and method for coating substrates with washcoats
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (en) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 Method of forming device structure, structure formed by the method and system for performing the method
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
CN113169026A (en) 2019-01-22 2021-07-23 应用材料公司 Feedback loop for controlling pulsed voltage waveform
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
KR102638425B1 (en) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for filling a recess formed within a substrate surface
TW202104632A (en) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
KR20200102357A (en) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for plug fill deposition in 3-d nand applications
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP7253955B2 (en) * 2019-03-28 2023-04-07 東京エレクトロン株式会社 SUBSTRATE PROCESSING APPARATUS AND SUBSTRATE PROCESSING METHOD
KR20200116033A (en) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
KR20200123380A (en) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US10998209B2 (en) 2019-05-31 2021-05-04 Applied Materials, Inc. Substrate processing platforms including multiple processing chambers
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
KR102240925B1 (en) * 2019-07-17 2021-04-15 세메스 주식회사 Apparatus for Processing Substrate and Substrates transfer apparatus
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (en) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 Method of forming topology-controlled amorphous carbon polymer film
CN112309843A (en) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 Selective deposition method for achieving high dopant doping
US20210035767A1 (en) * 2019-07-29 2021-02-04 Applied Materials, Inc. Methods for repairing a recess of a chamber component
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (en) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. Liquid level sensor for a chemical source vessel
US11823937B2 (en) * 2019-08-19 2023-11-21 Applied Materials, Inc. Calibration of an aligner station of a processing system
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
TW202115273A (en) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
CN112992637A (en) * 2019-12-02 2021-06-18 Asm Ip私人控股有限公司 Substrate supporting plate, substrate processing apparatus including the same, and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
TW202125596A (en) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
EP4094306A4 (en) 2020-01-22 2024-02-28 Applied Materials Inc In-line monitoring of oled layer thickness and dopant concentration
EP4094307A4 (en) * 2020-01-22 2024-02-28 Applied Materials Inc In-line monitoring of oled layer thickness and dopant concentration
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US20210320027A1 (en) * 2020-04-09 2021-10-14 Applied Materials, Inc. Systems and methods for substrate support temperature control
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132576A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Method of forming vanadium nitride-containing layer and structure comprising the same
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210132605A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Vertical batch furnace assembly comprising a cooling gas supply
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
WO2021262506A1 (en) * 2020-06-26 2021-12-30 Armstrong World Industries, Inc. Coating humidification system
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
KR20220010438A (en) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
US11462388B2 (en) 2020-07-31 2022-10-04 Applied Materials, Inc. Plasma processing assembly using pulsed-voltage and radio-frequency power
WO2022031268A1 (en) 2020-08-04 2022-02-10 Applied Materials, Inc. Apparatus for removing photoresist off of photomask
KR20220027026A (en) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. Method and system for forming metal silicon oxide and metal silicon oxynitride
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TWI749802B (en) * 2020-10-08 2021-12-11 南亞科技股份有限公司 Conveying device
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
IT202000030872A1 (en) 2020-12-15 2022-06-15 Faspar S P A SUPPORT AND HANDLING GROUP FOR A TOOL GRIPPING DEVICE
CN114639631A (en) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 Fixing device for measuring jumping and swinging
KR20220087623A (en) * 2020-12-17 2022-06-27 삼성전자주식회사 Apparatus for processing a substrate
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
KR20220158515A (en) * 2021-05-24 2022-12-01 에이디알씨 주식회사 Spray coater and thin film transistor fabricated using the same
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023091547A1 (en) * 2021-11-19 2023-05-25 Applied Materials, Inc. Substrate position calibration for substrate supports in substrate processing systems
US20230197463A1 (en) * 2021-12-21 2023-06-22 Canon Kabushiki Kaisha Apparatus including a substrate chuck, a dispenser, and a planarization head and methods of using the same
JP7326647B1 (en) 2022-12-07 2023-08-15 株式会社荏原製作所 Conveyor and substrate processing equipment

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5972110A (en) * 1996-09-06 1999-10-26 Tokyo Electron Limited Resist processing system
US6099643A (en) * 1996-12-26 2000-08-08 Dainippon Screen Mfg. Co., Ltd. Apparatus for processing a substrate providing an efficient arrangement and atmospheric isolation of chemical treatment section
US20040020601A1 (en) * 2000-02-10 2004-02-05 Applied Materials, Inc. Process and an integrated tool for low k dielectric deposition including a pecvd capping module
US6707544B1 (en) * 1999-09-07 2004-03-16 Applied Materials, Inc. Particle detection and embedded vision system to enhance substrate yield and throughput
US20050095088A1 (en) * 2003-10-20 2005-05-05 Applied Materials, Inc. Load lock chamber for large area substrate processing system

Family Cites Families (684)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US491171A (en) * 1893-02-07 Retouching device
US1383093A (en) 1921-02-03 1921-06-28 Clifford L Finch Signal-operator for spreading rails
US1927677A (en) 1927-01-15 1933-09-19 Cleveland Crane Eng Material storage and handling system
BE494127A (en) 1950-02-01
US3206041A (en) 1959-06-18 1965-09-14 Fmc Corp Article handling apparatus
US3193080A (en) 1963-04-08 1965-07-06 Speaker Sortation Systems Inc Multiple station feeding means
US3402835A (en) 1964-12-14 1968-09-24 Triax Co Control system for a zoned automatic warehouse arrangement
US3351219A (en) 1965-04-09 1967-11-07 Walter A Ruderfer Warehousing order selection system
US3279625A (en) 1965-04-30 1966-10-18 Taylor Wilson Mfg Company Apparatus for handling elongated articles
LU51022A1 (en) * 1966-05-03 1968-02-12
US3610159A (en) 1968-06-06 1971-10-05 Bendix Corp Automatic baggage-handling system
DE1798090C2 (en) 1968-08-21 1981-10-08 Tax, Hans, 8000 München Device for controlling automatically steered road vehicles in a container loading system
US3750804A (en) 1969-03-07 1973-08-07 Triax Co Load handling mechanism and automatic storage system
US3876085A (en) * 1970-03-05 1975-04-08 Thomas John Robert Bright Automated storage systems and apparatus therefor
US6076652A (en) 1971-04-16 2000-06-20 Texas Instruments Incorporated Assembly line system and apparatus controlling transfer of a workpiece
US3782564A (en) * 1971-09-27 1974-01-01 Conco Inc Product picking stacker crane system
US3796327A (en) * 1972-07-14 1974-03-12 R Meyer Manufacturing system
US4027246A (en) 1976-03-26 1977-05-31 International Business Machines Corporation Automated integrated circuit manufacturing system
JP3249765B2 (en) 1997-05-07 2002-01-21 東京エレクトロン株式会社 Substrate processing equipment
US4197000A (en) * 1978-05-23 1980-04-08 Fsi Corporation Positive developing method and apparatus
US4303433A (en) 1978-08-28 1981-12-01 Torobin Leonard B Centrifuge apparatus and method for producing hollow microspheres
US4319689A (en) * 1979-09-13 1982-03-16 Frazier Industrial Company Storage rack
US4304433A (en) 1980-03-17 1981-12-08 Bj-Hughes Inc. Pipe gripping head
US4348044A (en) 1980-10-10 1982-09-07 Cincinnati Milacron Inc. Article gripping apparatus
US4410209A (en) * 1982-03-11 1983-10-18 Trapani Silvio P Wafer-handling tool
US5125790A (en) * 1982-05-24 1992-06-30 Proconics International, Inc. Wafer transfer apparatus
JP2855046B2 (en) 1993-03-31 1999-02-10 大日本スクリーン製造株式会社 Substrate rotation holding device for rotary substrate processing equipment
US4984597B1 (en) * 1984-05-21 1999-10-26 Cfmt Inc Apparatus for rinsing and drying surfaces
US4911761A (en) * 1984-05-21 1990-03-27 Cfm Technologies Research Associates Process and apparatus for drying surfaces
US4778532A (en) * 1985-06-24 1988-10-18 Cfm Technologies Limited Partnership Process and apparatus for treating wafers with process fluids
US4634655A (en) * 1984-06-04 1987-01-06 Dainippon Screen Mfg. Co., Ltd. Method of forming corrosion resistant film on the surface of substrate composed of copper or copper alloy
US4609575A (en) 1984-07-02 1986-09-02 Fsi Corporation Method of apparatus for applying chemicals to substrates in an acid processing system
US4639028A (en) * 1984-11-13 1987-01-27 Economic Development Corporation High temperature and acid resistant wafer pick up device
DE3685835T2 (en) 1985-04-17 1993-02-18 Hitachi Ltd GRIPPER TOOL.
JPS61178187U (en) 1985-04-26 1986-11-06
US4895604A (en) * 1985-07-15 1990-01-23 Dainippon Screen Mfg. Co., Ltd. Method and apparatus for rinsing materials or articles
US4664133A (en) 1985-07-26 1987-05-12 Fsi Corporation Wafer processing machine
US4682614A (en) 1985-07-26 1987-07-28 Fsi Corporation Wafer processing machine
JPS6278828A (en) * 1985-10-01 1987-04-11 Dainippon Screen Mfg Co Ltd Surface processing and apparatus thereof
JPS6278826A (en) 1985-10-01 1987-04-11 Dainippon Screen Mfg Co Ltd Method for surface treatment and device thereof
JPH0533006Y2 (en) 1985-10-28 1993-08-23
JPS62129846A (en) 1985-12-02 1987-06-12 Dainippon Screen Mfg Co Ltd Method and apparatus for coating photoresist
JPH0621769B2 (en) * 1985-12-13 1994-03-23 大日本スクリ−ン製造株式会社 Pattern defect detection method and device
JPS62247085A (en) 1986-04-17 1987-10-28 Dainippon Screen Mfg Co Ltd Processing of thin metallic plate by photoetching
US4724621A (en) 1986-04-17 1988-02-16 Varian Associates, Inc. Wafer processing chuck using slanted clamping pins
JPH0621346B2 (en) 1986-06-11 1994-03-23 日本鉱業株式会社 Method for manufacturing high-purity metal tantalum target
FR2600747B1 (en) * 1986-06-30 1988-12-30 Inst Francais Du Petrole FLEXIBLE TUBE, ESPECIALLY FOR THE TRANSPORT OF HEAT-CONTAINING OR REFRIGERANT FLUIDS
JPS6314434A (en) 1986-07-04 1988-01-21 Dainippon Screen Mfg Co Ltd Substrate surface processing and equipment therefor
US4788994A (en) 1986-08-13 1988-12-06 Dainippon Screen Mfg. Co. Wafer holding mechanism
US4728252A (en) 1986-08-22 1988-03-01 Lam Research Corporation Wafer transport mechanism
JPS6377569A (en) 1986-09-19 1988-04-07 Dainippon Screen Mfg Co Ltd Rotary type surface treatment device for substrate
US4846623A (en) 1986-10-08 1989-07-11 Dainippon Screen Mfg. Co., Ltd. Wafer transferring device
JPS6398645A (en) 1986-10-16 1988-04-30 Dainippon Screen Mfg Co Ltd Positioning and holding device for photosensitive material
JPS63133545A (en) 1986-11-25 1988-06-06 Dainippon Screen Mfg Co Ltd Substrate transferring transporting device for thermal treatment equipment
US4778332A (en) * 1987-02-09 1988-10-18 The Perkin-Elmer Corporation Wafer flip apparatus
EP0355100B1 (en) * 1987-03-31 1992-11-25 Siemens Aktiengesellschaft Industrial robot
DE3712281A1 (en) 1987-04-10 1988-10-27 Heraeus Gmbh W C METHOD FOR PRODUCING HIGHLY DUCTILE TANTALE SEMI-FINISHED PRODUCTS
JPS63271931A (en) 1987-04-28 1988-11-09 Tokyo Electron Ltd Development device
US4897015A (en) 1987-05-15 1990-01-30 Ade Corporation Rotary to linear motion robot arm
JPS63191348U (en) 1987-05-27 1988-12-09
JPH0333058Y2 (en) 1987-06-26 1991-07-12
JPS6411777A (en) 1987-07-07 1989-01-17 Mitsubishi Electric Corp Multi-joint robot
JPH0350509Y2 (en) 1987-07-13 1991-10-29
JPS6419351A (en) * 1987-07-15 1989-01-23 Dainippon Screen Mfg Method for controlling dry part temperature of photosensitive material processor
US4984572A (en) * 1988-08-18 1991-01-15 Leonard Bloom Hemodynamically responsive system for and method of treating a malfunctioning heart
JPH0617295Y2 (en) 1987-11-27 1994-05-02 大日本スクリーン製造株式会社 Substrate transfer device
JPH0623935B2 (en) 1988-02-09 1994-03-30 大日本スクリーン製造株式会社 Heat treatment control method with improved reproducibility
KR970006206B1 (en) 1988-02-10 1997-04-24 도오교오 에레구토론 가부시끼가이샤 Automatic coating system
KR970003907B1 (en) 1988-02-12 1997-03-22 도오교오 에레구토론 가부시끼 가이샤 Resist process system and resist processing method
KR970011644B1 (en) * 1988-04-08 1997-07-12 고다까 토시오 Coating device
US4900214A (en) * 1988-05-25 1990-02-13 American Telephone And Telegraph Company Method and apparatus for transporting semiconductor wafers
US5002008A (en) * 1988-05-27 1991-03-26 Tokyo Electron Limited Coating apparatus and method for applying a liquid to a semiconductor wafer, including selecting a nozzle in a stand-by state
JP2846891B2 (en) 1988-06-03 1999-01-13 東京エレクトロン株式会社 Processing equipment
JPH06103687B2 (en) 1988-08-12 1994-12-14 大日本スクリーン製造株式会社 Rotational surface treatment method, treatment end point detection method in rotation type surface treatment, and rotation type surface treatment device
JPH069501Y2 (en) * 1988-09-27 1994-03-09 大日本スクリーン製造株式会社 Substrate rotary dryer
JPH02137852A (en) * 1988-11-18 1990-05-28 Dainippon Screen Mfg Co Ltd Development end point detecting method for photoresist
US5061144A (en) 1988-11-30 1991-10-29 Tokyo Electron Limited Resist process apparatus
USRE34428E (en) 1988-12-02 1993-11-02 John Fluke Mfg. Co., Inc. Analog-to-digital converter with offset voltage polarity inversion
US5177563A (en) 1989-02-01 1993-01-05 Texas A&M University System Method and apparatus for locating physical objects
US5070813A (en) 1989-02-10 1991-12-10 Tokyo Electron Limited Coating apparatus
CA2010511A1 (en) 1989-03-01 1990-09-01 Roberto L. Ceriani Method of enhancing cancer therapy by administration of unsaturated fatty acids
JP2507583B2 (en) * 1989-03-01 1996-06-12 三菱電機株式会社 Clean robot
US5174855A (en) 1989-04-28 1992-12-29 Dainippon Screen Mfg. Co. Ltd. Surface treating apparatus and method using vapor
JPH069195B2 (en) * 1989-05-06 1994-02-02 大日本スクリーン製造株式会社 Substrate surface treatment method
US5127362A (en) 1989-05-22 1992-07-07 Tokyo Electron Limited Liquid coating device
JPH0628223Y2 (en) * 1989-06-14 1994-08-03 大日本スクリーン製造株式会社 Spin coating device
US5151871A (en) 1989-06-16 1992-09-29 Tokyo Electron Limited Method for heat-processing semiconductor device and apparatus for the same
US5254367A (en) 1989-07-06 1993-10-19 Tokyo Electron Limited Coating method and apparatus
US5150452A (en) 1989-07-28 1992-09-22 Megamation Incorporated Method and apparatus for anti-collision and collision protection for multiple robot system
JPH03136232A (en) 1989-08-31 1991-06-11 Dainippon Screen Mfg Co Ltd Substrate surface treating device
JPH0734426Y2 (en) 1989-11-27 1995-08-02 大日本スクリーン製造株式会社 Photosensitive material detector
US5197846A (en) * 1989-12-22 1993-03-30 Hitachi, Ltd. Six-degree-of-freedom articulated robot mechanism and assembling and working apparatus using same
US5169408A (en) 1990-01-26 1992-12-08 Fsi International, Inc. Apparatus for wafer processing with in situ rinse
CH680275A5 (en) 1990-03-05 1992-07-31 Tet Techno Investment Trust
JP2808826B2 (en) 1990-05-25 1998-10-08 松下電器産業株式会社 Substrate transfer device
JP2704309B2 (en) 1990-06-12 1998-01-26 大日本スクリーン製造株式会社 Substrate processing apparatus and substrate heat treatment method
US5234499A (en) 1990-06-26 1993-08-10 Dainippon Screen Mgf. Co., Ltd. Spin coating apparatus
EP0468409B1 (en) 1990-07-23 1995-10-04 Dainippon Screen Mfg. Co., Ltd. Interface apparatus for transporting substrates between substrate processing apparatus
US5436848A (en) 1990-09-03 1995-07-25 Dainippon Screen Mfg. Co., Ltd. Method of and device for transporting semiconductor substrate in semiconductor processing system
US5416047A (en) 1990-09-07 1995-05-16 Tokyo Electron Limited Method for applying process solution to substrates
JP2843134B2 (en) 1990-09-07 1999-01-06 東京エレクトロン株式会社 Coating device and coating method
JP2892476B2 (en) 1990-09-14 1999-05-17 東京エレクトロン株式会社 Band-shaped liquid nozzle, liquid processing apparatus and liquid processing method
US5201653A (en) * 1990-10-02 1993-04-13 Dainippon Screen Mfg. Co., Ltd. Substrate heat-treating apparatus
DE69129095T2 (en) * 1990-10-23 1998-10-15 Dainippon Screen Mfg Method and device for treating photosensitive materials
JP2769645B2 (en) 1990-11-27 1998-06-25 大日本スクリーン製造株式会社 Sensitive material processing equipment
KR100230753B1 (en) 1991-01-23 1999-11-15 도꾜 일렉트론 큐슈리미티드 Liquid coating system
JPH081922B2 (en) * 1991-01-25 1996-01-10 株式会社東芝 Wafer-holding device
JP2835890B2 (en) * 1991-09-17 1998-12-14 東京エレクトロン株式会社 Processing equipment
USD341418S (en) 1991-02-22 1993-11-16 Tokyo Electron Limited Supply nozzle for applying liquid resist to a semiconductor wafer
JP3241058B2 (en) 1991-03-28 2001-12-25 大日本スクリーン製造株式会社 Rotary coating device and rotary coating method
TW204411B (en) 1991-06-05 1993-04-21 Tokyo Electron Co Ltd
JPH053151A (en) 1991-06-18 1993-01-08 Hitachi Ltd Resist removing device
US5197856A (en) * 1991-06-24 1993-03-30 General Electric Company Compressor stator
JPH058194A (en) * 1991-07-02 1993-01-19 Sony Corp Structure of dust collector in orthogonal robot
US5580607A (en) 1991-07-26 1996-12-03 Tokyo Electron Limited Coating apparatus and method
USD341428S (en) 1991-07-29 1993-11-16 Zeus Scientific, Inc. Multi channel pipette work station
US5536918A (en) 1991-08-16 1996-07-16 Tokyo Electron Sagami Kabushiki Kaisha Heat treatment apparatus utilizing flat heating elements for treating semiconductor wafers
US5513946A (en) * 1991-08-27 1996-05-07 Canon Kabushiki Kaisha Clean robot
JPH0553634A (en) 1991-08-29 1993-03-05 Matsushita Electric Ind Co Ltd Multi-arm interference evading system
US5312487A (en) 1991-09-20 1994-05-17 Tokyo Electron Kabushiki Kaisha Coating apparatus
JPH0590238A (en) 1991-09-27 1993-04-09 Dainippon Screen Mfg Co Ltd Substrate rotary holding jig of pivoted substrate treating device
WO1993006949A1 (en) 1991-10-04 1993-04-15 Cfm Technologies, Inc. Ultracleaning of involuted microparts
JP2639771B2 (en) 1991-11-14 1997-08-13 大日本スクリーン製造株式会社 Substrate cleaning / drying processing method and processing apparatus
JP2622046B2 (en) 1991-11-26 1997-06-18 大日本スクリーン製造株式会社 Substrate transfer device
US5275658A (en) * 1991-12-13 1994-01-04 Tokyo Electron Limited Liquid supply apparatus
JP2760918B2 (en) 1992-02-03 1998-06-04 大日本スクリーン製造株式会社 Notched wafer position detector
JP2972970B2 (en) * 1992-04-24 1999-11-08 東京エレクトロン株式会社 Processing equipment
US5788865A (en) 1992-10-14 1998-08-04 Herbert F. Boeckman, II Process for separating a hydrophobic liquid from a liquid contaminated therewith
JP2906006B2 (en) * 1992-10-15 1999-06-14 東京エレクトロン株式会社 Processing method and apparatus
EP0597637B1 (en) * 1992-11-12 2000-08-23 Applied Materials, Inc. System and method for automated positioning of a substrate in a processing chamber
JPH06177012A (en) * 1992-12-03 1994-06-24 Nikon Corp Alignment device
KR970011065B1 (en) 1992-12-21 1997-07-05 다이닛뽕 스크린 세이조오 가부시키가이샤 Board changing apparatus and method in board handling system
JP2870719B2 (en) * 1993-01-29 1999-03-17 東京エレクトロン株式会社 Processing equipment
JPH06244095A (en) 1993-02-12 1994-09-02 Dainippon Screen Mfg Co Ltd Substrate cooling device
US5485644A (en) * 1993-03-18 1996-01-23 Dainippon Screen Mfg. Co., Ltd. Substrate treating apparatus
SG93216A1 (en) 1993-03-25 2002-12-17 Tokyo Electron Ltd Method of forming coating film and apparatus therefor
JP2907676B2 (en) 1993-03-30 1999-06-21 大日本スクリーン製造株式会社 Processing liquid supply device for rotary substrate processing equipment
JP3347814B2 (en) 1993-05-17 2002-11-20 大日本スクリーン製造株式会社 Substrate cleaning / drying processing method and processing apparatus
TW268905B (en) 1993-05-20 1996-01-21 Tokyo Electron Co Ltd
JP2890087B2 (en) 1993-06-10 1999-05-10 東京エレクトロン株式会社 Processing equipment
DE69402918T2 (en) * 1993-07-15 1997-08-14 Applied Materials Inc Substrate catcher and ceramic sheet for semiconductor processing equipment
US5766824A (en) 1993-07-16 1998-06-16 Semiconductor Systems, Inc. Method and apparatus for curing photoresist
US5443348A (en) 1993-07-16 1995-08-22 Semiconductor Systems, Inc. Cassette input/output unit for semiconductor processing system
US5427820A (en) 1993-07-16 1995-06-27 Semiconductor Systems, Inc. Thermal control line for delivering liquid to a point of use in a photolithography system
DE634699T1 (en) * 1993-07-16 1996-02-15 Semiconductor Systems Inc Grouped photolithographic system.
DE69404778T2 (en) 1993-07-16 1997-12-18 Semiconductor Systems Inc Thermal treatment module for coating / developing device for substrate
JP3142195B2 (en) 1993-07-20 2001-03-07 大日本スクリーン製造株式会社 Chemical supply device
JP2674474B2 (en) 1993-07-29 1997-11-12 日本電気株式会社 Vapor growth method for strained quantum well semiconductor lasers
US5608943A (en) * 1993-08-23 1997-03-11 Tokyo Electron Limited Apparatus for removing process liquid
US5342068A (en) 1993-08-26 1994-08-30 Texas Instruments Incorporated Laminar flow vacuum chuck
US5418382A (en) 1993-09-23 1995-05-23 Fsi International, Inc. Substrate location and detection apparatus
JPH0727150U (en) * 1993-10-07 1995-05-19 大日本スクリーン製造株式会社 Silica-based coating liquid ejector
JPH07115058A (en) 1993-10-18 1995-05-02 Dainippon Screen Mfg Co Ltd Board cooling device
JP2845738B2 (en) * 1993-10-28 1999-01-13 大日本スクリーン製造株式会社 Substrate rotation holder for rotary substrate processing equipment
US5415890A (en) 1994-01-03 1995-05-16 Eaton Corporation Modular apparatus and method for surface treatment of parts with liquid baths
JPH07230173A (en) 1994-02-17 1995-08-29 Dainippon Screen Mfg Co Ltd Developing method and device
JPH07245285A (en) 1994-03-03 1995-09-19 Dainippon Screen Mfg Co Ltd Board processor
US5634377A (en) 1994-03-09 1997-06-03 Sony Corporation Articulated robot
US5626913A (en) 1994-03-09 1997-05-06 Tokyo Electron Limited Resist processing method and apparatus
US5431700A (en) 1994-03-30 1995-07-11 Fsi International, Inc. Vertical multi-process bake/chill apparatus
US5687085A (en) * 1994-04-08 1997-11-11 Dainippon Screen Mfg. Co., Ltd. Substrate processing apparatus and method
JP2994553B2 (en) 1994-04-08 1999-12-27 大日本スクリーン製造株式会社 Substrate processing equipment
JPH07297258A (en) 1994-04-26 1995-11-10 Tokyo Electron Ltd Carrying equipment of plate body
US5934856A (en) * 1994-05-23 1999-08-10 Tokyo Electron Limited Multi-chamber treatment system
JP3073886B2 (en) * 1994-05-30 2000-08-07 大日本スクリーン製造株式会社 Substrate heat treatment equipment
US6124211A (en) * 1994-06-14 2000-09-26 Fsi International, Inc. Cleaning method
JP3196917B2 (en) 1994-06-17 2001-08-06 大日本スクリーン製造株式会社 Substrate processing equipment
US5715173A (en) * 1994-06-27 1998-02-03 Dainippon Screen Mfg. Co., Ltd. Concentration controlling method and a substate treating apparatus utilizing same
US5688324A (en) 1994-07-15 1997-11-18 Dainippon Screen Mfg. Co., Ltd. Apparatus for coating substrate
FR2723005B1 (en) 1994-08-01 1996-09-13 Kodak Pathe LIQUID DISPENSING DEVICE BY GRAVITY AND PHOTOGRAPHIC COATING DEVICE
JP3116297B2 (en) * 1994-08-03 2000-12-11 東京エレクトロン株式会社 Processing method and processing apparatus
TW285779B (en) 1994-08-08 1996-09-11 Tokyo Electron Co Ltd
KR100262902B1 (en) 1994-08-31 2000-09-01 다카시마 히로시 Method and apparatus for developing treatment
JP3033009B2 (en) 1994-09-09 2000-04-17 東京エレクトロン株式会社 Processing equipment
TW294821B (en) 1994-09-09 1997-01-01 Tokyo Electron Co Ltd
JP3099054B2 (en) 1994-09-09 2000-10-16 東京エレクトロン株式会社 Coating apparatus and method
US5625433A (en) * 1994-09-29 1997-04-29 Tokyo Electron Limited Apparatus and method for developing resist coated on a substrate
JP3122868B2 (en) 1994-09-29 2001-01-09 東京エレクトロン株式会社 Coating device
US5620560A (en) * 1994-10-05 1997-04-15 Tokyo Electron Limited Method and apparatus for heat-treating substrate
JP3052116B2 (en) 1994-10-26 2000-06-12 東京エレクトロン株式会社 Heat treatment equipment
KR100370728B1 (en) 1994-10-27 2003-04-07 실리콘 밸리 그룹, 인크. Method of uniformly coating a substrate and device therefor
US5835684A (en) 1994-11-09 1998-11-10 Amada Company, Ltd. Method for planning/controlling robot motion
US6158446A (en) 1994-11-14 2000-12-12 Fsi International Ultra-low particle semiconductor cleaner
JPH08146613A (en) 1994-11-18 1996-06-07 Dainippon Screen Mfg Co Ltd Treating device for long size material
US5638687A (en) 1994-11-21 1997-06-17 Dainippon Screen Mfg. Co., Ltd. Substrate cooling method and apparatus
US6033475A (en) * 1994-12-27 2000-03-07 Tokyo Electron Limited Resist processing apparatus
US5849602A (en) 1995-01-13 1998-12-15 Tokyo Electron Limited Resist processing process
US5543022A (en) * 1995-01-17 1996-08-06 Hmt Technology Corporation Disc-handling apparatus
JP3276553B2 (en) * 1995-01-19 2002-04-22 東京エレクトロン株式会社 Processing device and processing method
US5618348A (en) * 1995-01-27 1997-04-08 Dainippon Screen Mfg. Co., Ltd. Air elimination system
JPH08222616A (en) 1995-02-13 1996-08-30 Dainippon Screen Mfg Co Ltd Substrate processor
JP3350278B2 (en) 1995-03-06 2002-11-25 大日本スクリーン製造株式会社 Substrate processing equipment
TW306011B (en) 1995-04-19 1997-05-21 Tokyo Electron Co Ltd
JPH08293534A (en) * 1995-04-20 1996-11-05 Tokyo Electron Ltd Conveying device for material to be treated
JP3401121B2 (en) 1995-04-21 2003-04-28 大日本スクリーン製造株式会社 Rotary coating device for substrates
US5853483A (en) 1995-05-02 1998-12-29 Dainippon Screen Mfg. Co., Ltd. Substrate spin treating method and apparatus
JP3028462B2 (en) 1995-05-12 2000-04-04 東京エレクトロン株式会社 Heat treatment equipment
JPH08316190A (en) 1995-05-18 1996-11-29 Dainippon Screen Mfg Co Ltd Substrate treatment apparatus
TW406216B (en) 1995-05-24 2000-09-21 Tokyo Electron Ltd Apparatus for coating resist on substrate
TW284907B (en) 1995-06-07 1996-09-01 Cauldron Lp Removal of material by polarized irradiation and back side application for radiation
US5843527A (en) 1995-06-15 1998-12-01 Dainippon Screen Mfg. Co., Ltd. Coating solution applying method and apparatus
US5763892A (en) 1995-06-19 1998-06-09 Dainippon Screen Manufacturing Company, Ltd. Ultraviolet irradiator for substrate, substrate treatment system, and method of irradiating substrate with ultraviolet light
US5741113A (en) 1995-07-10 1998-04-21 Kensington Laboratories, Inc. Continuously rotatable multiple link robot arm mechanism
US6098484A (en) 1995-07-10 2000-08-08 Kensington Laboratories, Inc. High torque, low hysteresis, multiple link robot arm mechanism
US5765444A (en) 1995-07-10 1998-06-16 Kensington Laboratories, Inc. Dual end effector, multiple link robot arm system with corner reacharound and extended reach capabilities
JPH0945611A (en) 1995-07-27 1997-02-14 Dainippon Screen Mfg Co Ltd Spin coater of substrate
JP3069945B2 (en) 1995-07-28 2000-07-24 東京エレクトロン株式会社 Processing equipment
JP2676334B2 (en) 1995-07-31 1997-11-12 住友重機械工業株式会社 Robot arm
JP3518948B2 (en) 1995-08-24 2004-04-12 大日本スクリーン製造株式会社 Substrate rotation processing equipment
US6113702A (en) * 1995-09-01 2000-09-05 Asm America, Inc. Wafer support system
US5788868A (en) 1995-09-04 1998-08-04 Dainippon Screen Mfg. Co., Ltd. Substrate transfer method and interface apparatus
US5700046A (en) 1995-09-13 1997-12-23 Silicon Valley Group, Inc. Wafer gripper
US5733024A (en) * 1995-09-13 1998-03-31 Silicon Valley Group, Inc. Modular system
JPH0990643A (en) 1995-09-27 1997-04-04 Dainippon Screen Mfg Co Ltd Substrate treating device
JP3552178B2 (en) 1995-09-27 2004-08-11 大日本スクリーン製造株式会社 Substrate storage cassette, interface mechanism and substrate processing device
JPH09107013A (en) * 1995-10-09 1997-04-22 Dainippon Screen Mfg Co Ltd Substrate transferer
FR2739562B1 (en) 1995-10-09 1998-04-24 Moreau Defarges Alain JET INJECTION DEVICE WITHOUT NEEDLE, INCLUDING AN OVER-MOLDED CARTRIDGE
JPH09106934A (en) 1995-10-12 1997-04-22 Dainippon Screen Mfg Co Ltd Wafer developing device
JP3227642B2 (en) 1995-10-13 2001-11-12 東京エレクトロン株式会社 Coating device
US5766524A (en) 1995-10-16 1998-06-16 Governors Of The University Of Alberta Reclamation of leftover concrete
US5788773A (en) 1995-10-25 1998-08-04 Dainippon Screen Mfg. Co., Ltd. Substrate spin treating method and apparatus
US5898588A (en) * 1995-10-27 1999-04-27 Dainippon Screen Mfg. Co. Method and apparatus for controlling substrate processing apparatus
KR100315007B1 (en) 1995-11-22 2002-02-28 이시다 아키라 Substrate detection and transfer apparatus in cassette and method thereof
JP3380663B2 (en) 1995-11-27 2003-02-24 大日本スクリーン製造株式会社 Substrate processing equipment
JP3892493B2 (en) * 1995-11-29 2007-03-14 大日本スクリーン製造株式会社 Substrate processing system
US5762684A (en) 1995-11-30 1998-06-09 Dainippon Screen Mfg. Co., Ltd. Treating liquid supplying method and apparatus
TW334359B (en) * 1995-12-04 1998-06-21 Dai Nippon Scolin Seizo Kk Apparatus and method for treating substrates
JPH09162118A (en) 1995-12-11 1997-06-20 Dainippon Screen Mfg Co Ltd Deaerator of treatment liquid for substrate
TW318258B (en) 1995-12-12 1997-10-21 Tokyo Electron Co Ltd
JP3462325B2 (en) * 1995-12-21 2003-11-05 大日本スクリーン製造株式会社 Substrate processing equipment
US5665220A (en) 1995-12-26 1997-09-09 General Motors Corporation Electrolytic magnesium production process
US5704493A (en) * 1995-12-27 1998-01-06 Dainippon Screen Mfg. Co., Ltd. Substrate holder
JP3575717B2 (en) 1995-12-28 2004-10-13 大日本スクリーン製造株式会社 Substrate processing equipment
JPH09213772A (en) 1996-01-30 1997-08-15 Dainippon Screen Mfg Co Ltd Board holder
US6228561B1 (en) 1996-02-01 2001-05-08 Tokyo Electron Limited Film forming method and film forming apparatus
US6075606A (en) * 1996-02-16 2000-06-13 Doan; Trung T. Endpoint detector and method for measuring a change in wafer thickness in chemical-mechanical polishing of semiconductor wafers and other microelectronic substrates
JP3377909B2 (en) 1996-02-28 2003-02-17 大日本スクリーン製造株式会社 Substrate processing equipment
US6102164A (en) 1996-02-28 2000-08-15 Applied Materials, Inc. Multiple independent robot assembly and apparatus for processing and transferring semiconductor wafers
JP3462657B2 (en) 1996-02-29 2003-11-05 大日本スクリーン製造株式会社 Thin film forming apparatus and thin film forming method
US5656093A (en) * 1996-03-08 1997-08-12 Applied Materials, Inc. Wafer spacing mask for a substrate support chuck and method of fabricating same
JP3476305B2 (en) 1996-03-18 2003-12-10 大日本スクリーン製造株式会社 Rotary substrate processing equipment
JP3218425B2 (en) 1996-03-25 2001-10-15 東京エレクトロン株式会社 Processing method and processing apparatus
DE19613620C2 (en) 1996-04-04 1998-04-16 Steag Micro Tech Gmbh Method and device for drying substrates
TW344097B (en) 1996-04-09 1998-11-01 Tokyo Electron Co Ltd Photoresist treating device of substrate and photoresist treating method
KR100274127B1 (en) 1996-04-23 2001-01-15 이시다 아키라 Substrate Temperature Control Method, Substrate Heat Treatment Apparatus and Substrate Support Apparatus
DE19654903C2 (en) 1996-04-24 1998-09-24 Steag Micro Tech Gmbh Device for treating substrates in a fluid container
US5844476A (en) 1996-04-25 1998-12-01 Chen; Shou-Shan Automobile deceleration indicating device
US5761023A (en) * 1996-04-25 1998-06-02 Applied Materials, Inc. Substrate support with pressure zones having reduced contact area and temperature feedback
US6176667B1 (en) * 1996-04-30 2001-01-23 Applied Materials, Inc. Multideck wafer processing system
JP3280883B2 (en) 1996-05-08 2002-05-13 東京エレクトロン株式会社 Development processing method and development processing apparatus
US6248398B1 (en) 1996-05-22 2001-06-19 Applied Materials, Inc. Coater having a controllable pressurized process chamber for semiconductor processing
JP3516195B2 (en) 1996-05-28 2004-04-05 東京エレクトロン株式会社 Method and apparatus for forming coating film
US5788453A (en) * 1996-05-30 1998-08-04 Applied Materials, Inc. Piezoelectric wafer gripping system for robot blades
US6181336B1 (en) * 1996-05-31 2001-01-30 Silicon Graphics, Inc. Database-independent, scalable, object-oriented architecture and API for managing digital multimedia assets
JP3597639B2 (en) * 1996-06-05 2004-12-08 大日本スクリーン製造株式会社 Substrate processing apparatus and substrate processing method
US6062798A (en) 1996-06-13 2000-05-16 Brooks Automation, Inc. Multi-level substrate processing apparatus
JP3343033B2 (en) * 1996-06-28 2002-11-11 大日本スクリーン製造株式会社 Substrate processing equipment
JPH1022358A (en) 1996-06-28 1998-01-23 Dainippon Screen Mfg Co Ltd Substrate processing apparatus
US6322119B1 (en) 1999-07-09 2001-11-27 Semitool, Inc. Robots for microelectronic workpiece handling
US6672820B1 (en) 1996-07-15 2004-01-06 Semitool, Inc. Semiconductor processing apparatus having linear conveyer system
US6318951B1 (en) 1999-07-09 2001-11-20 Semitool, Inc. Robots for microelectronic workpiece handling
WO1998005060A1 (en) * 1996-07-31 1998-02-05 The Board Of Trustees Of The Leland Stanford Junior University Multizone bake/chill thermal cycling module
EP0828189B1 (en) * 1996-08-14 2004-11-10 Mitsubishi Paper Mills, Ltd. Apparatus for processing photosensitive material
DE69710825T2 (en) 1996-08-14 2002-10-31 Mitsubishi Paper Mills Ltd Apparatus for processing photosensitive material
JP3442934B2 (en) * 1996-08-20 2003-09-02 大日本スクリーン製造株式会社 Substrate processing equipment
JP3227595B2 (en) 1996-08-20 2001-11-12 東京エレクトロン株式会社 Development processing method and development processing apparatus
JP3278714B2 (en) * 1996-08-30 2002-04-30 東京エレクトロン株式会社 Coating film forming equipment
JP3245769B2 (en) 1996-08-30 2002-01-15 東京エレクトロン株式会社 Liquid treatment method and apparatus
JP3245812B2 (en) 1996-08-30 2002-01-15 東京エレクトロン株式会社 Liquid treatment method and apparatus
JP3254574B2 (en) 1996-08-30 2002-02-12 東京エレクトロン株式会社 Method and apparatus for forming coating film
JPH1074818A (en) 1996-09-02 1998-03-17 Tokyo Electron Ltd Treating device
US5938847A (en) 1996-09-03 1999-08-17 Tokyo Electron Limited Method and apparatus for coating a film on an object being processed
JP3202929B2 (en) * 1996-09-13 2001-08-27 東京エレクトロン株式会社 Processing system
TW535216B (en) * 1996-09-13 2003-06-01 Tokyo Electron Ltd Photoresist processing method and photoresist processing system
JP3947761B2 (en) * 1996-09-26 2007-07-25 株式会社日立国際電気 Substrate processing apparatus, substrate transfer machine, and substrate processing method
US6053058A (en) * 1996-09-30 2000-04-25 Dainippon Screen Mfg. Co., Ltd. Atmosphere concentration monitoring for substrate processing apparatus and life determination for atmosphere processing unit of substrate processing apparatus
KR100277522B1 (en) 1996-10-08 2001-01-15 이시다 아키라 Substrate Processing Equipment
CH697146A5 (en) * 1996-10-09 2008-05-15 Tec Sem Ag Gripping device for handling wafers.
US5928389A (en) 1996-10-21 1999-07-27 Applied Materials, Inc. Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool
JP3420900B2 (en) 1996-10-21 2003-06-30 大日本スクリーン製造株式会社 Coating liquid application method
JP3540524B2 (en) 1996-10-28 2004-07-07 大日本スクリーン製造株式会社 Substrate processing apparatus and substrate processing method
US5756444A (en) 1996-11-01 1998-05-26 The Procter & Gamble Company Granular laundry detergent compositions which are substantially free of phosphate and aluminosilicate builders
JP3471543B2 (en) 1996-11-07 2003-12-02 大日本スクリーン製造株式会社 Rotary substrate drying equipment
JPH10144757A (en) 1996-11-08 1998-05-29 Dainippon Screen Mfg Co Ltd Substrate processing device
JPH10144599A (en) 1996-11-11 1998-05-29 Tokyo Electron Ltd Rotary treatment equipment and its washing method
US5961269A (en) 1996-11-18 1999-10-05 Applied Materials, Inc. Three chamber load lock apparatus
US5838121A (en) 1996-11-18 1998-11-17 Applied Materials, Inc. Dual blade robot
JP3315608B2 (en) 1996-11-20 2002-08-19 大日本スクリーン製造株式会社 Coating liquid application method
US6258167B1 (en) 1996-11-27 2001-07-10 Tokyo Electron Limited Process liquid film forming apparatus
JP3245813B2 (en) 1996-11-27 2002-01-15 東京エレクトロン株式会社 Coating film forming equipment
NL1004657C2 (en) * 1996-11-29 1998-06-03 Food Processing Systems Device for transferring substantially round, fragile objects, such as, for example, eggs.
JP3566475B2 (en) * 1996-12-03 2004-09-15 東京エレクトロン株式会社 Processing equipment
TW382749B (en) * 1996-12-24 2000-02-21 Tokyo Electron Ltd Liquid supplying device
JP3333121B2 (en) 1996-12-25 2002-10-07 東京エレクトロン株式会社 Coating device
JP3429964B2 (en) * 1996-12-26 2003-07-28 大日本スクリーン製造株式会社 Substrate processing equipment
KR100283442B1 (en) 1996-12-26 2001-04-02 이시다 아키라 Developing apparatus and developing method
JP3490582B2 (en) * 1997-01-28 2004-01-26 大日本スクリーン製造株式会社 Substrate processing equipment
TW357389B (en) 1996-12-27 1999-05-01 Tokyo Electric Ltd Apparatus and method for supplying process solution to surface of substrate to be processed
TW464944B (en) 1997-01-16 2001-11-21 Tokyo Electron Ltd Baking apparatus and baking method
JPH10209102A (en) 1997-01-17 1998-08-07 Dainippon Screen Mfg Co Ltd Substrate processor
JP3579228B2 (en) * 1997-01-24 2004-10-20 大日本スクリーン製造株式会社 Substrate processing equipment
JP3578577B2 (en) 1997-01-28 2004-10-20 大日本スクリーン製造株式会社 Processing solution supply method and apparatus
TW389949B (en) * 1997-01-30 2000-05-11 Tokyo Electron Ltd Method and apparatus for coating and development of the photo-resist solution
US6217655B1 (en) * 1997-01-31 2001-04-17 Applied Materials, Inc. Stand-off pad for supporting a wafer on a substrate support chuck
JP3559133B2 (en) * 1997-01-31 2004-08-25 大日本スクリーン製造株式会社 Heat treatment equipment and substrate processing equipment
JP3410342B2 (en) * 1997-01-31 2003-05-26 東京エレクトロン株式会社 Coating device
JP3280880B2 (en) 1997-02-07 2002-05-13 東京エレクトロン株式会社 Degassing mechanism and processing apparatus using the same
JP3321540B2 (en) 1997-02-14 2002-09-03 東京エレクトロン株式会社 Deaeration mechanism, processing apparatus using the same, and deaeration method
US5955858A (en) * 1997-02-14 1999-09-21 Applied Materials, Inc. Mechanically clamping robot wrist
JP3346716B2 (en) 1997-02-14 2002-11-18 東京エレクトロン株式会社 Substrate cooling method and substrate cooling device
DE69814710T2 (en) 1997-03-03 2004-03-18 Tokyo Electron Ltd. Coating device and method
TW383414B (en) 1997-03-05 2000-03-01 Tokyo Electron Ltd Photoresist agent processing method and photoresist agent processing system and evaluation method and processing apparatus for photoresist agent film
WO2004075285A1 (en) 1997-03-07 2004-09-02 Takuya Shibao Substrate treating device
JP3442253B2 (en) 1997-03-13 2003-09-02 東京エレクトロン株式会社 Substrate processing equipment
JP3526184B2 (en) 1997-03-17 2004-05-10 大日本スクリーン製造株式会社 Substrate processing equipment
JP3693783B2 (en) * 1997-03-21 2005-09-07 大日本スクリーン製造株式会社 Substrate processing equipment
JP3548373B2 (en) 1997-03-24 2004-07-28 大日本スクリーン製造株式会社 Substrate processing equipment
US5944476A (en) 1997-03-26 1999-08-31 Kensington Laboratories, Inc. Unitary specimen prealigner and continuously rotatable multiple link robot arm mechanism
TW432520B (en) 1997-03-31 2001-05-01 Tokyo Electron Ltd Photoresist coating method and apparatus
US5980187A (en) 1997-04-16 1999-11-09 Kla-Tencor Corporation Mechanism for transporting semiconductor-process masks
JP3549141B2 (en) * 1997-04-21 2004-08-04 大日本スクリーン製造株式会社 Substrate processing device and substrate holding device
JP3715073B2 (en) 1997-04-22 2005-11-09 大日本スクリーン製造株式会社 Heat treatment equipment
JP3612196B2 (en) 1997-04-28 2005-01-19 大日本スクリーン製造株式会社 Developing apparatus, developing method, and substrate processing apparatus
TW419716B (en) 1997-04-28 2001-01-21 Tokyo Electron Ltd Processing apparatus
US6207231B1 (en) * 1997-05-07 2001-03-27 Tokyo Electron Limited Coating film forming method and coating apparatus
KR100265757B1 (en) 1997-05-09 2000-09-15 윤종용 Wafer status checking sensor for prevention of miss loading in wafer processing equipment
JP3917237B2 (en) * 1997-05-20 2007-05-23 東京エレクトロン株式会社 Resist film forming method
US6168667B1 (en) 1997-05-30 2001-01-02 Tokyo Electron Limited Resist-processing apparatus
JPH10335220A (en) * 1997-05-30 1998-12-18 Tokyo Electron Ltd Processing device
JP3737604B2 (en) 1997-06-03 2006-01-18 大日本スクリーン製造株式会社 Substrate processing equipment
US6468353B1 (en) 1997-06-04 2002-10-22 Applied Materials, Inc. Method and apparatus for improved substrate handling
JPH113851A (en) 1997-06-11 1999-01-06 Tokyo Electron Ltd Liquid treatment device and liquid treatment method
US6073187A (en) 1997-06-20 2000-06-06 Compaq Computer Corporation Controls and indicators available to a user for a secondary operational mode of a portable computer which is open or closed state of the computer case
TW396382B (en) 1997-07-03 2000-07-01 Tokyo Electron Ltd Solution treatment apparatus
JPH1126550A (en) * 1997-07-04 1999-01-29 Tokyo Electron Ltd Substrate conveyer and apparatus for treating substrate, using the same
SG71808A1 (en) * 1997-07-04 2000-04-18 Tokyo Electron Ltd Centrifugal coating apparatus with detachable outer cup
TW421818B (en) 1997-07-04 2001-02-11 Tokyo Electron Ltd Process solution supplying apparatus
US5988971A (en) 1997-07-09 1999-11-23 Ade Optical Systems Corporation Wafer transfer robot
TW524873B (en) * 1997-07-11 2003-03-21 Applied Materials Inc Improved substrate supporting apparatus and processing chamber
US5869311A (en) 1997-07-17 1999-02-09 Incyte Pharmaceuticals, Inc. Mitochondrial processing peptidase subunit
JPH1133471A (en) 1997-07-23 1999-02-09 Tokyo Electron Ltd Coating apparatus
US6076979A (en) 1997-07-25 2000-06-20 Dainippon Screen Mfg. Co., Ltd. Method of and apparatus for supplying developing solution onto substrate
JP3265238B2 (en) * 1997-08-01 2002-03-11 東京エレクトロン株式会社 Liquid film forming apparatus and method
US6159291A (en) 1997-08-11 2000-12-12 Dainippon Screen Mfg. Co., Ltd. Substrate treating apparatus
TW442336B (en) 1997-08-19 2001-06-23 Tokyo Electron Ltd Film forming method
US6017437A (en) * 1997-08-22 2000-01-25 Cutek Research, Inc. Process chamber and method for depositing and/or removing material on a substrate
TW459266B (en) 1997-08-27 2001-10-11 Tokyo Electron Ltd Substrate processing method
JPH11129184A (en) 1997-09-01 1999-05-18 Dainippon Screen Mfg Co Ltd Substrate processing device and substrate carrying-in/ out device
US6354311B1 (en) * 1997-09-10 2002-03-12 Dainippon Screen Mfg. Co., Ltd. Substrate drying apparatus and substrate processing apparatus
US6213853B1 (en) * 1997-09-10 2001-04-10 Speedfam-Ipec Corporation Integral machine for polishing, cleaning, rinsing and drying workpieces
JP3788855B2 (en) 1997-09-11 2006-06-21 大日本スクリーン製造株式会社 Substrate processing unit and substrate processing apparatus using the same
US6571147B1 (en) 1997-09-22 2003-05-27 Dainippon Screen Mfg. Co., Ltd. System for and method of managing jobs
US6155773A (en) 1997-09-22 2000-12-05 Applied Materials, Inc. Substrate clamping apparatus
US6000227A (en) * 1997-09-24 1999-12-14 Applied Materials, Inc. Wafer cooling in a transfer chamber of a vacuum processing system
US5962070A (en) 1997-09-25 1999-10-05 Dainippon Screen Mfg. Co., Ltd. Substrate treating method and apparatus
US5903428A (en) * 1997-09-25 1999-05-11 Applied Materials, Inc. Hybrid Johnsen-Rahbek electrostatic chuck having highly resistive mesas separating the chuck from a wafer supported thereupon and method of fabricating same
JP3988805B2 (en) 1997-10-02 2007-10-10 大日本スクリーン製造株式会社 Substrate transfer method and apparatus
US6174371B1 (en) * 1997-10-06 2001-01-16 Dainippon Screen Mfg. Co., Ltd. Substrate treating method and apparatus
JP3641115B2 (en) 1997-10-08 2005-04-20 大日本スクリーン製造株式会社 Substrate processing equipment
US6260562B1 (en) 1997-10-20 2001-07-17 Dainippon Screen Mfg. Co., Ltd. Substrate cleaning apparatus and method
US6199568B1 (en) * 1997-10-20 2001-03-13 Dainippon Screen Mfg. Co., Ltd. Treating tank, and substrate treating apparatus having the treating tank
US6165273A (en) 1997-10-21 2000-12-26 Fsi International Inc. Equipment for UV wafer heating and photochemistry
US6491491B1 (en) 1997-10-30 2002-12-10 Sankyo Seiki Mfg. Co., Ltd. Articulated robot
TW418452B (en) 1997-10-31 2001-01-11 Tokyo Electron Ltd Coating process
TW392226B (en) * 1997-11-05 2000-06-01 Tokyo Electron Ltd Apparatus for processing substrate
FI980342A0 (en) * 1997-11-07 1998-02-13 Borealis As Polymerroer och -roerkopplingar
US6352083B1 (en) * 1997-11-20 2002-03-05 Dainippon Screen Mfg. Co., Ltd. Substrate treating apparatus and substrate treating method
US6116848A (en) * 1997-11-26 2000-09-12 Brooks Automation, Inc. Apparatus and method for high-speed transfer and centering of wafer substrates
US6315512B1 (en) 1997-11-28 2001-11-13 Mattson Technology, Inc. Systems and methods for robotic transfer of workpieces between a storage area and a processing chamber
US6257827B1 (en) * 1997-12-01 2001-07-10 Brooks Automation Inc. Apparatus and method for transporting substrates
JP3320648B2 (en) 1997-12-04 2002-09-03 東京エレクトロン株式会社 Resist film forming method and resist film forming apparatus
US6177133B1 (en) * 1997-12-10 2001-01-23 Silicon Valley Group, Inc. Method and apparatus for adaptive process control of critical dimensions during spin coating process
US6248168B1 (en) 1997-12-15 2001-06-19 Tokyo Electron Limited Spin coating apparatus including aging unit and solvent replacement unit
JP4178534B2 (en) 1997-12-24 2008-11-12 株式会社安川電機 Substrate transfer robot
US6190063B1 (en) * 1998-01-09 2001-02-20 Tokyo Electron Ltd. Developing method and apparatus
US6222161B1 (en) 1998-01-12 2001-04-24 Tokyo Electron Limited Heat treatment apparatus
JP3329720B2 (en) 1998-01-19 2002-09-30 東京エレクトロン株式会社 Coating device
JP3323797B2 (en) 1998-01-21 2002-09-09 東京エレクトロン株式会社 Hydrophobic treatment device
US5923515A (en) 1998-01-27 1999-07-13 Lucent Technologies Inc. Battery protection fuse assembly
JP3246891B2 (en) 1998-02-03 2002-01-15 東京エレクトロン株式会社 Heat treatment equipment
JP3356676B2 (en) 1998-02-04 2002-12-16 東京エレクトロン株式会社 Development processing method and apparatus
US6291800B1 (en) * 1998-02-20 2001-09-18 Tokyo Electron Limited Heat treatment apparatus and substrate processing system
US6132165A (en) * 1998-02-23 2000-10-17 Applied Materials, Inc. Single drive, dual plane robot
US6018616A (en) * 1998-02-23 2000-01-25 Applied Materials, Inc. Thermal cycling module and process using radiant heat
US6062240A (en) 1998-03-06 2000-05-16 Tokyo Electron Limited Treatment device
JPH11260707A (en) 1998-03-09 1999-09-24 Tokyo Electron Ltd Method and apparatus for development
US6359264B1 (en) * 1998-03-11 2002-03-19 Applied Materials, Inc. Thermal cycling module
US6368776B1 (en) * 1998-03-18 2002-04-09 Tokyo Electron Limited Treatment apparatus and treatment method
JPH11274024A (en) * 1998-03-18 1999-10-08 Tokyo Electron Ltd Method and device for supplying treatment liquid
US6261365B1 (en) 1998-03-20 2001-07-17 Tokyo Electron Limited Heat treatment method, heat treatment apparatus and treatment system
US6221787B1 (en) * 1998-04-20 2001-04-24 Tokyo Electron Limited Apparatus and method of forming resist film
US6108932A (en) 1998-05-05 2000-08-29 Steag Microtech Gmbh Method and apparatus for thermocapillary drying
US6336204B1 (en) * 1998-05-07 2002-01-01 Applied Materials, Inc. Method and apparatus for handling deadlocks in multiple chamber cluster tools
TW410415B (en) 1998-05-15 2000-11-01 Tokyo Electron Ltd Substrate carrying equipment and substrate processing equipment
JP3381776B2 (en) * 1998-05-19 2003-03-04 東京エレクトロン株式会社 Processing device and processing method
US6635113B2 (en) 1998-05-19 2003-10-21 Tokyo Electron Limited Coating apparatus and coating method
US6266125B1 (en) 1998-05-25 2001-07-24 Tokyo Electron Limited Resist processing method and apparatus
JPH11340119A (en) 1998-05-26 1999-12-10 Tokyo Electron Ltd Method and device for development processing
US6109677A (en) 1998-05-28 2000-08-29 Sez North America, Inc. Apparatus for handling and transporting plate like substrates
US5989763A (en) * 1998-05-28 1999-11-23 National Semicondustor Corporation Chemical gas analysis during processing of chemically amplified photoresist systems
JP3364155B2 (en) 1998-06-05 2003-01-08 東京エレクトロン株式会社 Coating film forming apparatus and method
US6183147B1 (en) * 1998-06-15 2001-02-06 Tokyo Electron Limited Process solution supply system, substrate processing apparatus employing the system, and intermediate storage mechanism employed in the system
US6142722A (en) 1998-06-17 2000-11-07 Genmark Automation, Inc. Automated opening and closing of ultra clean storage containers
US6416583B1 (en) 1998-06-19 2002-07-09 Tokyo Electron Limited Film forming apparatus and film forming method
JP3445937B2 (en) 1998-06-24 2003-09-16 東京エレクトロン株式会社 Multi-stage spin type substrate processing system
JP3333135B2 (en) 1998-06-25 2002-10-07 東京エレクトロン株式会社 Heat treatment apparatus and heat treatment method
JP3461725B2 (en) * 1998-06-26 2003-10-27 東京エレクトロン株式会社 Treatment liquid supply device and treatment liquid supply method
US6167322A (en) * 1998-07-10 2000-12-26 Holbrooks; Orville Ray Intelligent wafer handling system and method
US6450755B1 (en) 1998-07-10 2002-09-17 Equipe Technologies Dual arm substrate handling robot with a batch loader
US6318957B1 (en) 1998-07-10 2001-11-20 Asm America, Inc. Method for handling of wafers with minimal contact
US6246030B1 (en) 1998-07-22 2001-06-12 Tokyo Electron Limited Heat processing method and apparatus
TW428216B (en) 1998-07-29 2001-04-01 Tokyo Electron Ltd Substrate process method and substrate process apparatus
US6361600B1 (en) * 1998-08-04 2002-03-26 Tokyo Electron Limited Film forming apparatus and film forming method
JP2000056474A (en) 1998-08-05 2000-02-25 Tokyo Electron Ltd Method for treating substrate
KR100535714B1 (en) 1998-08-10 2005-12-09 동경 엘렉트론 주식회사 Substrate process apparatus
KR100537040B1 (en) 1998-08-19 2005-12-16 동경 엘렉트론 주식회사 Developing apparatus
JP3574570B2 (en) * 1998-08-20 2004-10-06 東京応化工業株式会社 Processing unit
JP3453069B2 (en) 1998-08-20 2003-10-06 東京エレクトロン株式会社 Substrate temperature controller
US6540468B1 (en) 1998-09-02 2003-04-01 Tec-Sem Ag Device and method for handling individual wafers
US6402844B1 (en) 1998-09-08 2002-06-11 Tokyo Electron Limited Substrate processing method and substrate processing unit
US6185370B1 (en) * 1998-09-09 2001-02-06 Tokyo Electron Limited Heating apparatus for heating an object to be processed
US6203969B1 (en) * 1998-09-14 2001-03-20 Tokyo Electron Limited Resist processing apparatus which measures temperature of heat-sensing substrate and measuring method therein
US6254936B1 (en) 1998-09-14 2001-07-03 Silicon Valley Group, Inc. Environment exchange control for material on a wafer surface
US6780461B2 (en) 1998-09-14 2004-08-24 Asml Holding N.V. Environment exchange control for material on a wafer surface
US6689215B2 (en) * 1998-09-17 2004-02-10 Asml Holdings, N.V. Method and apparatus for mitigating cross-contamination between liquid dispensing jets in close proximity to a surface
JP3442669B2 (en) 1998-10-20 2003-09-02 東京エレクトロン株式会社 Substrate processing equipment
US6235641B1 (en) 1998-10-30 2001-05-22 Fsi International Inc. Method and system to control the concentration of dissolved gas in a liquid
JP2000141270A (en) 1998-11-06 2000-05-23 Matsushita Electric Ind Co Ltd Articulated robot
JP3458063B2 (en) 1998-11-20 2003-10-20 東京エレクトロン株式会社 Coating device and coating method
US6302960B1 (en) 1998-11-23 2001-10-16 Applied Materials, Inc. Photoresist coater
US6348113B1 (en) 1998-11-25 2002-02-19 Cabot Corporation High purity tantalum, products containing the same, and methods of making the same
US6329692B1 (en) 1998-11-30 2001-12-11 Motorola Inc. Circuit and method for reducing parasitic bipolar effects during eletrostatic discharges
JP3800282B2 (en) 1998-11-30 2006-07-26 大日本スクリーン製造株式会社 Coating liquid application method
EP1135795B1 (en) * 1998-12-02 2008-03-12 Newport Corporation Specimen holding robotic arm end effector
US6256555B1 (en) * 1998-12-02 2001-07-03 Newport Corporation Robot arm with specimen edge gripping end effector
US6454332B1 (en) 1998-12-04 2002-09-24 Applied Materials, Inc. Apparatus and methods for handling a substrate
JP3041613B1 (en) 1998-12-21 2000-05-15 セイコーインスツルメンツ株式会社 Line printer device
US6533531B1 (en) 1998-12-29 2003-03-18 Asml Us, Inc. Device for handling wafers in microelectronic manufacturing
US6616394B1 (en) 1998-12-30 2003-09-09 Silicon Valley Group Apparatus for processing wafers
US6418356B1 (en) 1998-12-31 2002-07-09 Silicon Valley Group, Inc. Method and apparatus for resolving conflicts in a substrate processing system
US6678572B1 (en) * 1998-12-31 2004-01-13 Asml Holdings, N.V. Recipe cascading in a wafer processing system
US6768930B2 (en) 1998-12-31 2004-07-27 Asml Holding N.V. Method and apparatus for resolving conflicts in a substrate processing system
US6087632A (en) 1999-01-11 2000-07-11 Tokyo Electron Limited Heat processing device with hot plate and associated reflector
US6228171B1 (en) 1999-01-29 2001-05-08 Tokyo Electron Ltd. Heat processing apparatus
JP3455458B2 (en) 1999-02-01 2003-10-14 東京エレクトロン株式会社 Coating and developing apparatus and substrate recycling system in coating and developing processing
US6410194B1 (en) 1999-02-04 2002-06-25 Tokyo Electron Limited Resist film forming method and resist coating apparatus
US6427096B1 (en) 1999-02-12 2002-07-30 Honeywell International Inc. Processing tool interface apparatus for use in manufacturing environment
US6654668B1 (en) 1999-02-16 2003-11-25 Tokyo Electron Limited Processing apparatus, processing system, distinguishing method, and detecting method
US6169274B1 (en) * 1999-03-01 2001-01-02 Tokyo Electron Ltd. Heat treatment apparatus and method, detecting temperatures at plural positions each different in depth in holding plate, and estimating temperature of surface of plate corresponding to detected result
JP2000260858A (en) 1999-03-12 2000-09-22 Sumitomo Heavy Ind Ltd Wafer transfer hand and wafer transfer method using the same
US6398429B1 (en) 1999-03-15 2002-06-04 Tokyo Electron Limited Developing method and developing apparatus
US6322312B1 (en) 1999-03-18 2001-11-27 Applied Materials, Inc. Mechanical gripper for wafer handling robots
JP3393082B2 (en) 1999-04-02 2003-04-07 東京エレクトロン株式会社 Developing method and developing device
US6610150B1 (en) * 1999-04-02 2003-08-26 Asml Us, Inc. Semiconductor wafer processing system with vertically-stacked process chambers and single-axis dual-wafer transfer system
KR100585448B1 (en) * 1999-04-08 2006-06-02 동경 엘렉트론 주식회사 Film forming method and film forming apparatus
US6319317B1 (en) 1999-04-19 2001-11-20 Tokyo Electron Limited Coating film forming method and coating apparatus
US6575177B1 (en) * 1999-04-27 2003-06-10 Applied Materials Inc. Semiconductor substrate cleaning system
JP4021118B2 (en) 1999-04-28 2007-12-12 東京エレクトロン株式会社 Substrate processing equipment
JP3587723B2 (en) 1999-04-30 2004-11-10 東京エレクトロン株式会社 Substrate processing apparatus and substrate processing method
US6499777B1 (en) * 1999-05-11 2002-12-31 Matrix Integrated Systems, Inc. End-effector with integrated cooling mechanism
US6191394B1 (en) * 1999-05-19 2001-02-20 Tokyo Electron Ltd. Heat treating apparatus
JP3616275B2 (en) 1999-05-31 2005-02-02 東京エレクトロン株式会社 Liquid treatment apparatus, treatment liquid supply nozzle used therefor, and liquid treatment method
US6382849B1 (en) 1999-06-09 2002-05-07 Tokyo Electron Limited Developing method and developing apparatus
US6656281B1 (en) 1999-06-09 2003-12-02 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
US6464789B1 (en) 1999-06-11 2002-10-15 Tokyo Electron Limited Substrate processing apparatus
US6238109B1 (en) 1999-07-02 2001-05-29 Tokyo Electron Limited Processing solution supply apparatus
US6293713B1 (en) 1999-07-02 2001-09-25 Tokyo Electron Limited Substrate processing apparatus
JP3957445B2 (en) * 1999-07-02 2007-08-15 東京エレクトロン株式会社 Substrate processing apparatus and substrate processing method
US6166509A (en) 1999-07-07 2000-12-26 Applied Materials, Inc. Detection system for substrate clamp
US6251195B1 (en) 1999-07-12 2001-06-26 Fsi International, Inc. Method for transferring a microelectronic device to and from a processing chamber
US6475279B1 (en) 1999-07-19 2002-11-05 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
KR100629746B1 (en) 1999-07-28 2006-09-28 동경 엘렉트론 주식회사 Developing apparatus and method thereof
KR100597287B1 (en) 1999-07-28 2006-07-04 동경 엘렉트론 주식회사 Substrate processing apparatus and method
US6383948B1 (en) 1999-12-20 2002-05-07 Tokyo Electron Limited Coating film forming apparatus and coating film forming method
US6716478B2 (en) 1999-08-04 2004-04-06 Tokyo Electron Limited Coating film forming apparatus and coating film forming method
US6450805B1 (en) 1999-08-11 2002-09-17 Tokyo Electron Limited Hot plate cooling method and heat processing apparatus
US6474986B2 (en) 1999-08-11 2002-11-05 Tokyo Electron Limited Hot plate cooling method and heat processing apparatus
US6312171B1 (en) 1999-08-12 2001-11-06 Tokyo Electron Limited Developing apparatus and method thereof
TW480584B (en) 1999-08-17 2002-03-21 Tokyo Electron Ltd Solution processing apparatus and method
US6313441B1 (en) 1999-08-18 2001-11-06 Applied Materials, Inc. Control system and method for providing variable ramp rate operation of a thermal cycling system
JP2001060542A (en) 1999-08-19 2001-03-06 Tokyo Electron Ltd Method for forming resist pattern
US6196532B1 (en) 1999-08-27 2001-03-06 Applied Materials, Inc. 3 point vacuum chuck with non-resilient support members
KR100700764B1 (en) 1999-09-03 2007-03-27 동경 엘렉트론 주식회사 Substrate processing apparatus and substrate processing method
US6536964B1 (en) 1999-09-03 2003-03-25 Tokyo Electron Limited Substrate processing system and substrate processing method
TW476983B (en) 1999-09-30 2002-02-21 Tokyo Electron Ltd Heat treatment unit and heat treatment method
US6514343B1 (en) * 1999-10-01 2003-02-04 Tokyo Electron Limited Coating apparatus
JP3635217B2 (en) * 1999-10-05 2005-04-06 東京エレクトロン株式会社 Liquid processing apparatus and method
US6402400B1 (en) 1999-10-06 2002-06-11 Tokyo Electron Limited Substrate processing apparatus
JP2001110793A (en) 1999-10-12 2001-04-20 Dainippon Screen Mfg Co Ltd Heat treatment device and substrate treatment device
US6402401B1 (en) 1999-10-19 2002-06-11 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
US6527860B1 (en) 1999-10-19 2003-03-04 Tokyo Electron Limited Substrate processing apparatus
US6364547B1 (en) * 1999-10-25 2002-04-02 Tokyo Electron Limited Solution processing apparatus
TW487950B (en) 1999-10-25 2002-05-21 Tokyo Electron Ltd Substrate processing system and substrate processing method
TW471015B (en) 1999-10-26 2002-01-01 Tokyo Electron Ltd Solution processing apparatus
US6278089B1 (en) 1999-11-02 2001-08-21 Applied Materials, Inc. Heater for use in substrate processing
KR100616293B1 (en) * 1999-11-11 2006-08-28 동경 엘렉트론 주식회사 Substrate processing apparatus and substrate processing method
KR100583134B1 (en) 1999-11-16 2006-05-24 동경 엘렉트론 주식회사 Substrate Processing Unit and Processing Method
US6461438B1 (en) 1999-11-18 2002-10-08 Tokyo Electron Limited Heat treatment unit, cooling unit and cooling treatment method
KR100728244B1 (en) 1999-11-18 2007-06-13 동경 엘렉트론 주식회사 Silylation treatment unit and method
JP4090648B2 (en) 1999-11-18 2008-05-28 東京エレクトロン株式会社 Film forming method and film forming apparatus
US6597179B2 (en) 1999-11-19 2003-07-22 Gelcore, Llc Method and device for remote monitoring of LED lamps
JP3306398B2 (en) 1999-11-29 2002-07-24 大日本スクリーン製造株式会社 Substrate transfer device and transfer teaching system
US6402508B2 (en) 1999-12-09 2002-06-11 Tokyo Electron Limited Heat and cooling treatment apparatus and substrate processing system
US6695922B2 (en) * 1999-12-15 2004-02-24 Tokyo Electron Limited Film forming unit
US6514344B2 (en) * 1999-12-16 2003-02-04 Tokyo Electron Limited Film forming unit
US6616760B2 (en) 1999-12-17 2003-09-09 Tokyo Electron Limited Film forming unit
US6676757B2 (en) * 1999-12-17 2004-01-13 Tokyo Electron Limited Coating film forming apparatus and coating unit
TW475212B (en) 1999-12-17 2002-02-01 Tokyo Electron Ltd Coating film forming apparatus
WO2001048800A1 (en) 1999-12-24 2001-07-05 Ebara Corporation Semiconductor wafer processing apparatus and processing method
US20020152955A1 (en) 1999-12-30 2002-10-24 Yezdi Dordi Apparatus and method for depositing an electroless solution
US6384894B2 (en) 2000-01-21 2002-05-07 Tokyo Electron Limited Developing method and developing unit
GB0002669D0 (en) * 2000-02-04 2000-03-29 Applied Materials Inc A method and apparatus for implanting semiconductor wafer substrates
JP3437812B2 (en) * 2000-02-07 2003-08-18 タツモ株式会社 Substrate transfer device
JP2001230191A (en) 2000-02-18 2001-08-24 Tokyo Electron Ltd Method and apparatus for supplying treatment liquid
JP3842512B2 (en) 2000-02-24 2006-11-08 オムロン株式会社 Fluid heating device
KR100462237B1 (en) 2000-02-28 2004-12-17 주성엔지니어링(주) Cluster tool for semiconductor device fabrication having a substrate cooling apparatus
JP4054159B2 (en) 2000-03-08 2008-02-27 東京エレクトロン株式会社 Substrate processing method and apparatus
US6401353B2 (en) 2000-03-08 2002-06-11 Dainippon Screen Mfg. Co., Ltd. Substrate dryer
JP2001257144A (en) 2000-03-09 2001-09-21 Tokyo Electron Ltd Heat treatment apparatus for substrate
US6634806B2 (en) 2000-03-13 2003-10-21 Tokyo Electron Limited Substrate processing method and substrate processing apparatus
US6327793B1 (en) 2000-03-20 2001-12-11 Silicon Valley Group Method for two dimensional adaptive process control of critical dimensions during spin coating process
JP3706294B2 (en) 2000-03-27 2005-10-12 東京エレクトロン株式会社 Treatment liquid supply apparatus and treatment liquid supply method
AU2001247687A1 (en) 2000-03-30 2001-10-15 Tokyo Electron Limited Dry silylation plasma etch process
SG105487A1 (en) 2000-03-30 2004-08-27 Tokyo Electron Ltd Substrate processing apparatus and substrate processing method
JP2001291655A (en) 2000-04-07 2001-10-19 Tokyo Electron Ltd Method for evaluating hydrophobic treatment, method for forming resist pattern, and formation system for the resist pattern
JP3792986B2 (en) 2000-04-11 2006-07-05 東京エレクトロン株式会社 Film forming method and film forming apparatus
JP3519669B2 (en) 2000-04-25 2004-04-19 東京エレクトロン株式会社 Development processing method and development processing apparatus
US6827142B2 (en) * 2000-04-27 2004-12-07 Innoventor Engineering, Inc. Process and apparatus for achieving precision temperature control
US6878501B2 (en) 2000-04-27 2005-04-12 Shin-Etsu Chemical Co., Ltd. Polymer, chemically amplified resist composition and patterning process
US6689519B2 (en) * 2000-05-04 2004-02-10 Kla-Tencor Technologies Corp. Methods and systems for lithography process control
US6572205B2 (en) * 2000-05-09 2003-06-03 Sony Computer Entertainment Inc. Electronic device cabinet and electronic device
JP3545676B2 (en) 2000-05-10 2004-07-21 東京エレクトロン株式会社 Development processing apparatus and development processing method
JP3648129B2 (en) * 2000-05-10 2005-05-18 東京エレクトロン株式会社 Coating development processing method and coating development processing system
US6579370B2 (en) 2000-05-16 2003-06-17 Tokyo Electron Limited Apparatus and method for coating treatment
JP2001345241A (en) * 2000-05-31 2001-12-14 Tokyo Electron Ltd System and method for treating substrate
JP2001351848A (en) * 2000-06-07 2001-12-21 Tokyo Electron Ltd Substrate treatment system and substrate treatment method
US6706321B2 (en) 2000-06-13 2004-03-16 Tokyo Electron Limited Developing treatment method and developing treatment unit
JP2001358197A (en) 2000-06-16 2001-12-26 Tokyo Electron Ltd Substrate-processing apparatus
JP3585217B2 (en) 2000-07-03 2004-11-04 東京エレクトロン株式会社 Substrate processing equipment
JP3581303B2 (en) 2000-07-31 2004-10-27 東京エレクトロン株式会社 Discrimination method and processing device
JP2002134402A (en) * 2000-08-15 2002-05-10 Tokyo Electron Ltd Substrate processing method and device thereof
US6460805B1 (en) * 2000-08-24 2002-10-08 Msa Aircraft Products, Ltd. Double convex aircraft window
KR100885082B1 (en) 2000-09-01 2009-02-25 어사이스트 테크놀로지스, 인코포레이티드 Edge grip aligner with buffering capabilities
JP4004248B2 (en) 2000-09-01 2007-11-07 大日本スクリーン製造株式会社 Substrate processing apparatus and substrate inspection method
US6379056B1 (en) * 2000-09-12 2002-04-30 Tokyo Electron Limited Substrate processing apparatus
JP3837016B2 (en) 2000-09-28 2006-10-25 大日本スクリーン製造株式会社 Substrate processing method and substrate processing apparatus
DE10049845A1 (en) * 2000-10-09 2002-04-11 Philips Corp Intellectual Pty Multiband microwave aerial with substrate with one or more conductive track structures
JP3587776B2 (en) 2000-10-10 2004-11-10 東京エレクトロン株式会社 Coating device and coating method
US6616762B2 (en) * 2000-10-13 2003-09-09 Tokyo Electron Limited Treatment solution supply apparatus and treatment solution supply method
US6805769B2 (en) 2000-10-13 2004-10-19 Dainippon Screen Mfg. Co., Ltd. Substrate processing apparatus
JP2004511788A (en) 2000-10-13 2004-04-15 アイアールエム エルエルシー High throughput processing system and method of use
TW563196B (en) 2000-10-30 2003-11-21 Dainippon Screen Mfg Substrate processing apparatus
JP3616748B2 (en) 2000-11-07 2005-02-02 東京エレクトロン株式会社 Development processing method, development processing apparatus, and processing apparatus
US6691216B2 (en) 2000-11-08 2004-02-10 Texas Instruments Incorporated Shared program memory for use in multicore DSP devices
US6669782B1 (en) 2000-11-15 2003-12-30 Randhir P. S. Thakur Method and apparatus to control the formation of layers useful in integrated circuits
US6689220B1 (en) * 2000-11-22 2004-02-10 Simplus Systems Corporation Plasma enhanced pulsed layer deposition
WO2002043123A1 (en) * 2000-11-22 2002-05-30 Nikon Corporation Aligner, aligning method and method for fabricating device
JP3741604B2 (en) 2000-11-27 2006-02-01 東京エレクトロン株式会社 Heat treatment apparatus and heat treatment method
US6692219B2 (en) * 2000-11-29 2004-02-17 Tokyo Electron Limited Reduced edge contact wafer handling system and method of retrofitting and using same
JP3943828B2 (en) 2000-12-08 2007-07-11 東京エレクトロン株式会社 Coating, developing device and pattern forming method
JP2002184831A (en) * 2000-12-11 2002-06-28 Hirata Corp Foup opener
JP2002184671A (en) 2000-12-14 2002-06-28 Tokyo Electron Ltd Method and system for substrate treatment
JP3702175B2 (en) 2000-12-19 2005-10-05 東京エレクトロン株式会社 Heat treatment apparatus and method, and pattern formation method
US6744020B2 (en) 2001-01-04 2004-06-01 Tokyo Electron Limited Heat processing apparatus
JP2002208554A (en) * 2001-01-10 2002-07-26 Tokyo Electron Ltd System for treating substrate
JP3950299B2 (en) * 2001-01-15 2007-07-25 東京エレクトロン株式会社 Substrate processing apparatus and method
US6790286B2 (en) 2001-01-18 2004-09-14 Dainippon Screen Mfg. Co. Ltd. Substrate processing apparatus
JP4124400B2 (en) * 2001-01-19 2008-07-23 大日本スクリーン製造株式会社 Substrate processing equipment
US20020098072A1 (en) 2001-01-19 2002-07-25 Applied Materials, Inc. Dual bladed robot apparatus and associated method
GB2405224B (en) * 2001-01-30 2005-05-25 Aqualisa Products Ltd Water mixing valve apparatus
US7069984B2 (en) * 2001-02-08 2006-07-04 Oriol Inc. Multi-channel temperature control system for semiconductor processing facilities
KR100848772B1 (en) 2001-02-22 2008-07-28 도쿄엘렉트론가부시키가이샤 Substrate processing apparatus
US6692165B2 (en) * 2001-03-01 2004-02-17 Dainippon Screen Mfg. Co., Ltd. Substrate processing apparatus
US6669808B2 (en) 2001-03-22 2003-12-30 Dainippon Screen Mfg. Co., Ltd. Substrate processing apparatus and substrate processing method
US6860945B2 (en) 2001-03-22 2005-03-01 Tokyo Electron Limited Substrate coating unit and substrate coating method
JP3713447B2 (en) * 2001-04-05 2005-11-09 東京エレクトロン株式会社 Development processing equipment
US6623235B2 (en) 2001-04-11 2003-09-23 Pri Automation, Inc. Robot arm edge gripping device for handling substrates using two four-bar linkages
US6884294B2 (en) 2001-04-16 2005-04-26 Tokyo Electron Limited Coating film forming method and apparatus
TW544797B (en) 2001-04-17 2003-08-01 Kobe Steel Ltd High-pressure processing apparatus
JP4025030B2 (en) 2001-04-17 2007-12-19 東京エレクトロン株式会社 Substrate processing apparatus and transfer arm
JP3967618B2 (en) 2001-04-17 2007-08-29 東京エレクトロン株式会社 Substrate processing method and substrate processing system
JP4435443B2 (en) 2001-04-17 2010-03-17 大日本スクリーン製造株式会社 Substrate transport apparatus and substrate transport method
US6558053B2 (en) 2001-04-19 2003-05-06 Dainippon Screen Mfg. Co., Ltd. Substrate processing apparatus
US6823880B2 (en) 2001-04-25 2004-11-30 Kabushiki Kaisha Kobe Seiko Sho High pressure processing apparatus and high pressure processing method
US6703316B2 (en) 2001-04-27 2004-03-09 Kabushiki Kaisha Kobe Seiko Sho Method and system for processing substrate
US6641963B1 (en) * 2001-04-30 2003-11-04 Advanced Micro Devices, Inc System and method for in situ control of post exposure bake time and temperature
JP4006191B2 (en) 2001-05-14 2007-11-14 大日本スクリーン製造株式会社 Optical fiber coupling equipment
JP3934362B2 (en) 2001-05-14 2007-06-20 大日本スクリーン製造株式会社 Element support device
US6889105B2 (en) 2001-05-16 2005-05-03 Dainippon Screen Mfg. Co., Ltd. Scheduling method and program for a substrate processing apparatus
US6852194B2 (en) 2001-05-21 2005-02-08 Tokyo Electron Limited Processing apparatus, transferring apparatus and transferring method
JP3825277B2 (en) 2001-05-25 2006-09-27 東京エレクトロン株式会社 Heat treatment device
US6598805B2 (en) 2001-05-30 2003-07-29 Dainippon Screen Mfg. Co., Ltd Substrate cleaning apparatus
KR100857972B1 (en) 2001-06-07 2008-09-10 도쿄엘렉트론가부시키가이샤 Method of forming coating film and apparatus for forming coating film
US6752585B2 (en) 2001-06-13 2004-06-22 Applied Materials Inc Method and apparatus for transferring a semiconductor substrate
AT500378B1 (en) 2001-06-13 2006-12-15 Tgw Transportgeraete Gmbh STACKER UNIT
US6683006B2 (en) * 2001-06-25 2004-01-27 Tokyo Electron Limited Film forming method and film forming apparatus
KR100452317B1 (en) * 2001-07-11 2004-10-12 삼성전자주식회사 photo-lithography fabrication system and method there of
EP1406751A2 (en) * 2001-07-13 2004-04-14 FSI International Robotic system control
US6689782B2 (en) * 2001-07-16 2004-02-10 Essential Therapeutics, Inc. Fungal efflux pump inhibitors
US20030010449A1 (en) * 2001-07-16 2003-01-16 Gramarossa Daniel J. Automatic wafer processing and plating system
US6579730B2 (en) 2001-07-18 2003-06-17 Applied Materials, Inc. Monitoring process for oxide removal
US6458607B1 (en) 2001-07-23 2002-10-01 Advanced Micro Devices, Inc. Using UV/VIS spectrophotometry to regulate developer solution during a development process
JP2003037107A (en) * 2001-07-25 2003-02-07 Tokyo Electron Ltd Processing apparatus and processing method
TWI232509B (en) 2001-07-25 2005-05-11 Tokyo Electron Ltd Processing apparatus and processing method
JP3725051B2 (en) * 2001-07-27 2005-12-07 大日本スクリーン製造株式会社 Substrate processing equipment
JP3880343B2 (en) 2001-08-01 2007-02-14 株式会社ルネサステクノロジ Load port, substrate processing apparatus, and atmosphere replacement method
US6841342B2 (en) * 2001-08-08 2005-01-11 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
US6878636B2 (en) * 2001-08-27 2005-04-12 Applied Materials, Inc. Method for enhancing substrate processing
JP3869306B2 (en) 2001-08-28 2007-01-17 東京エレクトロン株式会社 Development processing method and developer coating apparatus
US7217076B2 (en) 2001-08-31 2007-05-15 Asyst Technologies, Inc. Semiconductor material handling system
US6878216B2 (en) 2001-09-03 2005-04-12 Tokyo Electron Limited Substrate processing method and substrate processing system
JP3844670B2 (en) 2001-09-14 2006-11-15 東京エレクトロン株式会社 Coating film forming device
US6808566B2 (en) 2001-09-19 2004-10-26 Tokyo Electron Limited Reduced-pressure drying unit and coating film forming method
JP4073186B2 (en) 2001-09-20 2008-04-09 大日本スクリーン製造株式会社 Substrate processing apparatus schedule creation method and program thereof
US6878401B2 (en) 2001-09-27 2005-04-12 Tokyo Electron Limited Substrate processing method
JP4018892B2 (en) 2001-10-03 2007-12-05 大日本スクリーン製造株式会社 Substrate processing equipment
US6598806B2 (en) 2001-10-09 2003-07-29 Gary G. B. Phipps Windshield washer apparatus for marine craft
US6819402B2 (en) * 2001-10-18 2004-11-16 Asml Holding N.V. System and method for laser beam expansion
US6790283B2 (en) 2001-10-18 2004-09-14 Tokyo Electron Limited Coating apparatus
JP3718647B2 (en) 2001-10-19 2005-11-24 東京エレクトロン株式会社 Developing apparatus and developing method
US6664122B1 (en) 2001-10-19 2003-12-16 Novellus Systems, Inc. Electroless copper deposition method for preparing copper seed layers
JP4018958B2 (en) 2001-10-30 2007-12-05 大日本スクリーン製造株式会社 Substrate processing equipment
US6752442B2 (en) * 2001-11-09 2004-06-22 Speedfam-Ipec Corporation Workpiece handling end-effector and a method for processing workpieces using a workpiece handling end-effector
JP3751246B2 (en) 2001-11-13 2006-03-01 大日本スクリーン製造株式会社 Thin film forming apparatus and conveying method
US6682113B2 (en) * 2001-11-16 2004-01-27 Applied Materials, Inc. Wafer clamping mechanism
US6811613B2 (en) 2001-11-26 2004-11-02 Tokyo Electron Limited Coating film forming apparatus
JP2003170384A (en) * 2001-12-04 2003-06-17 Rorze Corp Scalar robot for carrying flat plate-like object and processing system for flat plate-like object
JP3910054B2 (en) 2001-12-10 2007-04-25 東京エレクトロン株式会社 Substrate processing equipment
JP2003257849A (en) 2001-12-26 2003-09-12 Dainippon Screen Mfg Co Ltd Substrate developing and processing device
JP4025069B2 (en) 2001-12-28 2007-12-19 大日本スクリーン製造株式会社 Substrate processing apparatus and substrate processing method
US6678581B2 (en) * 2002-01-14 2004-01-13 Taiwan Semiconductor Manufacturing Co. Ltd Method of calibrating a wafer edge gripping end effector
US20030131458A1 (en) * 2002-01-15 2003-07-17 Applied Materials, Inc. Apparatus and method for improving throughput in a cluster tool for semiconductor wafer processing
US6451621B1 (en) 2002-01-16 2002-09-17 Advanced Micro Devices, Inc. Using scatterometry to measure resist thickness and control implant
US6758612B1 (en) 2002-01-16 2004-07-06 Advanced Micro Devices, Inc. System and method for developer endpoint detection by reflectometry or scatterometry
JP2003215002A (en) 2002-01-17 2003-07-30 Dainippon Screen Mfg Co Ltd Apparatus and method for treating board
US7138014B2 (en) 2002-01-28 2006-11-21 Applied Materials, Inc. Electroless deposition apparatus
JP3992601B2 (en) 2002-01-31 2007-10-17 大日本スクリーン製造株式会社 Chemical treatment equipment
JP4195227B2 (en) 2002-02-22 2008-12-10 東京エレクトロン株式会社 Introducing port structure of workpiece
US6991710B2 (en) 2002-02-22 2006-01-31 Semitool, Inc. Apparatus for manually and automatically processing microelectronic workpieces
JP3637898B2 (en) 2002-03-05 2005-04-13 セイコーエプソン株式会社 Display driving circuit and display panel having the same
JP3811082B2 (en) 2002-03-08 2006-08-16 大日本スクリーン製造株式会社 Substrate processing apparatus and substrate processing method
US6796054B2 (en) 2002-03-12 2004-09-28 Tokyo Electron Limited Low-pressure dryer and low-pressure drying method
US6848625B2 (en) * 2002-03-19 2005-02-01 Tokyo Electron Limited Process liquid supply mechanism and process liquid supply method
JP3688264B2 (en) 2002-03-20 2005-08-24 東京エレクトロン株式会社 Heat treatment method and heat treatment apparatus
US6822413B2 (en) 2002-03-20 2004-11-23 Fsi International, Inc. Systems and methods incorporating an end effector with a rotatable and/or pivotable body and/or an optical sensor having a light path that extends along a length of the end effector
JP3939178B2 (en) * 2002-03-25 2007-07-04 大日本スクリーン製造株式会社 High pressure drying apparatus, high pressure drying method and substrate processing apparatus
US6869234B2 (en) 2002-03-28 2005-03-22 Dainippon Screen Mfg. Co., Ltd. Developing apparatus and developing method
JP4274736B2 (en) 2002-03-28 2009-06-10 大日本スクリーン製造株式会社 Substrate processing equipment
US6752544B2 (en) 2002-03-28 2004-06-22 Dainippon Screen Mfg. Co., Ltd. Developing apparatus and developing method
JP4048074B2 (en) * 2002-04-12 2008-02-13 東京エレクトロン株式会社 Processing equipment
JP4342147B2 (en) 2002-05-01 2009-10-14 大日本スクリーン製造株式会社 Substrate processing equipment
TWI265550B (en) * 2002-05-14 2006-11-01 Toshiba Corp Fabrication method, manufacturing method for semiconductor device, and fabrication device
JP2003347186A (en) 2002-05-23 2003-12-05 Dainippon Screen Mfg Co Ltd Substrate treatment device
US6678582B2 (en) * 2002-05-30 2004-01-13 Kuka Roboter Gmbh Method and control device for avoiding collisions between cooperating robots
US6645880B1 (en) 2002-06-10 2003-11-11 Dainippon Screen Mfg. Co., Ltd. Treating solution applying method
US6832863B2 (en) 2002-06-11 2004-12-21 Dainippon Screen Mfg. Co., Ltd. Substrate treating apparatus and method
US6807455B2 (en) 2002-06-26 2004-10-19 Dainippon Screen Mfg. Co. Ltd. System for and method of processing substrate
SE523109C2 (en) 2002-07-15 2004-03-30 Stock Of Sweden Ab Device for power transmission of a working machine
JP2004055697A (en) 2002-07-17 2004-02-19 Ace:Kk Apparatus and method for transferring and conveying substrate
KR20040013965A (en) 2002-08-09 2004-02-14 삼성전자주식회사 Process chamber of multi-chamber type
JP4233285B2 (en) * 2002-08-23 2009-03-04 大日本スクリーン製造株式会社 Substrate processing equipment
TWI262165B (en) 2002-10-16 2006-09-21 Sez Ag Device and method for transporting wafer-shaped articles
US6885467B2 (en) 2002-10-28 2005-04-26 Tevet Process Control Technologies Ltd. Method and apparatus for thickness decomposition of complicated layer structures
US6946511B2 (en) 2002-10-29 2005-09-20 Dupont Dow Elastomers, Llc Plasma resistant elastomer parts
US7306696B2 (en) * 2002-11-01 2007-12-11 Applied Materials, Inc. Interferometric endpoint determination in a substrate etching process
KR100486690B1 (en) * 2002-11-29 2005-05-03 삼성전자주식회사 Substrate processing apparatus and method for controlling contamination in substrate transfer module
US6770424B2 (en) 2002-12-16 2004-08-03 Asml Holding N.V. Wafer track apparatus and methods for dispensing fluids with rotatable dispense arms
JP4053906B2 (en) 2003-03-14 2008-02-27 株式会社豊電子工業 Hand device for work robot
US7654596B2 (en) 2003-06-27 2010-02-02 Mattson Technology, Inc. Endeffectors for handling semiconductor wafers
EP1495702A1 (en) * 2003-07-10 2005-01-12 Nestec S.A. Device for the extraction of a cartridge
US7100954B2 (en) * 2003-07-11 2006-09-05 Nexx Systems, Inc. Ultra-thin wafer handling system
US7226269B2 (en) * 2004-01-15 2007-06-05 Applied Materials, Inc. Substrate edge grip apparatus
KR100996102B1 (en) * 2004-10-27 2010-11-22 삼성전자주식회사 Apparatus and method for controlling an bias adaptation bias of a high power amplifier
US20060182535A1 (en) 2004-12-22 2006-08-17 Mike Rice Cartesian robot design
US7819079B2 (en) 2004-12-22 2010-10-26 Applied Materials, Inc. Cartesian cluster tool configuration for lithography type processes
US7699021B2 (en) 2004-12-22 2010-04-20 Sokudo Co., Ltd. Cluster tool substrate throughput optimization
US7651306B2 (en) 2004-12-22 2010-01-26 Applied Materials, Inc. Cartesian robot cluster tool architecture
US20060130767A1 (en) 2004-12-22 2006-06-22 Applied Materials, Inc. Purged vacuum chuck with proximity pins
US7798764B2 (en) 2005-12-22 2010-09-21 Applied Materials, Inc. Substrate processing sequence in a cartesian robot cluster tool
JP4356936B2 (en) 2005-01-21 2009-11-04 東京エレクトロン株式会社 Coating and developing apparatus and method thereof
US20060236941A1 (en) 2005-04-20 2006-10-26 Applied Materials, Inc. Passive wafer support for particle free wafer acceleration
US20060241813A1 (en) 2005-04-22 2006-10-26 Applied Materials, Inc. Optimized cluster tool transfer process and collision avoidance design
US7374391B2 (en) 2005-12-22 2008-05-20 Applied Materials, Inc. Substrate gripper for a substrate handling robot
WO2007112454A2 (en) * 2006-03-28 2007-10-04 Stratusys Inc. Apparatus and method for processing substrates using one or more vacuum transfer chamber units

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5972110A (en) * 1996-09-06 1999-10-26 Tokyo Electron Limited Resist processing system
US6099643A (en) * 1996-12-26 2000-08-08 Dainippon Screen Mfg. Co., Ltd. Apparatus for processing a substrate providing an efficient arrangement and atmospheric isolation of chemical treatment section
US6707544B1 (en) * 1999-09-07 2004-03-16 Applied Materials, Inc. Particle detection and embedded vision system to enhance substrate yield and throughput
US20040020601A1 (en) * 2000-02-10 2004-02-05 Applied Materials, Inc. Process and an integrated tool for low k dielectric deposition including a pecvd capping module
US20050095088A1 (en) * 2003-10-20 2005-05-05 Applied Materials, Inc. Load lock chamber for large area substrate processing system

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140011348A1 (en) * 2012-07-09 2014-01-09 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer Alignment System and Method
US8932945B2 (en) * 2012-07-09 2015-01-13 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer alignment system and method
US9403275B2 (en) * 2014-10-17 2016-08-02 GM Global Technology Operations LLC Dynamic obstacle avoidance in a robotic system

Also Published As

Publication number Publication date
US7396412B2 (en) 2008-07-08
US20080296316A1 (en) 2008-12-04
US20060286300A1 (en) 2006-12-21
US20080223293A1 (en) 2008-09-18
CN101142656A (en) 2008-03-12
US20060130767A1 (en) 2006-06-22
US7743728B2 (en) 2010-06-29
US20060130747A1 (en) 2006-06-22
US20060130750A1 (en) 2006-06-22
US7357842B2 (en) 2008-04-15
US8215262B2 (en) 2012-07-10
US20060134536A1 (en) 2006-06-22
US20120320361A1 (en) 2012-12-20
US20090064928A1 (en) 2009-03-12
US7925377B2 (en) 2011-04-12
JP2013093597A (en) 2013-05-16
US20080199282A1 (en) 2008-08-21
US8146530B2 (en) 2012-04-03
US20090064929A1 (en) 2009-03-12
CN101443131A (en) 2009-05-27
US20090067956A1 (en) 2009-03-12
US20060134330A1 (en) 2006-06-22
JP2012169654A (en) 2012-09-06
CN101443131B (en) 2011-08-17
JP2012069957A (en) 2012-04-05
US8181596B2 (en) 2012-05-22
US7694647B2 (en) 2010-04-13
US20060278165A1 (en) 2006-12-14
US20060132730A1 (en) 2006-06-22
US20060134340A1 (en) 2006-06-22
US8550031B2 (en) 2013-10-08
US20060158240A1 (en) 2006-07-20
US7371022B2 (en) 2008-05-13
US7255747B2 (en) 2007-08-14

Similar Documents

Publication Publication Date Title
US8550031B2 (en) Cluster tool architecture for processing a substrate
US7699021B2 (en) Cluster tool substrate throughput optimization
US9477162B2 (en) Substrate processing method
JP4990160B2 (en) Cluster tool architecture for processing substrates
US7497026B2 (en) Method and system for detection of wafer centering in a track lithography tool
US20080212049A1 (en) Substrate processing apparatus with high throughput development units
US20090110532A1 (en) Method and apparatus for providing wafer centering on a track lithography tool
US11474028B2 (en) Systems and methods for monitoring one or more characteristics of a substrate
US20080196658A1 (en) Substrate processing apparatus including a substrate reversing region

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:ISHIKAWA, TETSUYA;ROBERTS, RICK J.;ARMER, HELEN R.;AND OTHERS;SIGNING DATES FROM 20050610 TO 20050722;REEL/FRAME:028155/0371

AS Assignment

Owner name: SOKUDO CO., LTD., JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:APPLIED MATERIALS, INC.;REEL/FRAME:032003/0730

Effective date: 20060720

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:SOKUDO CO., LTD.;REEL/FRAME:032003/0786

Effective date: 20130927

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO PAY ISSUE FEE