US20110214815A1 - Plasma processing apparatus and method - Google Patents

Plasma processing apparatus and method Download PDF

Info

Publication number
US20110214815A1
US20110214815A1 US13/113,330 US201113113330A US2011214815A1 US 20110214815 A1 US20110214815 A1 US 20110214815A1 US 201113113330 A US201113113330 A US 201113113330A US 2011214815 A1 US2011214815 A1 US 2011214815A1
Authority
US
United States
Prior art keywords
electrode
plasma
voltage
processing apparatus
plasma processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US13/113,330
Inventor
Akira Koshiishi
Masaru Sugimoto
Kunihiko Hinata
Noriyuki Kobayashi
Chishio Koshimizu
Ryuji Ohtani
Kazuo Kibi
Masashi Saito
Naoki Matsumoto
Manabu Iwata
Daisuke Yano
Yohei Yamazawa
Hidetoshi Hanaoka
Toshihiro Hayami
Hiroki Yamazaki
Manabu Sato
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US13/113,330 priority Critical patent/US20110214815A1/en
Publication of US20110214815A1 publication Critical patent/US20110214815A1/en
Priority to US15/290,846 priority patent/US10529539B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • H01J37/32706Polarising the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Definitions

  • the present invention relate to a plasma processing apparatus, plasma processing method, and computer readable storage medium, used for performing a plasma process on a target substrate, such as a semiconductor substrate.
  • plasma etching processes which utilize plasma to etch a layer through a resist mask, are often used for forming a predetermined pattern on a predetermined layer disposed on a target substrate or semiconductor wafer.
  • a parallel-plate plasma etching apparatus of the capacitive coupling type includes a chamber with parallel-plate electrodes (upper and lower electrodes) disposed therein. While a process gas is supplied into the chamber, an RF (radio frequency) is applied to one of the electrodes to form an electric field between the electrodes. The process gas is turned into plasma by the RF electric field, thereby performing plasma etching on a predetermined layer disposed on a semiconductor wafer.
  • RF radio frequency
  • a plasma etching apparatus in which an RF for plasma generation is applied to the upper electrode to generate plasma, while an RF for ion attraction is applied to the lower electrode (for example, Jpn. Pat. Appln. KOKAI Publication No. 2000-173993 (Patent publication 1)).
  • This plasma etching apparatus can form a suitable plasma state and realize an etching process with high selectivity and high reproducibility.
  • etching apparatuses of this kind if the power level of an RF power for plasma generation applied to the upper electrode is too low, deposited substances (deposition) may remain on the upper electrode after etching, thereby varying process characteristics or producing particles. By contrast, if the power level is too high, the electrode may be etched, thereby bringing about process characteristics different from those obtained by a lower power level.
  • the suitable range of power from the RF power supply depends on the process, and the process should not be fluctuated by the power. Further, in serial etching processes, deposition sticking to the chamber wall causes a memory effect in that a previous process leaves some effect that affects a subsequent process. Accordingly, it is preferable to reduce deposition on the chamber wall.
  • the plasma density becomes low at the chamber central portion, which makes it difficult to control the plasma density.
  • the present invention has been made in consideration of the problems described above, and has an object to provide a plasma processing apparatus and plasma processing method, which can realize high selectivity etching while maintaining the plasma resistance properties of a resist layer or organic mask layer at a high level, or can effectively prevent deposition on an electrode, or can realize high rate etching, or can realize uniform etching on a target substrate.
  • a plasma processing apparatus comprising: a process chamber configured to accommodate a target substrate and to be vacuum-exhausted; a first electrode and a second electrode disposed opposite each other within the process chamber, the second electrode being configured to support the target substrate; a first RF power application unit configured to apply a first RF power having a relatively higher frequency to the second electrode; a second RF power application unit configured to apply a second RF power having a relatively lower frequency to the second electrode; a DC power supply configured to apply a DC voltage to the first electrode; and a process gas supply unit configured to supply a process gas into the process chamber.
  • the DC power supply is configured such that any one of application voltage, application current, and application power to the first electrode is variable.
  • the apparatus may further comprise a control unit configured to control any one of application voltage, application current, and application power from the DC power supply to the first electrode.
  • the control unit is configured to control whether the DC voltage is to be applied or not, from the DC power supply to the first electrode.
  • the apparatus may further comprise a detector configured to detect a generated plasma state, wherein the control unit controls any one of application voltage, application current, and application power from the DC power supply to the first electrode, based on information from the detector.
  • the first electrode is an upper electrode
  • the second electrode is a lower electrode.
  • the first RF power applied to the second electrode preferably has a frequency of 27 MHz or more, and more preferably has a frequency of 40 MHz or more. It is preferable that the second RF power applied to the second electrode has a frequency of 13.56 MHz or less.
  • the DC power supply is configured to apply a voltage within a range of ⁇ 2,000 to +1,000V. It may be adopted that a surface of the first electrode facing the second electrode is made of a silicon-containing substance.
  • the first electrode is in a floating state relative to a ground potential in a sense of DC.
  • the apparatus further comprises a changeover device configured to change over the first electrode between the floating state and a grounded state, wherein, based on a command from an overall control unit, the changeover device sets the first electrode in the floating state relative to a ground potential when the DC voltage is applied to the first electrode, and the changeover device sets the first electrode in the floating state or the grounded state relative to the ground potential when the DC voltage is not applied to the first electrode.
  • a conductive member regularly grounded is disposed within the process chamber to release through plasma a current caused by the DC voltage applied from the DC power supply to the first electrode.
  • the first electrode is an upper electrode
  • the second electrode is a lower electrode
  • the conductive member is disposed around the second electrode, or the conductive member is disposed near the first electrode.
  • the conductive member is disposed to form a ring shape around the first electrode.
  • the grounded conductive member has a recess to prevent flying substances from being deposited during a plasma process.
  • a cover plate is disposed to partly cover the conductive member, and the cover plate is moved relative to the conductive member by a driving mechanism to change a portion of the conductive member to be exposed to plasma.
  • the conductive member is columnar and partly exposed to plasma, and the conductive member is rotated about a center thereof by a driving mechanism to change a portion of the conductive member to be exposed to plasma.
  • a cover film having a stepped shape and made of a material to be etched by plasma is disposed to partly cover the conductive member, and the cover film is configured to be etched to change a portion of the conductive member to be exposed to plasma.
  • a conductive member to be grounded based on a command from an overall control unit is disposed within the process chamber to release through plasma a current caused by the DC voltage applied from the DC power supply to the first electrode.
  • the first electrode is an upper electrode
  • the second electrode is a lower electrode
  • the conductive member is disposed around the second electrode, or the conductive member is disposed near the first electrode.
  • the conductive member is disposed to form a ring shape around the first electrode.
  • the grounded conductive member has a recess to prevent flying substances from being deposited during a plasma process. It may be adopted that the conductive member is grounded during plasma etching.
  • the conductive member is configured to be supplied with a DC voltage or AC voltage, and the DC voltage or AC voltage is applied based on a command from an overall control unit to sputter or etch a surface of the conductive member.
  • the DC voltage or AC voltage is applied to the conductive member during cleaning.
  • the apparatus may further comprise a switching mechanism configured to switch connection of the conductive member between the DC power supply and a ground line, wherein, when the conductive member is connected to the DC power supply by the switching mechanism, the DC voltage or AC voltage is applied from the DC power supply to the conductive member to sputter or etch a surface thereof.
  • the conductive member is configured to be supplied with a negative DC voltage.
  • a grounded conductive auxiliary member is disposed within the process chamber to release a DC electron current flowing into the process chamber when the negative DC voltage is applied to the conductive member.
  • the first electrode is an upper electrode
  • the second electrode is a lower electrode
  • the conductive member is disposed near the first electrode
  • the conductive auxiliary member is disposed around the second electrode.
  • the apparatus may further comprise a conductive member disposed within the process chamber and configured to take on either one of a first state and a second state based on a command from an overall control unit, the first state being arranged to ground the conductive member to release through plasma a DC current applied from the DC power supply to the first electrode, and the second state being arranged to apply a DC voltage from the DC power supply to the conductive member to sputter or etch a surface thereof; and a connection switching mechanism disposed to switch between first connection and second connection to form the first state and the second state, respectively, the first connection being arranged to connect a negative terminal of the DC power supply to the first electrode and to connect the conductive member to a ground line, and the second connection being arranged to connect a positive terminal of the DC power supply to the first electrode and to connect the negative terminal of the DC power supply to the conductive member.
  • the first state is formed during plasma etching
  • the second state is formed during
  • a plasma processing method using a process chamber with a first electrode and a second electrode disposed opposite each other therein, the second electrode being configured to support a target substrate comprising supplying a process gas into the process chamber, while applying a first RF power having a relatively higher frequency and a second RF power having a relatively lower frequency to the second electrode, to generate plasma of the process gas, thereby performing a plasma process on a target substrate supported by the second electrode, wherein the method comprises: applying a DC voltage to the first electrode; and performing the plasma process on the target substrate while the applying the DC voltage to the first electrode.
  • any one of application voltage, application current, and application power applied to the first electrode is variable.
  • the method may further comprise controlling any one of application voltage, application current, and application power applied to the first electrode.
  • the method may further comprise controlling whether the DC voltage is to be applied or not to the first electrode.
  • the method may further comprise detecting a generated plasma state, to control any one of application voltage, application current, and application power to the first electrode, based on detected information.
  • the first electrode is in a floating state relative to a ground potential in a sense of DC.
  • the first electrode is changeable between the floating state and a grounded state, and wherein, based on a command from an overall control unit, the first electrode is set in the floating state relative to a ground potential when the DC voltage is applied to the first electrode, and the first electrode is set in the floating state or the grounded state relative to the ground potential when the DC voltage is not applied to the first electrode.
  • a conductive member regularly grounded is disposed within the process chamber to release through plasma a current caused by the DC voltage applied to the first electrode.
  • a conductive member to be grounded is disposed within the process chamber to release through plasma a current caused by the DC voltage applied to the first electrode.
  • the conductive member is grounded during plasma etching. It may be adopted that the conductive member is configured to be supplied with a DC voltage or AC voltage, and the DC voltage or AC voltage is applied based on a command from an overall control unit to sputter or etch a surface of the conductive member. It may be adopted that the DC voltage or AC voltage is applied to the conductive member during cleaning.
  • a switching mechanism is further disposed to switch connection of the conductive member between a DC power supply configured to apply a DC voltage and a ground line, and wherein, when the conductive member is connected to the DC power supply by the switching mechanism, the DC voltage or AC voltage is applied from the DC power supply to the conductive member to sputter or etch a surface thereof.
  • the conductive member is configured to be supplied with a negative DC voltage.
  • a grounded conductive auxiliary member is disposed within the process chamber to release a DC electron current flowing into the process chamber when the negative DC voltage is applied to the conductive member.
  • the method comprises etching an insulating film on the target substrate supported by the second electrode, wherein the process gas comprises any one of a combination of C 5 F 8 , Ar, and N 2 , a combination of C 4 F 8 , Ar, and N 2 , a combination of C 4 F 8 , Ar, N 2 , and O 2 , and a combination of C 4 F 8 , Ar, N 2 , and CO to increase selectivity of the insulating film relative to an underlying film.
  • the process gas comprises any one of a combination of C 5 F 8 , Ar, and N 2 , a combination of C 4 F 8 , Ar, and N 2 , a combination of C 4 F 8 , Ar, N 2 , and O 2 , and a combination of C 4 F 8 , Ar, N 2 , and CO to increase selectivity of the insulating film relative to an underlying film.
  • the method comprises etching an insulating film on the target substrate supported by the second electrode, wherein the process gas comprises CF 4 or a combination of CF 4 , Ar or N 2 , and H2 to increase selectivity of the insulating film relative to a mask. It may be adopted that the method comprises etching an organic anti-reflection film on an insulating film on the target substrate supported by the second electrode, wherein the process gas comprises any one of CF 4 , a combination of CF 4 and C 3 F 8 , a combination of CF 4 and C 4 F 8 , and a combination of CF 4 and C 4 F 6 .
  • the method comprises etching an insulating film on the target substrate supported by the second electrode, wherein the process gas comprises any one of a combination of C 4 F 6 , CF 4 , Ar, and O 2 , a combination of C 4 F 6 , C 3 F 8 , Ar, and O 2 , a combination of C 4 F 6 , C 4 F 8 , Ar, and O 2 , a combination of C 4 F 6 , C2F6, Ar, and O 2 , a combination of C 4 F 8 , Ar, and O 2 , and a combination of C 4 F 8 , Ar, and O 2 to increase an etching rate of the insulating film.
  • the process gas comprises any one of a combination of C 4 F 6 , CF 4 , Ar, and O 2 , a combination of C 4 F 6 , C 3 F 8 , Ar, and O 2 , a combination of C 4 F 6 , C 4 F 8 , Ar, and O 2 , a combination of C 4 F 6 , C
  • a computer storage medium storing a control program for execution on a computer, wherein the control program, when executed, controls a plasma processing apparatus to perform the plasma processing method according to the second aspect.
  • the first RF power application unit configured to apply a first RF power having a relatively higher frequency
  • the second RF power application unit configured to apply a second RF power having a relatively lower frequency
  • the DC power supply configured to apply a DC voltage
  • the polymers are sputtered, thereby cleaning up the surface of the first electrode. Further, an optimum quantity of polymers can be supplied onto the substrate, thereby canceling the surface roughness of the photo-resist film. Further, since the body of the electrode is sputtered, the electrode material can be supplied onto the substrate, thereby reinforcing an organic mask made of, e.g., a photo-resist film.
  • the effective residence time above the target substrate is decreased, and the plasma concentrates above the target substrate with less diffusion, thereby reducing the dissociation space.
  • dissociation of a fluorocarbon family process gas is suppressed for an organic mask made of, e.g., a photo-resist film to be less etched.
  • the composition of a mask on the target substrate is reformed and the roughness of the photo-resist film is cancelled. Further, since the target substrate is irradiated with electrons at a high velocity, the shading effect is suppressed and micro-fabrication is thereby improved on the target substrate.
  • the plasma potential can be suitably controlled to prevent etching by-products from being deposited on members inside the process chamber, such as the electrodes, chamber wall (e.g., deposition shield), and insulating members.
  • the etching rate (etching speed) on the target substrate is improved.
  • the plasma density is prevented from being lower at the central portion than at the peripheral portion within the process chamber (suppressing generation of negative ions), so as to control the plasma density to be more uniform.
  • the plasma resistance property of an organic mask layer made of, e.g., a resist layer remains high, so that the etching can be performed with high selectivity.
  • the electrodes can be effectively free from deposited substances.
  • the etching can be performed on the target substrate at a high rate or uniformly.
  • FIG. 1 is a sectional view schematically showing a plasma etching apparatus according to an embodiment of the present invention
  • FIG. 2 is a sectional view schematically showing a plasma etching apparatus according to the embodiment of the present invention
  • FIGS. 3A and 3B are views showing change in V dc and plasma sheath length where a DC voltage is applied to the upper electrode in the plasma etching apparatus shown in FIG. 2 ;
  • FIGS. 4A to 4D are views showing change in electron density where the DC voltage applied is set at different values under HARC etching conditions in the plasma etching apparatus shown in FIG. 2 ;
  • FIGS. 5A to 5D are views showing change in electron density where the DC voltage applied is set at different values under VIA etching conditions in the plasma etching apparatus shown in FIG. 2 ;
  • FIG. 6 is a view showing electron density distributions in the wafer radial direction where the HARC etching mentioned above employs a first RF power of 3,000 W and a second RF power of 4,000 W;
  • FIG. 7 is a view showing electron density distributions in the wafer radial direction where a DC voltage is applied and where no DC voltage is applied, under trench etching conditions;
  • FIG. 8 is a view showing an electrical state of the upper electrode in the plasma etching apparatus shown in FIG. 2 ;
  • FIG. 9 is a view showing an electrical state of the upper electrode in the plasma etching apparatus shown in FIG. 2 ;
  • FIG. 10 is a view showing an electrical state of the upper electrode in the plasma etching apparatus shown in FIG. 2 ;
  • FIG. 11 is a sectional view showing a modification of the plasma etching apparatus shown in FIG. 2 , provided with a detector for detecting plasma;
  • FIG. 12 is a view showing a waveform for suppressing abnormal electric discharge where a DC voltage is applied to the upper electrode in the plasma etching apparatus shown in FIG. 1 ;
  • FIG. 13 is a schematic view showing another layout of a GND block
  • FIG. 14 is a schematic view showing another layout of the GND block
  • FIGS. 15A and 15B are views showing structures for preventing deposition on the GND block
  • FIG. 16 is a schematic view showing an example of a device that can remove deposition on the GND block
  • FIGS. 17A and 17B are schematic views showing a state in plasma etching and a state in cleaning, respectively, of the device shown in FIG. 16 ;
  • FIG. 18 is a schematic view showing another state in plasma etching of the device shown in FIG. 16 ;
  • FIG. 19 is a schematic view showing another example of a device that can remove deposition on the GND block
  • FIGS. 20A and 20B are schematic views showing a state in plasma etching and a state in cleaning, respectively, of the device shown in FIG. 19 ;
  • FIG. 21 is a schematic view showing an example of the GND block having a function to prevent it from losing the grounding performance in the sense of DC;
  • FIG. 22 is a schematic view showing another example of the GND block having a function to prevent it from losing the grounding performance in the sense of DC;
  • FIGS. 23A and 23B are schematic views each showing another example of the GND block having a function to prevent it from losing the grounding performance in the sense of DC;
  • FIG. 24 is a schematic view showing another example of the GND block having a function to prevent it from losing the grounding performance in the sense of DC;
  • FIG. 25 is a schematic view showing another example of the GND block having a function to prevent it from losing the grounding performance in the sense of DC.
  • FIG. 26 is a schematic view showing another example of the GND block having a function to prevent it from losing the grounding performance in the sense of DC.
  • FIG. 1 is a sectional view schematically showing a plasma etching apparatus according to an embodiment of the present invention.
  • This plasma etching apparatus is of the type that applies two RF powers with different frequencies to the lower side. Specifically, a radio frequency (RF) power with, e.g., 40 MHz for plasma generation from a first RF power supply 88 and a radio frequency (RF) with, e.g., 2 MHz for ion attraction from a second RF power supply 90 are applied to a lower electrode or susceptor 16 . Further, as shown in FIG. 1 , a variable DC power supply 50 is connected to an upper electrode 34 to apply a predetermined direct current (DC) voltage. With reference to FIG. 2 , this plasma etching apparatus will be explained in more detail.
  • DC direct current
  • This plasma etching apparatus is structured as a parallel-plate plasma etching apparatus of the capacitive coupling type.
  • the apparatus includes a cylindrical chamber (process chamber) 10 , which is made of, e.g., aluminum with an anodization-processed surface.
  • the chamber 10 is protectively grounded.
  • a columnar susceptor pedestal 14 is disposed on the bottom of the chamber 10 through an insulating plate 12 made of, e.g., a ceramic.
  • a susceptor 16 made of, e.g., aluminum is disposed on the susceptor pedestal 14 .
  • the susceptor 16 is used as a lower electrode, on which a target substrate, such as a semiconductor wafer W, is placed.
  • the susceptor 16 is provided with an electrostatic chuck 18 on the top, for holding the semiconductor wafer W by an electrostatic attraction force.
  • the electrostatic chuck 18 comprises an electrode 20 made of a conductive film, and a pair of insulating layers or insulating sheets sandwiching the electrode 20 .
  • the electrode 20 is electrically connected to a direct current (DC) power supply 22 .
  • the semiconductor wafer W is attracted and held on the electrostatic chuck 18 by an electrostatic attraction force, e.g., a Coulomb force, generated by a DC voltage applied from the DC power supply 22 .
  • the susceptor pedestal 14 is provided with a cooling medium space 28 formed therein and annularly extending therethrough.
  • a cooling medium set at a predetermined temperature such as cooling water, is circulated within the cooling medium space 28 from a chiller unit (not shown) through lines 30 a and 30 b .
  • the temperature of the cooling medium is set to control the process temperature of the semiconductor wafer W placed on the susceptor 16 .
  • a heat transmission gas such as He gas
  • a heat transmission gas supply unit not shown
  • a gas supply line 32 a heat transmission gas supply line
  • An upper electrode 34 is disposed above the lower electrode or susceptor 16 in parallel with the susceptor.
  • the space between the electrodes 16 and 34 is used as a plasma generation space.
  • the upper electrode 34 defines a surface facing the semiconductor wafer W placed on the lower electrode or susceptor 16 , and thus this facing surface is in contact with the plasma generation space.
  • the upper electrode 34 is supported at the top of the chamber 10 by an insulating shield member 42 .
  • the upper electrode 34 includes an electrode plate 36 defining the facing surface opposite the susceptor 16 and having a number of gas delivery holes 37 , and an electrode support 38 detachably supporting the electrode plate 36 .
  • the electrode support 38 is made of a conductive material, such as aluminum with an anodization-processed surface, and has a water-cooling structure.
  • the electrode plate 36 is preferably made of a conductor or semiconductor having a low resistivity and thus generating less Joule heat. Further, in order to reinforce a resist film, as described later, the electrode plate 36 is preferably made of a silicon-containing substance. In light of these factors, the electrode plate 36 is preferably made of silicon or SiC.
  • the electrode support 38 has a gas diffusion cell 40 formed therein, which is connected to the gas delivery holes 37 through a number of gas flow channels 41 extending downward.
  • the electrode support 38 has a gas feed port 62 formed therein for feeding a process gas into the gas diffusion cell 40 .
  • the gas feed port 62 is connected to a process gas supply source 66 through a gas supply line 64 .
  • the gas supply line 64 is provided with a mass-flow controller (MFC) 68 and a switching valve 70 disposed thereon in this order from the upstream.
  • a process gas for etching such as a fluorocarbon gas (CxFy), e.g., C 4 F 8 gas, is supplied from the process gas supply source 66 through the gas supply line 64 into the gas diffusion cell 40 .
  • the process gas flows through the gas flow channels 41 and is delivered from the gas delivery holes 37 into the plasma generation space, as in a shower device. Accordingly, in other words, the upper electrode 34 functions as a showerhead for supplying a process gas.
  • the upper electrode 34 is electrically connected to a variable DC power supply 50 through a low-pass filter (LPF) 48 .
  • the variable DC power supply 50 may be formed of a bipolar power supply.
  • the electric feeding of the variable DC power supply 50 is set on/off by an on/off switch 52 .
  • the polarity, current, and voltage of the variable DC power supply 50 , and the on/off switch 52 are controlled by a controller (control unit) 51 .
  • the low-pass filter (LPF) 48 is configured to trap the RF from first and second RF power supplies, as described later, and is preferably formed of an LR filter or LC filter
  • the sidewall of the chamber 10 extends upward above the height level of the upper electrode 34 and forms a cylindrical grounded conductive body 10 a .
  • the cylindrical grounded conductive body 10 a includes a top or ceiling wall.
  • the lower electrode or susceptor 16 is electrically connected to a first RF power supply 88 through a matching unit 87 , and to a second RF power supply 90 through a matching unit 89 .
  • the first RF power supply 88 outputs an RF power with a frequency of 27 MHz or more, such as 40 MHz.
  • the second RF power supply 90 outputs an RF power with a frequency of 13.56 MHz or less, such as 2 MHz.
  • the matching units 87 and 89 are arranged to match the load impedance with the internal (or output) impedance of the first and second RF power supplies 88 and 90 , respectively.
  • the matching units 87 and 89 perform control for the load impedance and the internal impedance of the first and second RF power supplies 88 and 90 to apparently agree with each other.
  • An exhaust port 80 is formed at the bottom of the chamber 10 , and is connected to an exhaust unit 84 through an exhaust line 82 .
  • the exhaust unit 84 includes a vacuum pump, such as a turbo molecular pump, to reduce the pressure inside the chamber 10 to a predetermined vacuum level.
  • a transfer port 85 for a semiconductor wafer W is formed in the sidewall of the chamber 10 , and is opened/closed by a gate valve 86 attached thereon.
  • a deposition shield 11 is detachably disposed along the inner wall of the chamber 10 to prevent etching by-products (deposition) from being deposited on the wall. In other words, the deposition shield 11 constitutes a chamber wall.
  • a deposition shield 11 is also disposed around the inner wall member 26 .
  • An exhaust plate 83 is disposed at the bottom of the chamber 10 between the deposition shield 11 on the chamber wall and the deposition shield 11 on the inner wall member 26 .
  • the deposition shield 11 and exhaust plate 83 are preferably made of an aluminum body covered with a ceramic, such as Y 2 O 3 .
  • a conductive member (GND block) 91 is disposed on a portion of the deposition shield 11 that constitutes the chamber inner wall, at a height essentially the same as the wafer W, and is connected to ground in the sense of DC. This arrangement provides the effect of preventing abnormal electric discharge, as described later.
  • Respective portions of the plasma etching apparatus are connected to and controlled by a control section (overall control unit) 95 .
  • the control section 95 is connected to a user interface 96 including, e.g., a keyboard and a display, wherein the keyboard is used for a process operator to input commands for operating the plasma etching apparatus, and the display is used for showing visualized images of the operational status of the plasma processing apparatus.
  • control section 95 is connected to a storage section 97 that stores control programs for the control section 95 to control the plasma etching apparatus so as to perform various processes, and programs or recipes for respective components of the plasma etching apparatus to perform processes in accordance with process conditions. Recipes may be stored in a hard disk or semiconductor memory, or stored in a computer readable portable storage medium, such as a CDROM or DVD, to be attached to a predetermined position in the storage section 97 .
  • a required recipe is retrieved from the storage section 97 and executed by the control section 95 in accordance with an instruction or the like through the user interface 96 .
  • the plasma etching apparatus can perform a predetermined process under the control of the control section 95 .
  • each of the plasma processing apparatuses (plasma etching apparatuses) according to embodiments of the present invention includes such a control section 95 .
  • the gate valve 86 is first opened, and a semiconductor wafer W to be etched is transferred into the chamber 10 and placed on the susceptor 16 . Then, a process gas for etching is supplied from the process gas supply source 66 into the gas diffusion cell 40 at a predetermined flow rate, and then supplied into the chamber 10 through the gas flow channels 41 and gas delivery holes 37 . At the same time, the interior of the chamber 10 is exhausted by the exhaust unit 84 to set the pressure inside the chamber 10 to be a predetermined value within a range of, e.g., 0.1 to 150 Pa.
  • the process gas may be selected from various gases conventionally employed, and preferably is a gas containing a halogen element, a representative of which is a fluorocarbon gas (CxFy), such as C 4 F 8 gas. Further, the process gas may contain another gas, such as Ar gas or O 2 gas.
  • a gas containing a halogen element such as C 4 F 8 gas.
  • the process gas may contain another gas, such as Ar gas or O 2 gas.
  • an RF power for plasma generation is applied from the first RF power supply 88 to the lower electrode or susceptor 16 at a predetermined power level.
  • an RF for ion attraction is applied from the second RF power supply 90 to the lower electrode or susceptor 16 at a predetermined power level.
  • a predetermined DC voltage is applied from the variable DC power supply 50 to upper electrode 34 .
  • a DC voltage is applied from the DC power supply 22 to the electrode 20 of the electrostatic chuck 18 to fix the semiconductor wafer W on the susceptor 16 .
  • the process gas delivered from the gas delivery holes 37 formed in the electrode plate 36 of the upper electrode 34 is turned into plasma by glow discharge caused by the RF power applied across the upper electrode 34 and the lower electrode or susceptor 16 . Radicals and ions generated in this plasma are used to etch the target surface of the semiconductor wafer W.
  • the lower electrode or susceptor 16 is supplied with an RF power within a range covering high frequencies (for example, 10 MHz or more) from the first RF power supply.
  • a range covering high frequencies for example, 10 MHz or more
  • the plasma density is increased with a preferable state, so that high density plasma is generated even under a low pressure condition.
  • a DC voltage with a predetermined polarity and value is applied from the variable DC power supply 50 to the upper electrode 34 .
  • the application electrode or upper electrode 34 is preferably set to have a self bias voltage V dc on the surface, at a level for obtaining a predetermined (moderate) sputtering effect onto the surface, i.e., the surface of the electrode plate 36 .
  • the application voltage from the variable DC power supply 50 is preferably controlled by the controller 51 to increase the absolute value of Vd c on the surface of the upper electrode 34 .
  • an RF is applied from the first RF power supply 88 to generate plasma, polymers may be deposited on the upper electrode 34 .
  • the electrode material can be supplied onto the surface of the semiconductor wafer W.
  • the photo-resist film is provided with carbide formed on the surface, and is thereby reinforced.
  • the sputtered electrode material reacts with F contained in a fluorocarbon family process gas and is exhausted, thereby reducing the F ratio in plasma for the photo-resist film to be less etched.
  • the electrode plate 36 is made of a silicon-containing material, such as silicon or SiC
  • sputtered silicon from the surface of the electrode plate 36 reacts with polymers, so the photo-resist film is provided with SiC formed on the surface, and is thereby remarkably reinforced.
  • Si is highly reactive with F, and the effects described above are enhanced.
  • a silicon-containing material is preferably used as a material of the electrode plate 36 .
  • the application current or application power may be controlled in place of the application voltage from the variable DC power supply 50 .
  • the DC voltage thus applied to the upper electrode 34 to make a deep self bias voltage V dc increases the length of a plasma sheath formed on the upper electrode 34 , as shown in FIGS. 3A and 3B .
  • the plasma is further pressed by that much.
  • V dc on the upper electrode side becomes, e.g., ⁇ 100V.
  • the plasma sheath has a small length d 0 , as shown in FIG. 3A .
  • V dc on the upper electrode side becomes ⁇ 900V.
  • the plasma sheath since the length of the plasma sheath is in proportion to 3 ⁇ 4 of the absolute value of V dc , the plasma sheath has a larger length d 1 , and the plasma is pressed by that much, as shown in FIG. 3B . Where the length of the plasma sheath is thus increased to suitably press the plasma, the effective residence time above the semiconductor wafer W is decreased. Further, the plasma concentrates above the wafer W with less diffusion, thereby reducing the dissociation space. In this case, dissociation of a fluorocarbon family process gas is suppressed for the photo-resist film to be less etched.
  • the application voltage from the variable DC power supply 50 is preferably controlled by the controller 51 , such that the length of the plasma sheath on the upper electrode 34 is increased to a level for forming desired pressed plasma. It should be noted that, also in this case, the application current or application power may be controlled in place of the application voltage from the variable DC power supply 50 .
  • variable DC power supply 50 can be set at a desired polarity, voltage value, and current value, to irradiate the semiconductor wafer W with electrons.
  • the radiated electrons reform the composition of the mask or photo-resist film to reinforce the film.
  • the application voltage value and application current value from the variable DC power supply 50 can be used to control the quantity of electrons generated near the upper electrode 34 and the acceleration voltage for accelerating the electrons toward the wafer W, so that the photo-resist film is reinforced in a predetermined manner.
  • the photo-resist film on the semiconductor wafer W is a photo-resist film (which will be referred to as an ArF resist film) for an ArF excimer laser (with a wavelength of 193 nm)
  • the ArF resist film changes its polymer structure through reactions shown in the following chemical formulas (1) and (2), and is then irradiated with electrons, thereby arriving at the structure shown on the right side of the following chemical formula (3).
  • the composition of the ArF resist film is reformed (resist cross-linkage reaction), as shown in a portion d of the chemical formula (3).
  • this portion d has a function of greatly enhancing the etching resistance property (plasma resistance property), the etching resistance property of the ArF resist film remarkably increases. As a consequence, the surface roughness of the ArF resist film is suppressed, and the etching selectivity of an etching target layer relative to the ArF resist film is increased.
  • the application voltage value or current value from the variable DC power supply 50 is preferably controlled by the controller 51 to enhance the etching resistance property of the photo-resist film (particularly, ArF resist film) by irradiation with electrons.
  • variable DC power supply 50 when a DC voltage is applied to the upper electrode 34 , electrons generated near the upper electrode 34 in plasma generation are accelerated in the vertical direction within the process space.
  • the polarity, voltage value, and current value of the variable DC power supply 50 can be set at predetermined conditions, so that electrons reach into holes formed on the semiconductor wafer W. As a consequence, the shading effect is suppressed to obtain a good processed shape without bowing, while improving the uniformity of the processed shape.
  • I DC electron current amount due to the DC voltage
  • I ion ion current amount incident on the wafer from plasma
  • I DC is preferable to satisfy I DC >(1 ⁇ 2) I ion .
  • I ion Z ⁇ v ion e (where, Z is charge number, ⁇ is current velocity density, v ion is ion velocity, and e is electron charge amount 1.6 ⁇ 10 ⁇ 19 C)
  • is in proportion to electron density Ne
  • I ion is in proportion to Ne.
  • the DC voltage applied to the upper electrode 34 can be controlled, so as to exercise the sputtering function onto the upper electrode 34 and the plasma pressing function, as well as the supply function of supplying a large quantity of electrons generated at the upper electrode 34 to the semiconductor wafer W, as described above.
  • This arrangement makes it possible to reinforce the photo-resist film, supply optimum polymers, and suppress dissociation of the process gas. As a consequence, the surface roughness of the photo-resist is suppressed, and the etching selectivity of an etching target layer relative to the photo-resist film is increased. Further, the CD of an opening portion formed in the photo-resist film is prevented from expanding, thereby realizing pattern formation with high accuracy. Particularly, these effects are more enhanced by controlling the DC voltage to suitably exercise the three functions described above, i.e., the sputtering function, plasma pressing function, and electron supply function.
  • variable DC power supply 50 The voltage applied from the variable DC power supply 50 is preferably controlled by the controller 51 to exercise one or more of the functions to effectively obtain the corresponding effects.
  • the DC voltage applied to the upper electrode 34 can be adjusted to control the plasma potential. In this case, etching by-products can be prevented from being deposited on the upper electrode 34 , the deposition shield 11 forming a part of the chamber wall, the inner wall member 26 , and the insulating shield member 42 .
  • etching by-products are deposited on the upper electrode 34 or the deposition shield 11 forming the chamber wall, a problem may arise in that the process characteristics change or particles are generated. Particularly, there is involving sequentially etching a multi-layered film, in which an Si-organic film (SiOC), SiN film, SiO 2 film, and photo-resist are laminated on a semiconductor wafer W in this order. In this case, since suitable etching conditions are different for the respective films, a memory effect may occur in that a previous process leaves some effect that affects a subsequent process.
  • SiOC Si-organic film
  • the amount of deposition of etching by-products described above depends on the potential difference between the plasma and the upper electrode 34 , chamber wall, or the like. Accordingly, deposition of etching products can be suppressed by controlling the plasma potential.
  • the voltage applied from the variable DC power supply 50 to the upper electrode 34 can be controlled to lower the plasma potential.
  • etching by-products can be prevented from being deposited on the upper electrode 34 , the deposition shield 11 forming a part of the chamber wall, and the insulating members (members 26 and 42 ) inside the chamber 10 .
  • the plasma potential Vp is preferably set at a value within a range of 80V ⁇ Vp ⁇ 200V.
  • the applied DC voltage contributes to formation of plasma, as another effect obtained by the DC voltage applied to the upper electrode 34 .
  • the plasma density can be higher and the etching rate is thereby increased.
  • the negative DC voltage applied to the upper electrode hinders electrons from entering the upper electrode and thus suppresses extinction of electrons. Further, where the negative DC voltage accelerates ions onto the upper electrode, electrons are emitted from the electrode. These electrons are accelerated at a high velocity due to the difference between the plasma potential and application voltage value, and ionize neutral gas (turn the gas into plasma), thereby increasing the electron density (plasma density).
  • FIGS. 4A to 4D are views showing the relationship between the RF power output and electron density distribution.
  • the absolute value of the negative DC voltage applied to the upper electrode was set at different values of 0V, 300V, 600V, and 900V, under HARC etching conditions in which the first RF power and the second RF power applied to the lower electrode or susceptor 16 had frequencies of 40 MHz and 3.2 MHz, respectively, and the pressure was set at 4 Pa.
  • FIGS. 5A to 5D are views showing relationship between the RF power output and electron density distribution.
  • the absolute value of the negative DC voltage applied to the upper electrode was set at different values of 0V, 300V, 600V, and 900V, under VIA etching conditions in which the two RF powers had frequencies the same as those described above, and the pressure was set at 6.7 Pa.
  • the electron density (plasma density) increased.
  • FIG. 6 is a view showing electron density distributions in the wafer radial direction where the first RF power was set at 3,000 W and the second RF power was set at 4,000 W under the HARC etching mentioned above.
  • the electron density increased.
  • the DC voltage applied to the upper electrode 34 from the variable DC power supply 50 increases the plasma density particularly at the central portion in trench etching.
  • the plasma density tends to be lower at the central portion of the chamber 10 .
  • the DC voltage applied to the upper electrode 34 increases the plasma density at the central portion, the plasma density can be controlled to make the plasma density uniform.
  • the electron density (plasma density) distribution in the wafer radial direction was measure in cases where the upper electrode was supplied with no DC voltage and where it was supplied with ⁇ 600 W, both under trench etching conditions.
  • a semiconductor wafer was loaded into the chamber and placed on the susceptor, and then a process gas comprising CF 4 gas, CHF 3 gas, Ar gas, and N 2 gas was supplied into the chamber, the pressure inside the chamber was set at 26.6 Pa, and the lower electrode or susceptor was supplied with a first RF power with 40 MHz at 300 W and a second RF power with 3.2 MHz at 1,000 W.
  • FIG. 7 shows the results of this experiment. As shown in FIG.
  • the DC voltage applied to the upper electrode 34 can be controlled, so as to effectively exercise at least one of the above-described sputtering function onto the upper electrode 34 , plasma pressing function, electron supply function, plasma potential control function, electron density (plasma density) increase function, and plasma density control function.
  • a DC voltage is applied to the upper electrode of a plasma etching apparatus in which a first radio frequency (RF) power for plasma generation and a second radio frequency (RF) power for ion attraction are applied to the lower electrode, i.e., an apparatus of the type that applies two RF powers with different frequencies to the lower side.
  • Plasma etching apparatuses of the type that applies two RF powers with different frequencies to the lower side have the following advantages, as compared to the other plasma etching apparatus of the capacitive coupling type.
  • a plasma etching apparatus of the type that applies two RF powers with different frequencies to the lower side, plasma is generated near the wafer and is prevented from widely diffusing, and the function of generating plasma and the function of attracting ions can be independently controlled.
  • the apparatus can also exercise at least one of the upper electrode sputtering function, plasma pressing function, electron supply (to a wafer) function, plasma potential control function, plasma density increase function, and plasma density control function.
  • the DC voltage application to the upper electrode 34 may be selectively performed. Under etching conditions which require the DC voltage application to the upper electrode 34 , the variable DC power supply 50 and the relay switch 52 shown in FIG. 2 are set in the ON-state. On the other hand, under etching conditions which do no require the DC voltage application to the upper electrode 34 , the variable DC power supply 50 and relay switch 52 are set in the OFF-state.
  • FIG. 8 shows a schematic view of this structure.
  • a dielectric body is disposed at each of the portions that electrically form capacitors 501 , 502 , and 503 , so that upper electrode 34 is set in a floating state in the sense of DC by the dielectric body from the process chamber 10 and grounded conductive body 10 a .
  • RF powers applied from the RF power supplies 88 and 89 to the lower electrode 16 reach the upper electrode 34 through the process space, and then reach the grounded process chamber 10 and grounded conductive body 10 a through the capacitors 501 , 502 , and 503 .
  • the upper electrode 34 may be arranged to be switchable between the grounded state and floating state in the sense of DC.
  • the grounded conductive body 10 a is short-circuited with the upper electrode 34 by a switch (switching unit) 504 to set the upper electrode 34 in a grounded state.
  • the switch (switching unit) 504 may be turned off to set the upper electrode 34 in a floating state in the sense of DC.
  • the portion electrically forming the capacitor 501 may be structured such that the capacitance is electrically variable. With this arrangement, the potential on the upper electrode can be variably adjusted.
  • a detector 55 may be disposed to detect the plasma state through, e.g., a plasma detection window 10 a , so that the controller 51 can control the variable DC power supply 50 based on the detection signal.
  • a detector for detecting the sheath length or a detector for detecting the electron density may be disposed, so that the controller 51 can control the variable DC power supply 50 based on the detection signal.
  • a plasma etching apparatus of the type that applies two RF powers with different frequencies to the lower side, and further applies a DC voltage to the upper electrode
  • the apparatus is used to etch an insulating film (for example, Low-k film) disposed on a wafer W
  • the following combination of gases is particularly preferably used as a process gas.
  • a combination of (C 5 F 8 , Ar, and N 2 ), (C 4 F 8 , Ar, and N 2 ), (C 4 F 8 , Ar, N 2 , and O 2 ), or (C 4 F 8 , Ar, N 2 , and CO) may be preferably used as a process gas.
  • the selectivity of an insulating film relative to an underlying film SiC, SiN, etc. can become larger.
  • CF 4 or a combination of (CF 4 and Ar) or (N 2 and H2) may be preferably used as a process gas.
  • the selectivity of an insulating film relative to a mask can become larger.
  • CF 4 or a combination of (CF 4 and C 3 F 8 ), (CF 4 and C 4 F 8 ), or (CF 4 and C 4 F 6 ) may be preferably used as a process gas.
  • a combination of (C 4 F 6 , CF 4 , Ar, and O 2 ), (C 4 F 6 , C 3 F 8 , Ar, and O 2 ), (C 4 F 6 , C 4 F 8 , Ar, and O 2 ), (C 4 F 6 , C2F6, Ar, and O 2 ), (C 4 F 8 , Ar, and O 2 ), or (C 4 F 8 , Ar, and O 2 ) may be preferably used as a process gas.
  • the etching rate of an insulating film can become higher.
  • the process gas is not limited to the examples described above, and another combination of (CxHyFz gas/additive gas such as N 2 or O 2 /dilution gas) may be used.
  • this embodiment includes the GND block (conductive member) 91 as a part grounded in the sense of DC, which is disposed on the deposition shield 11 that constitutes the chamber wall.
  • the GND block 91 is exposed to plasma, and is electrically connected to a conductive portion in the deposition shield 11 .
  • the DC voltage current applied from the variable DC power supply 50 to the upper electrode 34 flows through the process space to the GND block 91 , and is then grounded through the deposition shield 11 .
  • the GND block 91 is made of a conductor, and preferably a silicon-containing substance, such as Si or SiC.
  • the GND block 91 may be preferably made of C.
  • the GND block 91 allows electrons accumulated in the upper electrode 34 to be released, thereby preventing abnormal electric discharge.
  • the GND block 91 preferably has a protruding length of 10 mm or more.
  • the position of the GND block 91 is not limited to that shown in FIG. 2 , as long as it is disposed in the plasma generation area.
  • the GND block 91 may be disposed on the susceptor 16 side, e.g., around the susceptor 16 .
  • the GND block 91 may be disposed near the upper electrode 34 , e.g., as a ring disposed outside the upper electrode 34 .
  • Y 2 O 3 or a polymer that covers the deposition shield 11 or the like flies out and may be deposited on the GND block 91 .
  • the GND block 91 cannot maintain the grounding performance any more in the sense of DC, and thus hardly exercises the effect of preventing abnormal electric discharge. Accordingly, it is important to prevent such deposition.
  • the GND block 91 is preferably located at a position remote from members covered with Y 2 O 3 or the like, but preferably near parts made of an Si-containing substance, such as Si or quartz (SiO 2 ).
  • an Si-containing member 93 is preferably disposed near the GND block 91 .
  • the length L of a portion of the Si-containing member 93 below the GND block 91 is preferably set to be equal to or longer than the protruding length M of the GND block 91 .
  • it is effective to form a recess 91 a in the GND block 91 where flying substances are hardly deposited. It is also effective to increase the surface are of the GND block 91 , so that it cannot be entirely covered with Y 2 O 3 or a polymer. Further, in order to suppress deposition, it is effective to increase the temperature.
  • the upper electrode 34 is supplied with an RF power for plasma generation, and thus increases the temperature around it.
  • the GND block 91 is preferably disposed near the upper electrode 34 , as shown in FIG. 14 , to increase the temperature and thereby prevent deposition.
  • the GND block 91 is preferably disposed as a ring outside the upper electrode 34 , as shown in FIG. 14 .
  • a negative DC voltage applicable to the GND block 91 , as shown in FIG. 16 .
  • a negative DC voltage is applied to the GND block 91 , deposition sticking thereto is sputtered or etched, so as to clean the surface of the GND block 91 .
  • a switching mechanism 53 is configured to switch the connection of the GND block 91 between the variable DC power supply 50 and a ground line, so that a voltage can be applied to the GND block 91 from the variable DC power supply 50 .
  • a grounded conductive auxiliary member 91 b is disposed to receive flow of a DC electron current generated by a negative DC voltage applied to the GND block 91 .
  • the switching mechanism 53 includes a first switch 53 a to switch the connection of the variable DC power supply 50 between the matching unit 46 and GND block 91 , and a second switch 53 b to turn on/off the connection of the GND block 91 to the ground line.
  • the GND block 91 is disposed as a ring outside the upper electrode 34
  • the conductive auxiliary member 91 b is disposed around the susceptor 16 .
  • the structure shown in FIG. 16 is typically set as shown in FIG. 17A , in which the first switch 53 a of the switching mechanism 53 is connected to the upper electrode 34 , so the variable DC power supply 50 is connected to the upper electrode 34 , while the second switch 53 b is in the ON-state, so the GND block 91 is connected to the ground line.
  • the first RF power supply 48 and variable DC power supply 50 are electrically connected to the upper electrode 34 , and plasma is thereby generated.
  • a DC electron current flows from the upper electrode 34 through plasma into the grounded GND block 91 and conductive auxiliary member 91 b (a positive ion current flows in the opposite direction).
  • the surface of the GND block 91 may be covered with deposition of Y 2 O 3 or a polymer, as described above.
  • the first switch 53 a of the switching mechanism 53 is switched to the GND block 91 , and the second switch 53 b is turned off.
  • the first RF power supply 48 is electrically connected to the upper electrode 34 , and cleaning plasma is thereby generated, while a negative DC voltage is applied from the variable DC power supply 50 to the GND block 91 .
  • a DC electron current flows from the GND block 91 into the conductive auxiliary member 91 b .
  • positive ions flow into the GND block 91 .
  • the DC voltage can be adjusted to control the energy of positive ions incident on the GND block 91 , so that the surface of the GND block 91 is sputtered by ions to remove deposition sticking to the surface of the GND block 91 .
  • the second switch 53 b may be set in the OFF state during a partial period of plasma etching, so that the GND block 91 is in a floating state.
  • a DC electron current flows from the upper electrode 34 through plasma into the conductive auxiliary member 91 b (a positive ion current flows in the opposite direction).
  • the GND block 91 is given a self bias voltage, which provides energy for positive ions to be incident on the GND block 91 , thereby cleaning the GND block 91 .
  • the application DC voltage can be small, and thus the DC electron current is also small at this time. Accordingly, in the structure shown in FIG. 16 , where electric charges due to leakage current can be prevented from accumulating in the GND block 91 , the conductive auxiliary member 91 b is not necessarily required.
  • the connection of the variable DC power supply 50 is switched from the upper electrode 34 to the GND electrode 91 , so that a DC electron current due to application of a DC voltage flows from the GND block 91 to the conductive auxiliary member 91 b .
  • the positive terminal of the variable DC power supply 50 is connected to the upper electrode 34
  • the negative terminal is connected to the GND block 91
  • a DC electron current due to application of a DC voltage flows from the GND block 91 to the upper electrode 34 .
  • the conductive auxiliary member is not necessary.
  • FIG. 19 shows such a structure. The structure shown in FIG.
  • connection switching mechanism 57 which is configured to perform connection switching such that, during plasma etching, the negative terminal of the variable DC power supply 50 is connected to the upper electrode 34 , while the GND block 91 is connected to the ground line. Further, in this switching, during cleaning, the positive terminal of the variable DC power supply 50 is connected to the upper electrode 34 , while the negative terminal is connected to the GND block 91 .
  • This connection switching mechanism 57 includes a first switch 57 a to switch the connection of the variable DC power supply 50 to the upper electrode 34 between the positive terminal and negative terminal, a second switch 57 b to switch the connection of the variable DC power supply 50 to the GND block 91 between the positive terminal and negative terminal, and a third switch 57 c to set the positive terminal or negative terminal of the variable DC power supply 50 to be grounded.
  • the first switch 57 a and second switch 57 b are arranged to form an interlock switch structure. Specifically, when the first switch 57 a is connected to the positive terminal of the variable DC power supply 50 , the second switch 57 b is connected to the negative terminal of the DC power supply. Further, when the first switch 57 a is connected to the negative terminal of the variable DC power supply 50 , the second switch 57 b is set in the OFF state.
  • the structure shown in FIG. 19 is set as shown in FIG. 20A , in which the first switch 57 a of the connection switching mechanism 57 is connected to the negative terminal of the variable DC power supply 50 , so the negative terminal of the variable DC power supply 50 is connected to the upper electrode 34 . Further, the second switch 57 b is connected to the positive terminal of the variable DC power supply 50 , and the third switch 57 c is connected to the positive terminal of the variable DC power supply 50 (the positive terminal of the variable DC power supply 50 is grounded), so that the GND block 91 is connected to the ground line. In this state, the first RF power supply 48 and variable DC power supply 50 are electrically connected to the upper electrode 34 , and plasma is thereby generated.
  • a DC electron current flows from the upper electrode 34 through plasma into the grounded GND block 91 (a positive ion current flows in the opposite direction).
  • the surface of the GND block 91 may be covered with deposition of Y 2 O 3 or a polymer, as described above.
  • the first switch 57 a of the connection switching mechanism 57 is switched to the positive terminal of the variable DC power supply 50
  • the second switch 57 b is switched to the negative terminal of the variable DC power supply 50
  • the third switch 57 c is set to be in a disconnected state.
  • the first RF power supply 48 is electrically connected to the upper electrode 34 , and cleaning plasma is thereby generated, while a DC voltage is applied to the GND block 91 from the negative terminal of the variable DC power supply 50 and to the upper electrode 34 from the positive terminal of the variable DC power supply 50 .
  • the variable DC power supply 50 appears to be in a floating state, but, in general, a power supply is provided with a frame ground line, thus is safe.
  • the positive terminal of the variable DC power supply 50 may be kept in the connected state (the positive terminal of the variable DC power supply 50 is grounded).
  • the first RF power supply 48 is electrically connected to the upper electrode 34 , and cleaning plasma is thereby generated, while a DC voltage is applied from the negative terminal of the variable DC power supply 50 to the GND block 91 .
  • a DC electron current flows from the GND block 91 into the upper electrode 34 through plasma, while positive ions flow into the GND block 91 .
  • the DC voltage can be adjusted to control the energy of positive ions incident on the GND block 91 , so that the surface of the GND block 91 is sputtered by ions to remove deposition sticking to the surface of the GND block 91 .
  • a DC voltage is applied to the GND block 91 during cleaning
  • an AC voltage may be alternatively applied.
  • the variable DC power supply 50 for applying a DC voltage to the upper electrode is used for applying a voltage to the GND block 91
  • another power supply may be used for applying the voltage.
  • the GND block 91 is grounded during plasma etching, while a negative DC voltage is applied to the GND block 91 during cleaning, this is not limiting. For example, during plasma etching, a negative DC voltage may be applied to the GND block 91 .
  • variable DC power supply 50 is formed of a bipolar power supply, it does not require any complex switching operation, such as that of the connection switching mechanism 57 described above.
  • the switching operations of the switching mechanism 53 of the example shown in FIG. 16 and the connection switching mechanism 57 of the example shown in FIG. 19 are performed in accordance with commands sent from the control section 95 .
  • the GND block 91 In order to simply prevent the GND block 91 from losing the grounding performance in the sense of DC, due to deposition of Y 2 O 3 or a polymer on the GND block 91 in plasma generation, it is effective to partly cover the GND block 91 with another member, and to move them relative to each other so as to expose a new surface of the GND block 91 .
  • the GND block 91 is set to have a relatively large area, and the surface of the GND block 91 to be in contact with plasma is partly covered with a mask member 111 movable in the arrow direction. This cover plate 111 is movable, so that a portion to be exposed to plasma can be changed on the surface of the GND block 91 .
  • a driving mechanism disposed in the chamber 10 may cause a problem about particle generation, it cannot be serious because the frequency of use of the driving mechanism is as low as once in 100 hours.
  • a columnar GND block 191 is rotatably disposed, and the outer periphery surface of the GND block 191 is covered with a mask member 112 , so that it is partially exposed. Where the GND block 191 is rotated, the portion to be exposed to plasma can be changed.
  • a driving mechanism may be disposed outside the chamber 10 .
  • Each of the mask members 111 and 112 may be formed of a member having a high plasma resistance property, such as an aluminum plate covered with a ceramic, such as Y 2 O 3 , formed by thermal spray.
  • the GND block 91 In order to simply prevent the GND block 91 from losing the grounding performance in the sense of DC due to deposition, it is also effective to partly cover the GND block 91 with another member, which is to be gradually etched by plasma, so that a part of the surface of the GND block 91 , which has not lost conductivity, is always exposed.
  • FIG. 23A it may be adopted that the surface of the GND block 91 is partly covered with a stepped cover film 113 disposed to leave an initially exposed surface 91 c that provides a grounding performance. In this case, after a plasma process is performed for, e.g., 200 hours, the initially exposed surface 91 c of the GND block 91 loses conductivity, as shown in FIG. 23B .
  • the stepped cover film 113 is designed to have a thin portion such that it has been etched by this time, so that a new exposed surface 91 d of the GND block 91 appears.
  • the new exposed surface 91 d provides a grounding performance.
  • This cover film 113 has the effect of preventing a wall surface material from being deposited on the GND block 91 , as well as the effect of reducing ions incident on the GND block 91 to prevent contamination thereof.
  • a cover film 113 a in which a number of thin layers 114 are stacked while the layers are gradually shifted.
  • the thickness of the layer 114 is set to satisfy Te ⁇ Tp, so that a conductive surface is always ensured on the GND block 91 .
  • the number of layers 114 is preferably set to make the service life of the GND block 91 longer than the frequency of maintenance.
  • one layer 114 a is provided with a different color from the others, as shown in FIG. 24 , so that it is possible to know the time to replace the GND block 91 with a new one, by this film 114 a , for example, when the surface area of this film 114 a exceeds a certain value.
  • Each of the cover films 113 and 113 a is preferably formed of a film to be suitably etched by plasma, such as a photo-resist film.
  • GND block 91 In order to simply prevent the GND block 91 from losing the grounding performance in the sense of DC due to deposition, it may be also adopted to dispose a plurality of GND blocks 91 , so that they are switched in turn to exercise a grounding performance. For example, as shown in FIG. 25 , three GND blocks 91 are disposed and only one of them is selectively grounded by a shift switch 115 . Further, a current sensor 117 is disposed on a common ground line 116 to monitor a DC current flowing therethrough.
  • the current sensor 117 is used to monitor a current flowing through a grounded GND block 91 , and when the current value becomes lower than a predetermined value, it is determined that this GND block 91 cannot exercise the grounding performance, and thus the connection is switched from this one to another GND block 91 .
  • the number of GND blocks 91 is suitably selected from a range of about 3 to 10.
  • FIG. 26 shows an example designed in this aspect.
  • each of ground lines 118 respectively connected to GND blocks 91 is provided with a variable DC power supply 119 .
  • the voltage of a GND block 91 to exercise a grounding performance is set at 0V by controlling the voltage of the corresponding variable DC power supply 119 .
  • the voltage of each of the other GND blocks 91 is set at, e.g., 100V to prevent an electric current from flowing therethrough by controlling the voltage of the corresponding variable DC power supply 119 .
  • the current value detected thereby becomes lower than a predetermined value at the current sensor 117 on the ground line 118 connected to a GND block 91 to exercise a grounding performance
  • the voltage of the variable DC power supply 119 corresponding to another GND block 91 is controlled to be a value for this GND block 91 to exercise a grounding performance.
  • the GND block 91 connected thereto can function as an electrode to apply a DC voltage to plasma. However, if this value is too large, the plasma is affected. Further, the voltage applied to the GND block 91 can be controlled to obtain a cleaning effect on the GND block 91 .
  • the first RF power and second RF power may have frequencies, as follows.
  • the frequency of the first RF power may be one of 13.56 MHz, 27 MHz, 40 MHz, 60 MHz, 80 MHz, 100 MHz, and 160 MHz
  • the frequency of the second RF power may be one of 380 kHz, 800 kHz, 1 MHz, 2 MHz, 3.2 MHz, and 13.56 MHz. They are suitably combined in accordance with a process to be performed.
  • the embodiment described above is exemplified by a plasma etching apparatus, but it may be applied to other apparatuses that utilize plasma to process a semiconductor substrate, such as a plasma film formation apparatus.

Abstract

An apparatus includes an upper electrode and a lower electrode for supporting a wafer disposed opposite each other within a process chamber. A first RF power supply configured to apply a first RF power having a relatively higher frequency, and a second RF power supply configured to apply a second RF power having a relatively lower frequency is connected to the lower electrode. A variable DC power supply is connected to the upper electrode. A process gas is supplied into the process chamber to generate plasma of the process gas so as to perform plasma etching.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application is a continuation application of U.S. application Ser. No. 11/156,561, filed Jun. 21, 2005, which claims the benefit of U.S. Provisional Applications No. 60/589,831, filed Jul. 22, 2004; 60/650,957, filed Feb. 9, 2005; and 60/662,344, filed Mar. 17, 2005.
  • This application is based upon and claims the benefit of priority from the prior Japanese Patent Applications No. 2004-183093, filed Jun. 21, 2004; No. 2005-013912, filed Jan. 21, 2005; and No. 2005-045095, filed Feb. 22, 2005, the entire contents of all of which are incorporated herein by reference.
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • The present invention relate to a plasma processing apparatus, plasma processing method, and computer readable storage medium, used for performing a plasma process on a target substrate, such as a semiconductor substrate.
  • 2. Description of the Related Art
  • For example, in manufacturing semiconductor devices, plasma etching processes, which utilize plasma to etch a layer through a resist mask, are often used for forming a predetermined pattern on a predetermined layer disposed on a target substrate or semiconductor wafer.
  • There are various plasma etching apparatuses for performing such plasma etching, but parallel-plate plasma processing apparatuses of the capacitive coupling type are the ones in mainstream use.
  • In general, a parallel-plate plasma etching apparatus of the capacitive coupling type includes a chamber with parallel-plate electrodes (upper and lower electrodes) disposed therein. While a process gas is supplied into the chamber, an RF (radio frequency) is applied to one of the electrodes to form an electric field between the electrodes. The process gas is turned into plasma by the RF electric field, thereby performing plasma etching on a predetermined layer disposed on a semiconductor wafer.
  • More specifically, there is known a plasma etching apparatus in which an RF for plasma generation is applied to the upper electrode to generate plasma, while an RF for ion attraction is applied to the lower electrode (for example, Jpn. Pat. Appln. KOKAI Publication No. 2000-173993 (Patent publication 1)). This plasma etching apparatus can form a suitable plasma state and realize an etching process with high selectivity and high reproducibility.
  • In recent years, owing to the demands of increased micro-fabrication, the thickness of photo-resist films used as masks is reduced, while the type of photo-resist is shifted from KrF photo-resist (i.e., a photo-resist to be exposed with a laser beam emitted from KrF gas) to ArF photo-resist (i.e., a photo-resist to be exposed with a shorter-wavelength laser beam emitted from ArF gas), which allows formation of pattern opening portions of about 0.13 μm or less.
  • However, since the ArF photo-resist has poor plasma resistance properties, its surface becomes rough during etching, which is scarcely caused in the KrF resist. Accordingly, problems arise in that vertical lines (striation) are formed on the inner wall of opening portions, or opening portions are expanded (increase in CD). These problems prevent, along with a small thickness of the photo-resist, etching holes from being formed with good etching selectivity.
  • On the other hand, in etching apparatuses of this kind, if the power level of an RF power for plasma generation applied to the upper electrode is too low, deposited substances (deposition) may remain on the upper electrode after etching, thereby varying process characteristics or producing particles. By contrast, if the power level is too high, the electrode may be etched, thereby bringing about process characteristics different from those obtained by a lower power level. The suitable range of power from the RF power supply depends on the process, and the process should not be fluctuated by the power. Further, in serial etching processes, deposition sticking to the chamber wall causes a memory effect in that a previous process leaves some effect that affects a subsequent process. Accordingly, it is preferable to reduce deposition on the chamber wall.
  • Furthermore, in parallel-plate etching apparatuses of the capacitive coupling type, where the pressure in the chamber is high and the etching gas in use is a negative gas (for example, CxFy or O2), the plasma density becomes low at the chamber central portion, which makes it difficult to control the plasma density.
  • BRIEF SUMMARY OF THE INVENTION
  • The present invention has been made in consideration of the problems described above, and has an object to provide a plasma processing apparatus and plasma processing method, which can realize high selectivity etching while maintaining the plasma resistance properties of a resist layer or organic mask layer at a high level, or can effectively prevent deposition on an electrode, or can realize high rate etching, or can realize uniform etching on a target substrate.
  • According to a first aspect of the present invention, there is provided a plasma processing apparatus comprising: a process chamber configured to accommodate a target substrate and to be vacuum-exhausted; a first electrode and a second electrode disposed opposite each other within the process chamber, the second electrode being configured to support the target substrate; a first RF power application unit configured to apply a first RF power having a relatively higher frequency to the second electrode; a second RF power application unit configured to apply a second RF power having a relatively lower frequency to the second electrode; a DC power supply configured to apply a DC voltage to the first electrode; and a process gas supply unit configured to supply a process gas into the process chamber.
  • In this apparatus, it may be adopted that the DC power supply is configured such that any one of application voltage, application current, and application power to the first electrode is variable. The apparatus may further comprise a control unit configured to control any one of application voltage, application current, and application power from the DC power supply to the first electrode. In this case, it may be adopted that the control unit is configured to control whether the DC voltage is to be applied or not, from the DC power supply to the first electrode. The apparatus may further comprise a detector configured to detect a generated plasma state, wherein the control unit controls any one of application voltage, application current, and application power from the DC power supply to the first electrode, based on information from the detector.
  • In the plasma processing apparatus according to the first aspect of the present invention, typically, the first electrode is an upper electrode, and the second electrode is a lower electrode. In this case, the first RF power applied to the second electrode preferably has a frequency of 27 MHz or more, and more preferably has a frequency of 40 MHz or more. It is preferable that the second RF power applied to the second electrode has a frequency of 13.56 MHz or less.
  • In the plasma processing apparatus according to the first aspect of the present invention, it is preferable that the DC power supply is configured to apply a voltage within a range of −2,000 to +1,000V. It may be adopted that a surface of the first electrode facing the second electrode is made of a silicon-containing substance.
  • In the plasma processing apparatus according to the first aspect of the present invention, it is preferable that the first electrode is in a floating state relative to a ground potential in a sense of DC. In this case, it is preferable that the apparatus further comprises a changeover device configured to change over the first electrode between the floating state and a grounded state, wherein, based on a command from an overall control unit, the changeover device sets the first electrode in the floating state relative to a ground potential when the DC voltage is applied to the first electrode, and the changeover device sets the first electrode in the floating state or the grounded state relative to the ground potential when the DC voltage is not applied to the first electrode.
  • In the plasma processing apparatus according to the first aspect of the present invention, it may be adopted that a conductive member regularly grounded is disposed within the process chamber to release through plasma a current caused by the DC voltage applied from the DC power supply to the first electrode. In this case, it may be adopted that the first electrode is an upper electrode, the second electrode is a lower electrode, and the conductive member is disposed around the second electrode, or the conductive member is disposed near the first electrode. It may be adopted that the conductive member is disposed to form a ring shape around the first electrode. It may be adopted that the grounded conductive member has a recess to prevent flying substances from being deposited during a plasma process.
  • In the structure described above, it may be adopted that a cover plate is disposed to partly cover the conductive member, and the cover plate is moved relative to the conductive member by a driving mechanism to change a portion of the conductive member to be exposed to plasma. It may be adopted that the conductive member is columnar and partly exposed to plasma, and the conductive member is rotated about a center thereof by a driving mechanism to change a portion of the conductive member to be exposed to plasma. It may be adopted that a cover film having a stepped shape and made of a material to be etched by plasma is disposed to partly cover the conductive member, and the cover film is configured to be etched to change a portion of the conductive member to be exposed to plasma.
  • In the plasma processing apparatus according to the first aspect of the present invention, it may be adopted that a conductive member to be grounded based on a command from an overall control unit is disposed within the process chamber to release through plasma a current caused by the DC voltage applied from the DC power supply to the first electrode. In this case, it may be adopted that the first electrode is an upper electrode, the second electrode is a lower electrode, and the conductive member is disposed around the second electrode, or the conductive member is disposed near the first electrode. It may be adopted that the conductive member is disposed to form a ring shape around the first electrode. It may be adopted that the grounded conductive member has a recess to prevent flying substances from being deposited during a plasma process. It may be adopted that the conductive member is grounded during plasma etching.
  • It may be adopted that the conductive member is configured to be supplied with a DC voltage or AC voltage, and the DC voltage or AC voltage is applied based on a command from an overall control unit to sputter or etch a surface of the conductive member. In this case, it is preferable that the DC voltage or AC voltage is applied to the conductive member during cleaning. The apparatus may further comprise a switching mechanism configured to switch connection of the conductive member between the DC power supply and a ground line, wherein, when the conductive member is connected to the DC power supply by the switching mechanism, the DC voltage or AC voltage is applied from the DC power supply to the conductive member to sputter or etch a surface thereof. In this structure, it is preferable that the conductive member is configured to be supplied with a negative DC voltage. Where a negative DC voltage is applicable, it is preferable that a grounded conductive auxiliary member is disposed within the process chamber to release a DC electron current flowing into the process chamber when the negative DC voltage is applied to the conductive member. In this case, it may be adopted that the first electrode is an upper electrode, the second electrode is a lower electrode, the conductive member is disposed near the first electrode, and the conductive auxiliary member is disposed around the second electrode.
  • In the plasma processing apparatus according to the first aspect of the present invention, the apparatus may further comprise a conductive member disposed within the process chamber and configured to take on either one of a first state and a second state based on a command from an overall control unit, the first state being arranged to ground the conductive member to release through plasma a DC current applied from the DC power supply to the first electrode, and the second state being arranged to apply a DC voltage from the DC power supply to the conductive member to sputter or etch a surface thereof; and a connection switching mechanism disposed to switch between first connection and second connection to form the first state and the second state, respectively, the first connection being arranged to connect a negative terminal of the DC power supply to the first electrode and to connect the conductive member to a ground line, and the second connection being arranged to connect a positive terminal of the DC power supply to the first electrode and to connect the negative terminal of the DC power supply to the conductive member. In this case, it is preferable that the first state is formed during plasma etching, and the second state is formed during cleaning of the conductive member.
  • According to a second aspect of the present invention, there is provided a plasma processing method using a process chamber with a first electrode and a second electrode disposed opposite each other therein, the second electrode being configured to support a target substrate, the method comprising supplying a process gas into the process chamber, while applying a first RF power having a relatively higher frequency and a second RF power having a relatively lower frequency to the second electrode, to generate plasma of the process gas, thereby performing a plasma process on a target substrate supported by the second electrode, wherein the method comprises: applying a DC voltage to the first electrode; and performing the plasma process on the target substrate while the applying the DC voltage to the first electrode.
  • In this method, it may be adopted that any one of application voltage, application current, and application power applied to the first electrode is variable. The method may further comprise controlling any one of application voltage, application current, and application power applied to the first electrode. The method may further comprise controlling whether the DC voltage is to be applied or not to the first electrode. The method may further comprise detecting a generated plasma state, to control any one of application voltage, application current, and application power to the first electrode, based on detected information.
  • In the plasma processing method according to the second aspect of the present invention, it is preferable that the first electrode is in a floating state relative to a ground potential in a sense of DC. In this case, it is preferable that the first electrode is changeable between the floating state and a grounded state, and wherein, based on a command from an overall control unit, the first electrode is set in the floating state relative to a ground potential when the DC voltage is applied to the first electrode, and the first electrode is set in the floating state or the grounded state relative to the ground potential when the DC voltage is not applied to the first electrode.
  • In the plasma processing method according to the second aspect of the present invention, it is preferable that a conductive member regularly grounded is disposed within the process chamber to release through plasma a current caused by the DC voltage applied to the first electrode. Alternatively, it is preferable that a conductive member to be grounded based on a command from an overall control unit is disposed within the process chamber to release through plasma a current caused by the DC voltage applied to the first electrode.
  • In the arrangements described above, it may be adopted that the conductive member is grounded during plasma etching. It may be adopted that the conductive member is configured to be supplied with a DC voltage or AC voltage, and the DC voltage or AC voltage is applied based on a command from an overall control unit to sputter or etch a surface of the conductive member. It may be adopted that the DC voltage or AC voltage is applied to the conductive member during cleaning. It may be adopted that a switching mechanism is further disposed to switch connection of the conductive member between a DC power supply configured to apply a DC voltage and a ground line, and wherein, when the conductive member is connected to the DC power supply by the switching mechanism, the DC voltage or AC voltage is applied from the DC power supply to the conductive member to sputter or etch a surface thereof. It may be adopted that the conductive member is configured to be supplied with a negative DC voltage. It may be adopted that a grounded conductive auxiliary member is disposed within the process chamber to release a DC electron current flowing into the process chamber when the negative DC voltage is applied to the conductive member.
  • In the plasma processing method according to the second aspect of the present invention, it may be adopted that the method comprises etching an insulating film on the target substrate supported by the second electrode, wherein the process gas comprises any one of a combination of C5F8, Ar, and N2, a combination of C4F8, Ar, and N2, a combination of C4F8, Ar, N2, and O2, and a combination of C4F8, Ar, N2, and CO to increase selectivity of the insulating film relative to an underlying film. It may be adopted that the method comprises etching an insulating film on the target substrate supported by the second electrode, wherein the process gas comprises CF4 or a combination of CF4, Ar or N2, and H2 to increase selectivity of the insulating film relative to a mask. It may be adopted that the method comprises etching an organic anti-reflection film on an insulating film on the target substrate supported by the second electrode, wherein the process gas comprises any one of CF4, a combination of CF4 and C3F8, a combination of CF4 and C4F8, and a combination of CF4 and C4F6. It may be adopted that the method comprises etching an insulating film on the target substrate supported by the second electrode, wherein the process gas comprises any one of a combination of C4F6, CF4, Ar, and O2, a combination of C4F6, C3F8, Ar, and O2, a combination of C4F6, C4F8, Ar, and O2, a combination of C4F6, C2F6, Ar, and O2, a combination of C4F8, Ar, and O2, and a combination of C4F8, Ar, and O2 to increase an etching rate of the insulating film.
  • According to a third aspect of the present invention, there is provided a computer storage medium storing a control program for execution on a computer, wherein the control program, when executed, controls a plasma processing apparatus to perform the plasma processing method according to the second aspect.
  • According to the present invention, the first RF power application unit configured to apply a first RF power having a relatively higher frequency, and the second RF power application unit configured to apply a second RF power having a relatively lower frequency is connected to the second electrode for supporting a target substrate, and the DC power supply configured to apply a DC voltage is connected to the first electrode. With this arrangement, when the RF powers having different frequencies are applied from first and second RF power application units to the second electrode to generate plasma of a process gas and attract ions onto the target substrate so as to perform a plasma process, the DC voltage is further applied to the first electrode. As a consequence, it is possible to exercise at least one of (1) the effect of increasing the absolute value of a self-bias voltage to the first electrode to sputter the first electrode surface, (2) the effect of expanding the plasma sheath on the first electrode side to press the plasma, (3) the effect of irradiating the target substrate with electrons generated near the first electrode, (4) the effect of controlling the plasma potential, (5) the effect of increasing the electron (plasma) density, and (6) the effect of increasing the plasma density at the central portion.
  • With the effect (1) described above, even if polymers derived from a process gas and a photo-resist are deposited on the surface of the first electrode, the polymers are sputtered, thereby cleaning up the surface of the first electrode. Further, an optimum quantity of polymers can be supplied onto the substrate, thereby canceling the surface roughness of the photo-resist film. Further, since the body of the electrode is sputtered, the electrode material can be supplied onto the substrate, thereby reinforcing an organic mask made of, e.g., a photo-resist film.
  • With the effect (2) described above, the effective residence time above the target substrate is decreased, and the plasma concentrates above the target substrate with less diffusion, thereby reducing the dissociation space. In this case, dissociation of a fluorocarbon family process gas is suppressed for an organic mask made of, e.g., a photo-resist film to be less etched.
  • With the effect (3) described above, the composition of a mask on the target substrate is reformed and the roughness of the photo-resist film is cancelled. Further, since the target substrate is irradiated with electrons at a high velocity, the shading effect is suppressed and micro-fabrication is thereby improved on the target substrate.
  • With the effect (4) described above, the plasma potential can be suitably controlled to prevent etching by-products from being deposited on members inside the process chamber, such as the electrodes, chamber wall (e.g., deposition shield), and insulating members.
  • With the effect (5) described above, the etching rate (etching speed) on the target substrate is improved.
  • With the effect (6) described above, even where the pressure inside the process chamber is high and the etching gas employed is a negative gas, the plasma density is prevented from being lower at the central portion than at the peripheral portion within the process chamber (suppressing generation of negative ions), so as to control the plasma density to be more uniform.
  • As a consequence, the plasma resistance property of an organic mask layer made of, e.g., a resist layer remains high, so that the etching can be performed with high selectivity. Alternatively, the electrodes can be effectively free from deposited substances. Alternatively, the etching can be performed on the target substrate at a high rate or uniformly.
  • Additional objects and advantages of the invention will be set forth in the description which follows, and in part will be obvious from the description, or may be learned by practice of the invention. The objects and advantages of the invention may be realized and obtained by means of the instrumentalities and combinations particularly pointed out hereinafter.
  • BRIEF DESCRIPTION OF THE SEVERAL VIEWS OF THE DRAWING
  • The accompanying drawings, which are incorporated in and constitute a part of the specification, illustrate presently preferred embodiments of the invention, and together with the general description given above and the detailed description of the preferred embodiments given below, serve to explain the principles of the invention.
  • FIG. 1 is a sectional view schematically showing a plasma etching apparatus according to an embodiment of the present invention;
  • FIG. 2 is a sectional view schematically showing a plasma etching apparatus according to the embodiment of the present invention;
  • FIGS. 3A and 3B are views showing change in Vdc and plasma sheath length where a DC voltage is applied to the upper electrode in the plasma etching apparatus shown in FIG. 2;
  • FIGS. 4A to 4D are views showing change in electron density where the DC voltage applied is set at different values under HARC etching conditions in the plasma etching apparatus shown in FIG. 2;
  • FIGS. 5A to 5D are views showing change in electron density where the DC voltage applied is set at different values under VIA etching conditions in the plasma etching apparatus shown in FIG. 2;
  • FIG. 6 is a view showing electron density distributions in the wafer radial direction where the HARC etching mentioned above employs a first RF power of 3,000 W and a second RF power of 4,000 W;
  • FIG. 7 is a view showing electron density distributions in the wafer radial direction where a DC voltage is applied and where no DC voltage is applied, under trench etching conditions;
  • FIG. 8 is a view showing an electrical state of the upper electrode in the plasma etching apparatus shown in FIG. 2;
  • FIG. 9 is a view showing an electrical state of the upper electrode in the plasma etching apparatus shown in FIG. 2;
  • FIG. 10 is a view showing an electrical state of the upper electrode in the plasma etching apparatus shown in FIG. 2;
  • FIG. 11 is a sectional view showing a modification of the plasma etching apparatus shown in FIG. 2, provided with a detector for detecting plasma;
  • FIG. 12 is a view showing a waveform for suppressing abnormal electric discharge where a DC voltage is applied to the upper electrode in the plasma etching apparatus shown in FIG. 1;
  • FIG. 13 is a schematic view showing another layout of a GND block;
  • FIG. 14 is a schematic view showing another layout of the GND block;
  • FIGS. 15A and 15B are views showing structures for preventing deposition on the GND block;
  • FIG. 16 is a schematic view showing an example of a device that can remove deposition on the GND block;
  • FIGS. 17A and 17B are schematic views showing a state in plasma etching and a state in cleaning, respectively, of the device shown in FIG. 16;
  • FIG. 18 is a schematic view showing another state in plasma etching of the device shown in FIG. 16;
  • FIG. 19 is a schematic view showing another example of a device that can remove deposition on the GND block;
  • FIGS. 20A and 20B are schematic views showing a state in plasma etching and a state in cleaning, respectively, of the device shown in FIG. 19;
  • FIG. 21 is a schematic view showing an example of the GND block having a function to prevent it from losing the grounding performance in the sense of DC;
  • FIG. 22 is a schematic view showing another example of the GND block having a function to prevent it from losing the grounding performance in the sense of DC;
  • FIGS. 23A and 23B are schematic views each showing another example of the GND block having a function to prevent it from losing the grounding performance in the sense of DC;
  • FIG. 24 is a schematic view showing another example of the GND block having a function to prevent it from losing the grounding performance in the sense of DC;
  • FIG. 25 is a schematic view showing another example of the GND block having a function to prevent it from losing the grounding performance in the sense of DC; and
  • FIG. 26 is a schematic view showing another example of the GND block having a function to prevent it from losing the grounding performance in the sense of DC.
  • DETAILED DESCRIPTION OF THE INVENTION
  • Embodiments of the present invention will be described hereinafter with reference to the accompanying drawings.
  • FIG. 1 is a sectional view schematically showing a plasma etching apparatus according to an embodiment of the present invention.
  • This plasma etching apparatus is of the type that applies two RF powers with different frequencies to the lower side. Specifically, a radio frequency (RF) power with, e.g., 40 MHz for plasma generation from a first RF power supply 88 and a radio frequency (RF) with, e.g., 2 MHz for ion attraction from a second RF power supply 90 are applied to a lower electrode or susceptor 16. Further, as shown in FIG. 1, a variable DC power supply 50 is connected to an upper electrode 34 to apply a predetermined direct current (DC) voltage. With reference to FIG. 2, this plasma etching apparatus will be explained in more detail.
  • This plasma etching apparatus is structured as a parallel-plate plasma etching apparatus of the capacitive coupling type. The apparatus includes a cylindrical chamber (process chamber) 10, which is made of, e.g., aluminum with an anodization-processed surface. The chamber 10 is protectively grounded.
  • A columnar susceptor pedestal 14 is disposed on the bottom of the chamber 10 through an insulating plate 12 made of, e.g., a ceramic. A susceptor 16 made of, e.g., aluminum is disposed on the susceptor pedestal 14. The susceptor 16 is used as a lower electrode, on which a target substrate, such as a semiconductor wafer W, is placed.
  • The susceptor 16 is provided with an electrostatic chuck 18 on the top, for holding the semiconductor wafer W by an electrostatic attraction force. The electrostatic chuck 18 comprises an electrode 20 made of a conductive film, and a pair of insulating layers or insulating sheets sandwiching the electrode 20. The electrode 20 is electrically connected to a direct current (DC) power supply 22. The semiconductor wafer W is attracted and held on the electrostatic chuck 18 by an electrostatic attraction force, e.g., a Coulomb force, generated by a DC voltage applied from the DC power supply 22.
  • A conductive focus ring (correction ring) 24 made of, e.g., silicon is disposed on the top of the susceptor 16 to surround the electrostatic chuck 18 (and the semiconductor wafer W) to improve etching uniformity. A cylindrical inner wall member 26 made of, e.g., quartz is attached to the side of the susceptor 16 and susceptor pedestal 14.
  • The susceptor pedestal 14 is provided with a cooling medium space 28 formed therein and annularly extending therethrough. A cooling medium set at a predetermined temperature, such as cooling water, is circulated within the cooling medium space 28 from a chiller unit (not shown) through lines 30 a and 30 b. The temperature of the cooling medium is set to control the process temperature of the semiconductor wafer W placed on the susceptor 16.
  • Further, a heat transmission gas, such as He gas, is supplied from a heat transmission gas supply unit (not shown), through a gas supply line 32, into the interstice between the top surface of the electrostatic chuck 18 and the bottom surface of the semiconductor wafer W.
  • An upper electrode 34 is disposed above the lower electrode or susceptor 16 in parallel with the susceptor. The space between the electrodes 16 and 34 is used as a plasma generation space. The upper electrode 34 defines a surface facing the semiconductor wafer W placed on the lower electrode or susceptor 16, and thus this facing surface is in contact with the plasma generation space.
  • The upper electrode 34 is supported at the top of the chamber 10 by an insulating shield member 42. The upper electrode 34 includes an electrode plate 36 defining the facing surface opposite the susceptor 16 and having a number of gas delivery holes 37, and an electrode support 38 detachably supporting the electrode plate 36. The electrode support 38 is made of a conductive material, such as aluminum with an anodization-processed surface, and has a water-cooling structure. The electrode plate 36 is preferably made of a conductor or semiconductor having a low resistivity and thus generating less Joule heat. Further, in order to reinforce a resist film, as described later, the electrode plate 36 is preferably made of a silicon-containing substance. In light of these factors, the electrode plate 36 is preferably made of silicon or SiC. The electrode support 38 has a gas diffusion cell 40 formed therein, which is connected to the gas delivery holes 37 through a number of gas flow channels 41 extending downward.
  • Further, the electrode support 38 has a gas feed port 62 formed therein for feeding a process gas into the gas diffusion cell 40. The gas feed port 62 is connected to a process gas supply source 66 through a gas supply line 64. The gas supply line 64 is provided with a mass-flow controller (MFC) 68 and a switching valve 70 disposed thereon in this order from the upstream. A process gas for etching, such as a fluorocarbon gas (CxFy), e.g., C4F8 gas, is supplied from the process gas supply source 66 through the gas supply line 64 into the gas diffusion cell 40. Then, the process gas flows through the gas flow channels 41 and is delivered from the gas delivery holes 37 into the plasma generation space, as in a shower device. Accordingly, in other words, the upper electrode 34 functions as a showerhead for supplying a process gas.
  • The upper electrode 34 is electrically connected to a variable DC power supply 50 through a low-pass filter (LPF) 48. The variable DC power supply 50 may be formed of a bipolar power supply. The electric feeding of the variable DC power supply 50 is set on/off by an on/off switch 52. The polarity, current, and voltage of the variable DC power supply 50, and the on/off switch 52 are controlled by a controller (control unit) 51.
  • The low-pass filter (LPF) 48 is configured to trap the RF from first and second RF power supplies, as described later, and is preferably formed of an LR filter or LC filter
  • The sidewall of the chamber 10 extends upward above the height level of the upper electrode 34 and forms a cylindrical grounded conductive body 10 a. The cylindrical grounded conductive body 10 a includes a top or ceiling wall.
  • The lower electrode or susceptor 16 is electrically connected to a first RF power supply 88 through a matching unit 87, and to a second RF power supply 90 through a matching unit 89. The first RF power supply 88 outputs an RF power with a frequency of 27 MHz or more, such as 40 MHz. The second RF power supply 90 outputs an RF power with a frequency of 13.56 MHz or less, such as 2 MHz.
  • The matching units 87 and 89 are arranged to match the load impedance with the internal (or output) impedance of the first and second RF power supplies 88 and 90, respectively. When plasma is generated within the chamber 10, the matching units 87 and 89 perform control for the load impedance and the internal impedance of the first and second RF power supplies 88 and 90 to apparently agree with each other.
  • An exhaust port 80 is formed at the bottom of the chamber 10, and is connected to an exhaust unit 84 through an exhaust line 82. The exhaust unit 84 includes a vacuum pump, such as a turbo molecular pump, to reduce the pressure inside the chamber 10 to a predetermined vacuum level. A transfer port 85 for a semiconductor wafer W is formed in the sidewall of the chamber 10, and is opened/closed by a gate valve 86 attached thereon. A deposition shield 11 is detachably disposed along the inner wall of the chamber 10 to prevent etching by-products (deposition) from being deposited on the wall. In other words, the deposition shield 11 constitutes a chamber wall. A deposition shield 11 is also disposed around the inner wall member 26. An exhaust plate 83 is disposed at the bottom of the chamber 10 between the deposition shield 11 on the chamber wall and the deposition shield 11 on the inner wall member 26. The deposition shield 11 and exhaust plate 83 are preferably made of an aluminum body covered with a ceramic, such as Y2O3.
  • A conductive member (GND block) 91 is disposed on a portion of the deposition shield 11 that constitutes the chamber inner wall, at a height essentially the same as the wafer W, and is connected to ground in the sense of DC. This arrangement provides the effect of preventing abnormal electric discharge, as described later.
  • Respective portions of the plasma etching apparatus are connected to and controlled by a control section (overall control unit) 95. The control section 95 is connected to a user interface 96 including, e.g., a keyboard and a display, wherein the keyboard is used for a process operator to input commands for operating the plasma etching apparatus, and the display is used for showing visualized images of the operational status of the plasma processing apparatus.
  • Further, the control section 95 is connected to a storage section 97 that stores control programs for the control section 95 to control the plasma etching apparatus so as to perform various processes, and programs or recipes for respective components of the plasma etching apparatus to perform processes in accordance with process conditions. Recipes may be stored in a hard disk or semiconductor memory, or stored in a computer readable portable storage medium, such as a CDROM or DVD, to be attached to a predetermined position in the storage section 97.
  • A required recipe is retrieved from the storage section 97 and executed by the control section 95 in accordance with an instruction or the like through the user interface 96. As a consequence, the plasma etching apparatus can perform a predetermined process under the control of the control section 95. It should be noted that each of the plasma processing apparatuses (plasma etching apparatuses) according to embodiments of the present invention includes such a control section 95.
  • When an etching process is performed in the plasma etching apparatus described above, the gate valve 86 is first opened, and a semiconductor wafer W to be etched is transferred into the chamber 10 and placed on the susceptor 16. Then, a process gas for etching is supplied from the process gas supply source 66 into the gas diffusion cell 40 at a predetermined flow rate, and then supplied into the chamber 10 through the gas flow channels 41 and gas delivery holes 37. At the same time, the interior of the chamber 10 is exhausted by the exhaust unit 84 to set the pressure inside the chamber 10 to be a predetermined value within a range of, e.g., 0.1 to 150 Pa. The process gas may be selected from various gases conventionally employed, and preferably is a gas containing a halogen element, a representative of which is a fluorocarbon gas (CxFy), such as C4F8 gas. Further, the process gas may contain another gas, such as Ar gas or O2 gas.
  • While the etching gas is supplied into the chamber 10, an RF power for plasma generation is applied from the first RF power supply 88 to the lower electrode or susceptor 16 at a predetermined power level. Also, an RF for ion attraction is applied from the second RF power supply 90 to the lower electrode or susceptor 16 at a predetermined power level. On the other hand, a predetermined DC voltage is applied from the variable DC power supply 50 to upper electrode 34. Further, a DC voltage is applied from the DC power supply 22 to the electrode 20 of the electrostatic chuck 18 to fix the semiconductor wafer W on the susceptor 16.
  • The process gas delivered from the gas delivery holes 37 formed in the electrode plate 36 of the upper electrode 34 is turned into plasma by glow discharge caused by the RF power applied across the upper electrode 34 and the lower electrode or susceptor 16. Radicals and ions generated in this plasma are used to etch the target surface of the semiconductor wafer W.
  • In this plasma etching apparatus, the lower electrode or susceptor 16 is supplied with an RF power within a range covering high frequencies (for example, 10 MHz or more) from the first RF power supply. As a consequence, the plasma density is increased with a preferable state, so that high density plasma is generated even under a low pressure condition.
  • In this embodiment, when the plasma is thus generated, a DC voltage with a predetermined polarity and value is applied from the variable DC power supply 50 to the upper electrode 34. At this time, the application electrode or upper electrode 34 is preferably set to have a self bias voltage Vdc on the surface, at a level for obtaining a predetermined (moderate) sputtering effect onto the surface, i.e., the surface of the electrode plate 36. In other words, the application voltage from the variable DC power supply 50 is preferably controlled by the controller 51 to increase the absolute value of Vdc on the surface of the upper electrode 34. Where an RF is applied from the first RF power supply 88 to generate plasma, polymers may be deposited on the upper electrode 34. However, since a suitable DC voltage is applied from the variable DC power supply 50, polymers deposited on the upper electrode 34 are sputtered, thereby cleaning up the surface of the upper electrode 34. Further, an optimum quantity of polymers can be supplied onto the semiconductor wafer W, thereby canceling the surface roughness of the photo-resist film. Where the voltage applied from the variable DC power supply 50 is adjusted to sputter the body of the upper electrode 34, the electrode material can be supplied onto the surface of the semiconductor wafer W. In this case, the photo-resist film is provided with carbide formed on the surface, and is thereby reinforced. Further, the sputtered electrode material reacts with F contained in a fluorocarbon family process gas and is exhausted, thereby reducing the F ratio in plasma for the photo-resist film to be less etched. Particularly, where the electrode plate 36 is made of a silicon-containing material, such as silicon or SiC, sputtered silicon from the surface of the electrode plate 36 reacts with polymers, so the photo-resist film is provided with SiC formed on the surface, and is thereby remarkably reinforced. In addition to this, Si is highly reactive with F, and the effects described above are enhanced. Accordingly, a silicon-containing material is preferably used as a material of the electrode plate 36. It should be noted that, in this case, the application current or application power may be controlled in place of the application voltage from the variable DC power supply 50.
  • The DC voltage thus applied to the upper electrode 34 to make a deep self bias voltage Vdc, as described above, increases the length of a plasma sheath formed on the upper electrode 34, as shown in FIGS. 3A and 3B. As the length of the plasma sheath is increased, the plasma is further pressed by that much. For example, where no DC voltage is applied to the upper electrode 34, Vdc on the upper electrode side becomes, e.g., −100V. In this case, the plasma sheath has a small length d0, as shown in FIG. 3A. On the other hand, where a DC voltage of −900V is applied to the upper electrode 34, Vdc on the upper electrode side becomes −900V. In this case, since the length of the plasma sheath is in proportion to ¾ of the absolute value of Vdc, the plasma sheath has a larger length d1, and the plasma is pressed by that much, as shown in FIG. 3B. Where the length of the plasma sheath is thus increased to suitably press the plasma, the effective residence time above the semiconductor wafer W is decreased. Further, the plasma concentrates above the wafer W with less diffusion, thereby reducing the dissociation space. In this case, dissociation of a fluorocarbon family process gas is suppressed for the photo-resist film to be less etched. Accordingly, the application voltage from the variable DC power supply 50 is preferably controlled by the controller 51, such that the length of the plasma sheath on the upper electrode 34 is increased to a level for forming desired pressed plasma. It should be noted that, also in this case, the application current or application power may be controlled in place of the application voltage from the variable DC power supply 50.
  • Further, when the plasma is formed, electrons are generated near the upper electrode 34. When a DC voltage is applied from the variable DC power supply 50 to the upper electrode 34, electrons are accelerated in the vertical direction within the process space due to the potential difference between the applied DC voltage value and plasma potential. In other words, the variable DC power supply 50 can be set at a desired polarity, voltage value, and current value, to irradiate the semiconductor wafer W with electrons. The radiated electrons reform the composition of the mask or photo-resist film to reinforce the film. Accordingly, the application voltage value and application current value from the variable DC power supply 50 can be used to control the quantity of electrons generated near the upper electrode 34 and the acceleration voltage for accelerating the electrons toward the wafer W, so that the photo-resist film is reinforced in a predetermined manner.
  • Particularly, where the photo-resist film on the semiconductor wafer W is a photo-resist film (which will be referred to as an ArF resist film) for an ArF excimer laser (with a wavelength of 193 nm), the ArF resist film changes its polymer structure through reactions shown in the following chemical formulas (1) and (2), and is then irradiated with electrons, thereby arriving at the structure shown on the right side of the following chemical formula (3). In this case, by the irradiation with electrons, the composition of the ArF resist film is reformed (resist cross-linkage reaction), as shown in a portion d of the chemical formula (3). Since this portion d has a function of greatly enhancing the etching resistance property (plasma resistance property), the etching resistance property of the ArF resist film remarkably increases. As a consequence, the surface roughness of the ArF resist film is suppressed, and the etching selectivity of an etching target layer relative to the ArF resist film is increased.
  • Figure US20110214815A1-20110908-C00001
  • Accordingly, the application voltage value or current value from the variable DC power supply 50 is preferably controlled by the controller 51 to enhance the etching resistance property of the photo-resist film (particularly, ArF resist film) by irradiation with electrons.
  • Further, as described above, when a DC voltage is applied to the upper electrode 34, electrons generated near the upper electrode 34 in plasma generation are accelerated in the vertical direction within the process space. The polarity, voltage value, and current value of the variable DC power supply 50 can be set at predetermined conditions, so that electrons reach into holes formed on the semiconductor wafer W. As a consequence, the shading effect is suppressed to obtain a good processed shape without bowing, while improving the uniformity of the processed shape.
  • It is assumed that electron current amount IDC due to the DC voltage is used as the quantity of electrons incident on the wafer W, where the acceleration voltage of electrons is controlled. In this case, where Iion is ion current amount incident on the wafer from plasma, it is preferable to satisfy IDC>(½) Iion. Since Iion=Zρvione (where, Z is charge number, ρ is current velocity density, vion is ion velocity, and e is electron charge amount 1.6×10−19 C), and ρ is in proportion to electron density Ne, Iion is in proportion to Ne.
  • As described above, the DC voltage applied to the upper electrode 34 can be controlled, so as to exercise the sputtering function onto the upper electrode 34 and the plasma pressing function, as well as the supply function of supplying a large quantity of electrons generated at the upper electrode 34 to the semiconductor wafer W, as described above. This arrangement makes it possible to reinforce the photo-resist film, supply optimum polymers, and suppress dissociation of the process gas. As a consequence, the surface roughness of the photo-resist is suppressed, and the etching selectivity of an etching target layer relative to the photo-resist film is increased. Further, the CD of an opening portion formed in the photo-resist film is prevented from expanding, thereby realizing pattern formation with high accuracy. Particularly, these effects are more enhanced by controlling the DC voltage to suitably exercise the three functions described above, i.e., the sputtering function, plasma pressing function, and electron supply function.
  • It should be noted that, it depends on process conditions or the like to determine which one of the functions described above is predominant. The voltage applied from the variable DC power supply 50 is preferably controlled by the controller 51 to exercise one or more of the functions to effectively obtain the corresponding effects.
  • The DC voltage applied to the upper electrode 34 can be adjusted to control the plasma potential. In this case, etching by-products can be prevented from being deposited on the upper electrode 34, the deposition shield 11 forming a part of the chamber wall, the inner wall member 26, and the insulating shield member 42.
  • If etching by-products are deposited on the upper electrode 34 or the deposition shield 11 forming the chamber wall, a problem may arise in that the process characteristics change or particles are generated. Particularly, there is involving sequentially etching a multi-layered film, in which an Si-organic film (SiOC), SiN film, SiO2 film, and photo-resist are laminated on a semiconductor wafer W in this order. In this case, since suitable etching conditions are different for the respective films, a memory effect may occur in that a previous process leaves some effect that affects a subsequent process.
  • The amount of deposition of etching by-products described above depends on the potential difference between the plasma and the upper electrode 34, chamber wall, or the like. Accordingly, deposition of etching products can be suppressed by controlling the plasma potential.
  • As described above, the voltage applied from the variable DC power supply 50 to the upper electrode 34 can be controlled to lower the plasma potential. As a consequence, etching by-products can be prevented from being deposited on the upper electrode 34, the deposition shield 11 forming a part of the chamber wall, and the insulating members (members 26 and 42) inside the chamber 10. The plasma potential Vp is preferably set at a value within a range of 80V □Vp□200V.
  • Further, the applied DC voltage contributes to formation of plasma, as another effect obtained by the DC voltage applied to the upper electrode 34. In this case, the plasma density can be higher and the etching rate is thereby increased.
  • This is so, because, the negative DC voltage applied to the upper electrode hinders electrons from entering the upper electrode and thus suppresses extinction of electrons. Further, where the negative DC voltage accelerates ions onto the upper electrode, electrons are emitted from the electrode. These electrons are accelerated at a high velocity due to the difference between the plasma potential and application voltage value, and ionize neutral gas (turn the gas into plasma), thereby increasing the electron density (plasma density).
  • The reason for this will be explained, with reference to experiments.
  • FIGS. 4A to 4D are views showing the relationship between the RF power output and electron density distribution. In this experiment, the absolute value of the negative DC voltage applied to the upper electrode was set at different values of 0V, 300V, 600V, and 900V, under HARC etching conditions in which the first RF power and the second RF power applied to the lower electrode or susceptor 16 had frequencies of 40 MHz and 3.2 MHz, respectively, and the pressure was set at 4 Pa. FIGS. 5A to 5D are views showing relationship between the RF power output and electron density distribution. In this experiment, the absolute value of the negative DC voltage applied to the upper electrode was set at different values of 0V, 300V, 600V, and 900V, under VIA etching conditions in which the two RF powers had frequencies the same as those described above, and the pressure was set at 6.7 Pa. As shown in FIGS. 4A to 5D, with increase in the absolute value of the application DC voltage, the electron density (plasma density) increased. FIG. 6 is a view showing electron density distributions in the wafer radial direction where the first RF power was set at 3,000 W and the second RF power was set at 4,000 W under the HARC etching mentioned above. As shown in FIG. 6, with increase in the absolute value of the application DC voltage, the electron density increased.
  • Further, when plasma is generated, the DC voltage applied to the upper electrode 34 from the variable DC power supply 50 increases the plasma density particularly at the central portion in trench etching. As in trench etching conditions, where the pressure inside the chamber 10 is high and the etching gas is a negative gas, the plasma density tends to be lower at the central portion of the chamber 10. However, since the DC voltage applied to the upper electrode 34 increases the plasma density at the central portion, the plasma density can be controlled to make the plasma density uniform.
  • The reason for this will be explained, with reference to experiments.
  • In the apparatus shown in FIG. 2, the electron density (plasma density) distribution in the wafer radial direction was measure in cases where the upper electrode was supplied with no DC voltage and where it was supplied with −600 W, both under trench etching conditions. In order to set the trench etching conditions, a semiconductor wafer was loaded into the chamber and placed on the susceptor, and then a process gas comprising CF4 gas, CHF3 gas, Ar gas, and N2 gas was supplied into the chamber, the pressure inside the chamber was set at 26.6 Pa, and the lower electrode or susceptor was supplied with a first RF power with 40 MHz at 300 W and a second RF power with 3.2 MHz at 1,000 W. FIG. 7 shows the results of this experiment. As shown in FIG. 7, where no DC voltage was applied, the electron density was lower at the wafer central portion than the other portions. On the other hand, where the DC voltage was applied, the electron density was increased at the wafer central portion and became uniform. Further, where the DC voltage was applied, the electron density was increased as a whole.
  • As described above, the DC voltage applied to the upper electrode 34 can be controlled, so as to effectively exercise at least one of the above-described sputtering function onto the upper electrode 34, plasma pressing function, electron supply function, plasma potential control function, electron density (plasma density) increase function, and plasma density control function.
  • As described above, an explanation has been given of functions and effects of the direct current (DC) voltage applied to the upper electrode 34.
  • Incidentally, in this embodiment, a DC voltage is applied to the upper electrode of a plasma etching apparatus in which a first radio frequency (RF) power for plasma generation and a second radio frequency (RF) power for ion attraction are applied to the lower electrode, i.e., an apparatus of the type that applies two RF powers with different frequencies to the lower side. Plasma etching apparatuses of the type that applies two RF powers with different frequencies to the lower side have the following advantages, as compared to the other plasma etching apparatus of the capacitive coupling type.
  • First, as in this embodiment, where an RF power for plasma generation is applied to the lower electrode, plasma is generated near the wafer, and dissociation of the process gas is suppressed because plasma is prevented from widely diffusing. As a consequence, even where the pressure inside the process chamber is high and the plasma density is low, the etching rate on the wafer can be increased. Further, even where the RF power for plasma generation has a high frequency, it is possible to ensure relatively large ion energy and thus attain a high efficiency. By contrast, in apparatuses of the type that applies an RF power for plasma generation to the upper electrode, plasma is generated near the upper electrode. In this case, it is difficult to increase the etching rate on the wafer, if the pressure inside the process chamber is high and the plasma density is low.
  • In addition, as in this embodiment, where an RF power for plasma generation and an RF power for ion attraction are independently applied to the lower electrode, the function of generating plasma and the function of attracting ions, both necessary for plasma etching, can be independently controlled. By contrast, in apparatuses of the type that applies an RF power with one frequency to the lower electrode, the function of generating plasma and the function of attracting ions cannot be independently controlled. In this case, it is difficult to satisfy etching conditions where high micro-fabrication is required.
  • As described above, according to a plasma etching apparatus of the type that applies two RF powers with different frequencies to the lower side, plasma is generated near the wafer and is prevented from widely diffusing, and the function of generating plasma and the function of attracting ions can be independently controlled. Further, where a DC voltage is applied to the upper electrode in this etching apparatus, the apparatus can also exercise at least one of the upper electrode sputtering function, plasma pressing function, electron supply (to a wafer) function, plasma potential control function, plasma density increase function, and plasma density control function. As a consequence, it is possible to provide a plasma etching apparatus with high performance, which is more suitable for recent etching micro-fabrication.
  • The DC voltage application to the upper electrode 34 may be selectively performed. Under etching conditions which require the DC voltage application to the upper electrode 34, the variable DC power supply 50 and the relay switch 52 shown in FIG. 2 are set in the ON-state. On the other hand, under etching conditions which do no require the DC voltage application to the upper electrode 34, the variable DC power supply 50 and relay switch 52 are set in the OFF-state.
  • Further, if the upper electrode 34 is grounded when a DC voltage is applied to the upper electrode 34, the DC voltage application has no effect. Thus, the upper electrode 34 needs to be in a floating state in the sense of DC at this time. FIG. 8 shows a schematic view of this structure. In FIG. 8, a dielectric body is disposed at each of the portions that electrically form capacitors 501, 502, and 503, so that upper electrode 34 is set in a floating state in the sense of DC by the dielectric body from the process chamber 10 and grounded conductive body 10 a. RF powers applied from the RF power supplies 88 and 89 to the lower electrode 16 reach the upper electrode 34 through the process space, and then reach the grounded process chamber 10 and grounded conductive body 10 a through the capacitors 501, 502, and 503.
  • Where the variable DC power supply 50 and relay switch 52 are set in the OFF-state to apply no DC voltage to the upper electrode 34, the upper electrode 34 may be arranged to be switchable between the grounded state and floating state in the sense of DC. In the example shown in FIG. 9, where no DC voltage is applied to the upper electrode 34, the grounded conductive body 10 a is short-circuited with the upper electrode 34 by a switch (switching unit) 504 to set the upper electrode 34 in a grounded state. However, at this time, the switch (switching unit) 504 may be turned off to set the upper electrode 34 in a floating state in the sense of DC.
  • Further, as shown in FIG. 10, the portion electrically forming the capacitor 501 may be structured such that the capacitance is electrically variable. With this arrangement, the potential on the upper electrode can be variably adjusted.
  • Further, as shown in FIG. 11, a detector 55 may be disposed to detect the plasma state through, e.g., a plasma detection window 10 a, so that the controller 51 can control the variable DC power supply 50 based on the detection signal. As a consequence, it is possible to automatically apply a DC voltage to the upper electrode 34, so as to effectively exercise the functions described above. Alternatively, a detector for detecting the sheath length or a detector for detecting the electron density may be disposed, so that the controller 51 can control the variable DC power supply 50 based on the detection signal.
  • As regards a plasma etching apparatus of the type that applies two RF powers with different frequencies to the lower side, and further applies a DC voltage to the upper electrode, where the apparatus is used to etch an insulating film (for example, Low-k film) disposed on a wafer W, the following combination of gases is particularly preferably used as a process gas.
  • Specifically, where over etching is performed under via-etching conditions, a combination of (C5F8, Ar, and N2), (C4F8, Ar, and N2), (C4F8, Ar, N2, and O2), or (C4F8, Ar, N2, and CO) may be preferably used as a process gas. In this case, the selectivity of an insulating film relative to an underlying film (SiC, SiN, etc.) can become larger.
  • Alternatively, where trench etching conditions are used, CF4 or a combination of (CF4 and Ar) or (N2 and H2) may be preferably used as a process gas. In this case, the selectivity of an insulating film relative to a mask can become larger.
  • Alternatively, where conditions for etching an organic anti-reflection film on an insulating film are used, CF4 or a combination of (CF4 and C3F8), (CF4 and C4F8), or (CF4 and C4F6) may be preferably used as a process gas.
  • Alternatively, where HARC etching conditions are used, a combination of (C4F6, CF4, Ar, and O2), (C4F6, C3F8, Ar, and O2), (C4F6, C4F8, Ar, and O2), (C4F6, C2F6, Ar, and O2), (C4F8, Ar, and O2), or (C4F8, Ar, and O2) may be preferably used as a process gas. In this case, the etching rate of an insulating film can become higher.
  • The process gas is not limited to the examples described above, and another combination of (CxHyFz gas/additive gas such as N2 or O2/dilution gas) may be used.
  • Incidentally, where a DC voltage is applied to the upper electrode 34, electrons may accumulate on the upper electrode 34 and thereby cause abnormal electric discharge between the upper electrode 34 and the inner wall of the chamber 10. In order to suppress such abnormal electric discharge, this embodiment includes the GND block (conductive member) 91 as a part grounded in the sense of DC, which is disposed on the deposition shield 11 that constitutes the chamber wall. The GND block 91 is exposed to plasma, and is electrically connected to a conductive portion in the deposition shield 11. The DC voltage current applied from the variable DC power supply 50 to the upper electrode 34 flows through the process space to the GND block 91, and is then grounded through the deposition shield 11. The GND block 91 is made of a conductor, and preferably a silicon-containing substance, such as Si or SiC. The GND block 91 may be preferably made of C. The GND block 91 allows electrons accumulated in the upper electrode 34 to be released, thereby preventing abnormal electric discharge. The GND block 91 preferably has a protruding length of 10 mm or more.
  • Further, in order to prevent abnormal electric discharge, it may be effective to use a method of superposing very short periodic pulses of the opposite polarity, as shown in FIG. 12, by a suitable means, with the DC voltage applied to the upper electrode 34, so as to neutralize electrons.
  • The position of the GND block 91 is not limited to that shown in FIG. 2, as long as it is disposed in the plasma generation area. For example, as shown in FIG. 13, the GND block 91 may be disposed on the susceptor 16 side, e.g., around the susceptor 16. Alternatively, as shown in FIG. 14, the GND block 91 may be disposed near the upper electrode 34, e.g., as a ring disposed outside the upper electrode 34. However, when plasma is generated, Y2O3 or a polymer that covers the deposition shield 11 or the like flies out and may be deposited on the GND block 91. In this case, the GND block 91 cannot maintain the grounding performance any more in the sense of DC, and thus hardly exercises the effect of preventing abnormal electric discharge. Accordingly, it is important to prevent such deposition. For this reason, the GND block 91 is preferably located at a position remote from members covered with Y2O3 or the like, but preferably near parts made of an Si-containing substance, such as Si or quartz (SiO2). For example, as shown in FIG. 15A, an Si-containing member 93 is preferably disposed near the GND block 91. In this case, the length L of a portion of the Si-containing member 93 below the GND block 91 is preferably set to be equal to or longer than the protruding length M of the GND block 91. Further, in order to prevent the function from being deteriorated due to deposition of Y2O3 or a polymer, as shown in FIG. 15B, it is effective to form a recess 91 a in the GND block 91 where flying substances are hardly deposited. It is also effective to increase the surface are of the GND block 91, so that it cannot be entirely covered with Y2O3 or a polymer. Further, in order to suppress deposition, it is effective to increase the temperature. In this respect, the upper electrode 34 is supplied with an RF power for plasma generation, and thus increases the temperature around it. Accordingly, the GND block 91 is preferably disposed near the upper electrode 34, as shown in FIG. 14, to increase the temperature and thereby prevent deposition. Particularly in this case, the GND block 91 is preferably disposed as a ring outside the upper electrode 34, as shown in FIG. 14.
  • In order to more effectively remove the influence of deposition on the GND block 91, due to Y2O3 or a polymer flying out from the deposition shield 11 and so forth, it is effective to make a negative DC voltage applicable to the GND block 91, as shown in FIG. 16. Specifically, where a negative DC voltage is applied to the GND block 91, deposition sticking thereto is sputtered or etched, so as to clean the surface of the GND block 91. In the structure shown in FIG. 16, a switching mechanism 53 is configured to switch the connection of the GND block 91 between the variable DC power supply 50 and a ground line, so that a voltage can be applied to the GND block 91 from the variable DC power supply 50. Further, a grounded conductive auxiliary member 91 b is disposed to receive flow of a DC electron current generated by a negative DC voltage applied to the GND block 91. The switching mechanism 53 includes a first switch 53 a to switch the connection of the variable DC power supply 50 between the matching unit 46 and GND block 91, and a second switch 53 b to turn on/off the connection of the GND block 91 to the ground line. In the structure shown in FIG. 16, the GND block 91 is disposed as a ring outside the upper electrode 34, while the conductive auxiliary member 91 b is disposed around the susceptor 16. Although this arrangement is preferable, another arrangement may be adopted.
  • During plasma etching, the structure shown in FIG. 16 is typically set as shown in FIG. 17A, in which the first switch 53 a of the switching mechanism 53 is connected to the upper electrode 34, so the variable DC power supply 50 is connected to the upper electrode 34, while the second switch 53 b is in the ON-state, so the GND block 91 is connected to the ground line. In this state, the first RF power supply 48 and variable DC power supply 50 are electrically connected to the upper electrode 34, and plasma is thereby generated. At this time, a DC electron current flows from the upper electrode 34 through plasma into the grounded GND block 91 and conductive auxiliary member 91 b (a positive ion current flows in the opposite direction). In this case, the surface of the GND block 91 may be covered with deposition of Y2O3 or a polymer, as described above.
  • Accordingly, cleaning is then performed to remove this deposition. For this cleaning, as shown in FIG. 17B, the first switch 53 a of the switching mechanism 53 is switched to the GND block 91, and the second switch 53 b is turned off. In this state, the first RF power supply 48 is electrically connected to the upper electrode 34, and cleaning plasma is thereby generated, while a negative DC voltage is applied from the variable DC power supply 50 to the GND block 91. As a consequence, a DC electron current flows from the GND block 91 into the conductive auxiliary member 91 b. On the other hand, positive ions flow into the GND block 91. Accordingly, the DC voltage can be adjusted to control the energy of positive ions incident on the GND block 91, so that the surface of the GND block 91 is sputtered by ions to remove deposition sticking to the surface of the GND block 91.
  • Further, as shown in FIG. 18, the second switch 53 b may be set in the OFF state during a partial period of plasma etching, so that the GND block 91 is in a floating state. At this time, a DC electron current flows from the upper electrode 34 through plasma into the conductive auxiliary member 91 b (a positive ion current flows in the opposite direction). In this case, the GND block 91 is given a self bias voltage, which provides energy for positive ions to be incident on the GND block 91, thereby cleaning the GND block 91.
  • During the cleaning described above, the application DC voltage can be small, and thus the DC electron current is also small at this time. Accordingly, in the structure shown in FIG. 16, where electric charges due to leakage current can be prevented from accumulating in the GND block 91, the conductive auxiliary member 91 b is not necessarily required.
  • In the structure shown in FIG. 16, for cleaning, the connection of the variable DC power supply 50 is switched from the upper electrode 34 to the GND electrode 91, so that a DC electron current due to application of a DC voltage flows from the GND block 91 to the conductive auxiliary member 91 b. Alternatively, it may be adopted that the positive terminal of the variable DC power supply 50 is connected to the upper electrode 34, while the negative terminal is connected to the GND block 91, so that a DC electron current due to application of a DC voltage flows from the GND block 91 to the upper electrode 34. In this case, the conductive auxiliary member is not necessary. FIG. 19 shows such a structure. The structure shown in FIG. 19 includes a connection switching mechanism 57, which is configured to perform connection switching such that, during plasma etching, the negative terminal of the variable DC power supply 50 is connected to the upper electrode 34, while the GND block 91 is connected to the ground line. Further, in this switching, during cleaning, the positive terminal of the variable DC power supply 50 is connected to the upper electrode 34, while the negative terminal is connected to the GND block 91. This connection switching mechanism 57 includes a first switch 57 a to switch the connection of the variable DC power supply 50 to the upper electrode 34 between the positive terminal and negative terminal, a second switch 57 b to switch the connection of the variable DC power supply 50 to the GND block 91 between the positive terminal and negative terminal, and a third switch 57 c to set the positive terminal or negative terminal of the variable DC power supply 50 to be grounded. The first switch 57 a and second switch 57 b are arranged to form an interlock switch structure. Specifically, when the first switch 57 a is connected to the positive terminal of the variable DC power supply 50, the second switch 57 b is connected to the negative terminal of the DC power supply. Further, when the first switch 57 a is connected to the negative terminal of the variable DC power supply 50, the second switch 57 b is set in the OFF state.
  • During plasma etching, the structure shown in FIG. 19 is set as shown in FIG. 20A, in which the first switch 57 a of the connection switching mechanism 57 is connected to the negative terminal of the variable DC power supply 50, so the negative terminal of the variable DC power supply 50 is connected to the upper electrode 34. Further, the second switch 57 b is connected to the positive terminal of the variable DC power supply 50, and the third switch 57 c is connected to the positive terminal of the variable DC power supply 50 (the positive terminal of the variable DC power supply 50 is grounded), so that the GND block 91 is connected to the ground line. In this state, the first RF power supply 48 and variable DC power supply 50 are electrically connected to the upper electrode 34, and plasma is thereby generated. At this time, a DC electron current flows from the upper electrode 34 through plasma into the grounded GND block 91 (a positive ion current flows in the opposite direction). In this case, the surface of the GND block 91 may be covered with deposition of Y2O3 or a polymer, as described above.
  • On the other hand, for cleaning, as shown in FIG. 20B, the first switch 57 a of the connection switching mechanism 57 is switched to the positive terminal of the variable DC power supply 50, the second switch 57 b is switched to the negative terminal of the variable DC power supply 50, and the third switch 57 c is set to be in a disconnected state. In this state, the first RF power supply 48 is electrically connected to the upper electrode 34, and cleaning plasma is thereby generated, while a DC voltage is applied to the GND block 91 from the negative terminal of the variable DC power supply 50 and to the upper electrode 34 from the positive terminal of the variable DC power supply 50. Due to the potential difference between these members, a DC electron current flows from the GND block 91 into the upper electrode 34, while positive ions flow into the GND block 91. Accordingly, the DC voltage can be adjusted to control the energy of positive ions incident on the GND block 91, so that the surface of the GND block 91 is sputtered by ions to remove deposition sticking to the surface of the GND block 91. In this case, the variable DC power supply 50 appears to be in a floating state, but, in general, a power supply is provided with a frame ground line, thus is safe.
  • In the example described above, although the third switch 57 c is in the disconnected state, the positive terminal of the variable DC power supply 50 may be kept in the connected state (the positive terminal of the variable DC power supply 50 is grounded). In this state, the first RF power supply 48 is electrically connected to the upper electrode 34, and cleaning plasma is thereby generated, while a DC voltage is applied from the negative terminal of the variable DC power supply 50 to the GND block 91. As a consequence, a DC electron current flows from the GND block 91 into the upper electrode 34 through plasma, while positive ions flow into the GND block 91. Also in this case, the DC voltage can be adjusted to control the energy of positive ions incident on the GND block 91, so that the surface of the GND block 91 is sputtered by ions to remove deposition sticking to the surface of the GND block 91.
  • In the examples shown in FIGS. 16 and 19, although a DC voltage is applied to the GND block 91 during cleaning, an AC voltage may be alternatively applied. Further, in the example shown in FIG. 16, although the variable DC power supply 50 for applying a DC voltage to the upper electrode is used for applying a voltage to the GND block 91, another power supply may be used for applying the voltage. Furthermore, in the examples shown in FIGS. 16 and 19, although the GND block 91 is grounded during plasma etching, while a negative DC voltage is applied to the GND block 91 during cleaning, this is not limiting. For example, during plasma etching, a negative DC voltage may be applied to the GND block 91. The term, “during cleaning” may be replaced with “during aching” in the explanation described above. Furthermore, where the variable DC power supply 50 is formed of a bipolar power supply, it does not require any complex switching operation, such as that of the connection switching mechanism 57 described above.
  • The switching operations of the switching mechanism 53 of the example shown in FIG. 16 and the connection switching mechanism 57 of the example shown in FIG. 19 are performed in accordance with commands sent from the control section 95.
  • In order to simply prevent the GND block 91 from losing the grounding performance in the sense of DC, due to deposition of Y2O3 or a polymer on the GND block 91 in plasma generation, it is effective to partly cover the GND block 91 with another member, and to move them relative to each other so as to expose a new surface of the GND block 91. Specifically, as shown in FIG. 21, it may be adopted that the GND block 91 is set to have a relatively large area, and the surface of the GND block 91 to be in contact with plasma is partly covered with a mask member 111 movable in the arrow direction. This cover plate 111 is movable, so that a portion to be exposed to plasma can be changed on the surface of the GND block 91. In this case, although a driving mechanism disposed in the chamber 10 may cause a problem about particle generation, it cannot be serious because the frequency of use of the driving mechanism is as low as once in 100 hours. Further, for example, as shown in FIG. 22, it may be effective that a columnar GND block 191 is rotatably disposed, and the outer periphery surface of the GND block 191 is covered with a mask member 112, so that it is partially exposed. Where the GND block 191 is rotated, the portion to be exposed to plasma can be changed. In this case, a driving mechanism may be disposed outside the chamber 10. Each of the mask members 111 and 112 may be formed of a member having a high plasma resistance property, such as an aluminum plate covered with a ceramic, such as Y2O3, formed by thermal spray.
  • In order to simply prevent the GND block 91 from losing the grounding performance in the sense of DC due to deposition, it is also effective to partly cover the GND block 91 with another member, which is to be gradually etched by plasma, so that a part of the surface of the GND block 91, which has not lost conductivity, is always exposed. For example, as shown in FIG. 23A, it may be adopted that the surface of the GND block 91 is partly covered with a stepped cover film 113 disposed to leave an initially exposed surface 91 c that provides a grounding performance. In this case, after a plasma process is performed for, e.g., 200 hours, the initially exposed surface 91 c of the GND block 91 loses conductivity, as shown in FIG. 23B. However, the stepped cover film 113 is designed to have a thin portion such that it has been etched by this time, so that a new exposed surface 91 d of the GND block 91 appears. The new exposed surface 91 d provides a grounding performance. This cover film 113 has the effect of preventing a wall surface material from being deposited on the GND block 91, as well as the effect of reducing ions incident on the GND block 91 to prevent contamination thereof.
  • In practical use, as shown in FIG. 24, it is preferable to use a cover film 113 a in which a number of thin layers 114 are stacked while the layers are gradually shifted. In this case, where one layer 114 disappears due to etching by plasma in a time Te, and an exposed surface of the GND block 91 loses conductivity due to contamination in a time Tp, the thickness of the layer 114 is set to satisfy Te<Tp, so that a conductive surface is always ensured on the GND block 91. The number of layers 114 is preferably set to make the service life of the GND block 91 longer than the frequency of maintenance. Further, in order to improve the maintenance performance, one layer 114 a is provided with a different color from the others, as shown in FIG. 24, so that it is possible to know the time to replace the GND block 91 with a new one, by this film 114 a, for example, when the surface area of this film 114 a exceeds a certain value.
  • Each of the cover films 113 and 113 a is preferably formed of a film to be suitably etched by plasma, such as a photo-resist film.
  • In order to simply prevent the GND block 91 from losing the grounding performance in the sense of DC due to deposition, it may be also adopted to dispose a plurality of GND blocks 91, so that they are switched in turn to exercise a grounding performance. For example, as shown in FIG. 25, three GND blocks 91 are disposed and only one of them is selectively grounded by a shift switch 115. Further, a current sensor 117 is disposed on a common ground line 116 to monitor a DC current flowing therethrough. The current sensor 117 is used to monitor a current flowing through a grounded GND block 91, and when the current value becomes lower than a predetermined value, it is determined that this GND block 91 cannot exercise the grounding performance, and thus the connection is switched from this one to another GND block 91. The number of GND blocks 91 is suitably selected from a range of about 3 to 10.
  • In the example described above, a GND block not grounded is in an electrically floating state, but such a GND block may be supplied with an electric potential for protection to protect a GND block in an idle state, in place of use of the shift switch 115. FIG. 26 shows an example designed in this aspect. As shown in FIG. 26, each of ground lines 118 respectively connected to GND blocks 91 is provided with a variable DC power supply 119. In this case, the voltage of a GND block 91 to exercise a grounding performance is set at 0V by controlling the voltage of the corresponding variable DC power supply 119. Further, the voltage of each of the other GND blocks 91 is set at, e.g., 100V to prevent an electric current from flowing therethrough by controlling the voltage of the corresponding variable DC power supply 119. When the current value detected thereby becomes lower than a predetermined value at the current sensor 117 on the ground line 118 connected to a GND block 91 to exercise a grounding performance, it is determined that this GND block 91 cannot exercise the grounding performance. Accordingly, the voltage of the variable DC power supply 119 corresponding to another GND block 91 is controlled to be a value for this GND block 91 to exercise a grounding performance.
  • As described above, where the application voltage from a DC power supply 119 is set at a negative value of about −1 kV, the GND block 91 connected thereto can function as an electrode to apply a DC voltage to plasma. However, if this value is too large, the plasma is affected. Further, the voltage applied to the GND block 91 can be controlled to obtain a cleaning effect on the GND block 91.
  • In this embodiment, the first RF power and second RF power may have frequencies, as follows. Specifically, the frequency of the first RF power may be one of 13.56 MHz, 27 MHz, 40 MHz, 60 MHz, 80 MHz, 100 MHz, and 160 MHz, while the frequency of the second RF power may be one of 380 kHz, 800 kHz, 1 MHz, 2 MHz, 3.2 MHz, and 13.56 MHz. They are suitably combined in accordance with a process to be performed.
  • The embodiment described above is exemplified by a plasma etching apparatus, but it may be applied to other apparatuses that utilize plasma to process a semiconductor substrate, such as a plasma film formation apparatus.
  • Additional advantages and modifications will readily occur to those skilled in the art. Therefore, the invention in its broader aspects is not limited to the specific details and representative embodiments shown and described herein. Accordingly, various modifications may be made without departing from the spirit or scope of the general inventive concept as defined by the appended claims and their equivalents.

Claims (18)

1. A plasma processing apparatus comprising:
a process container configured to accommodate a target substrate and to be vacuum-exhausted;
a first electrode and a second electrode disposed opposite each other within the process container, the first electrode being an upper electrode and the second electrode being a lower electrode and configured to place the target substrate thereon;
a first RF power application unit configured to apply a first RF power to the second electrode;
a second RF power application unit configured to apply a second RF power to the second electrode, the second RF power having a frequency lower than that of the first RF power;
a DC power supply configured to apply a DC voltage to the first electrode; and
a process gas supply unit configured to supply a process gas into the process container,
wherein the first electrode is in a floating state relative to ground potential in a sense of DC.
2. The plasma processing apparatus according to claim 1, wherein the plasma processing apparatus further comprises a switching mechanism configured to switch the first electrode between the floating state and a grounded state where the first electrode is connected to ground potential in a sense of DC.
3. The plasma processing apparatus according to claim 2, wherein the switching mechanism sets the first electrode in the floating state when the DC voltage is applied to the first electrode, and sets the first electrode in the floating state or the grounded state when the DC voltage is not applied to the first electrode.
4. The plasma processing apparatus according to claim 2, wherein the plasma processing apparatus further comprises a control section configured to control an operation of the plasma processing apparatus and including a computer and a non-transitory storage medium storing a control program for execution on the computer, and the control program, when executed, causes the computer to control the plasma processing apparatus to selectively set a first plasma etching mode where the first electrode is in the floating state while the DC voltage is applied to the first electrode, and a second plasma etching mode where the first electrode is in the floating state or the grounded state while the DC voltage is not applied to the first electrode.
5. The plasma processing apparatus according to claim 1, wherein the DC power supply is configured such that any one of application voltage, application current, and application power to the first electrode is variable.
6. The plasma processing apparatus according to claim 1, wherein the plasma processing apparatus further comprises a control unit configured to control any one of application voltage, application current, and application power from the DC power supply to the first electrode.
7. The plasma processing apparatus according to claim 6, wherein the control unit is configured to control whether the DC voltage to be applied or not, from the DC power supply to the first electrode.
8. The plasma processing apparatus according to claim 6, wherein the plasma processing apparatus further comprises a detector configured to detect a generated plasma state, and the control unit controls any one of the application voltage, application current, and application power from the DC power supply to the first electrode, based on information from the detector.
9. The plasma processing apparatus according to claim 1, wherein the first RF power has a frequency of 27 MHz or more.
10. The plasma processing apparatus according to claim 9, wherein the first RF power has a frequency of 40 MHz or more.
11. The plasma processing apparatus according to claim 1, wherein the second RF power has a frequency of 13.56 MHz or less.
12. The plasma processing apparatus according to claim 1, wherein the DC voltage is a voltage of −2,000 to +1,000V.
13. The plasma processing apparatus according to claim 1, wherein a surface of the first electrode facing the second electrode is made of a silicon-containing substance.
14. The plasma processing apparatus according to claim 1, wherein the plasma processing apparatus further comprises a conductive member disposed within the process container and grounded to release through plasma a current caused by the DC voltage applied from the DC power supply to the first electrode.
15. The plasma processing apparatus according to claim 14, wherein the conductive member is disposed around the second electrode.
16. The plasma processing apparatus according to claim 14, wherein the conductive member is disposed near the first electrode.
17. The plasma processing apparatus according to claim 16, wherein the conductive member is disposed to form a ring shape around the first electrode.
18. The plasma processing apparatus according to claim 14, wherein the conductive member has a recess to prevent flying substances from being deposited during a plasma process.
US13/113,330 2004-06-21 2011-05-23 Plasma processing apparatus and method Abandoned US20110214815A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US13/113,330 US20110214815A1 (en) 2004-06-21 2011-05-23 Plasma processing apparatus and method
US15/290,846 US10529539B2 (en) 2004-06-21 2016-10-11 Plasma processing apparatus and method

Applications Claiming Priority (11)

Application Number Priority Date Filing Date Title
JP2004-183093 2004-06-21
JP2004183093 2004-06-21
US58983104P 2004-07-22 2004-07-22
JP2005013912 2005-01-21
JP2005-013912 2005-01-21
US65095705P 2005-02-09 2005-02-09
JP2005045095 2005-02-22
JP2005-045095 2005-02-22
US66234405P 2005-03-17 2005-03-17
US11/156,561 US7951262B2 (en) 2004-06-21 2005-06-21 Plasma processing apparatus and method
US13/113,330 US20110214815A1 (en) 2004-06-21 2011-05-23 Plasma processing apparatus and method

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US11/156,561 Continuation US7951262B2 (en) 2004-06-21 2005-06-21 Plasma processing apparatus and method

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US15/290,846 Continuation US10529539B2 (en) 2004-06-21 2016-10-11 Plasma processing apparatus and method

Publications (1)

Publication Number Publication Date
US20110214815A1 true US20110214815A1 (en) 2011-09-08

Family

ID=35908554

Family Applications (3)

Application Number Title Priority Date Filing Date
US11/156,561 Active 2027-02-26 US7951262B2 (en) 2004-06-21 2005-06-21 Plasma processing apparatus and method
US13/113,330 Abandoned US20110214815A1 (en) 2004-06-21 2011-05-23 Plasma processing apparatus and method
US15/290,846 Active 2025-12-19 US10529539B2 (en) 2004-06-21 2016-10-11 Plasma processing apparatus and method

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US11/156,561 Active 2027-02-26 US7951262B2 (en) 2004-06-21 2005-06-21 Plasma processing apparatus and method

Family Applications After (1)

Application Number Title Priority Date Filing Date
US15/290,846 Active 2025-12-19 US10529539B2 (en) 2004-06-21 2016-10-11 Plasma processing apparatus and method

Country Status (1)

Country Link
US (3) US7951262B2 (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080241420A1 (en) * 2007-03-30 2008-10-02 Rajinder Dhindsa Method and apparatus for dc voltage control on rf-powered electrode
CN103681192A (en) * 2012-09-17 2014-03-26 北京北方微电子基地设备工艺研究中心有限责任公司 Plasma etching method and silicon shallow trench isolation method
US9251999B2 (en) 2006-12-29 2016-02-02 Lam Research Corporation Capacitively-coupled plasma processing system having a plasma processing chamber for processing a substrate
US20160163515A1 (en) * 2013-08-09 2016-06-09 Tokyo Electron Limited Plasma processing apparatus and plasma processing method

Families Citing this family (333)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3669990B2 (en) * 2003-02-12 2005-07-13 ファナック株式会社 Inverter device grounding method and inverter device
WO2004095529A2 (en) * 2003-03-21 2004-11-04 Tokyo Electron Limited Method and apparatus for reducing substrate backside deposition during processing
US7988816B2 (en) 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
US7951262B2 (en) 2004-06-21 2011-05-31 Tokyo Electron Limited Plasma processing apparatus and method
US7740737B2 (en) * 2004-06-21 2010-06-22 Tokyo Electron Limited Plasma processing apparatus and method
US7692916B2 (en) * 2005-03-31 2010-04-06 Tokyo Electron Limited Capacitive coupling plasma processing apparatus and method
JP4827081B2 (en) * 2005-12-28 2011-11-30 東京エレクトロン株式会社 Plasma etching method and computer-readable storage medium
US20070218681A1 (en) * 2006-03-16 2007-09-20 Tokyo Electron Limited Plasma etching method and computer-readable storage medium
US20070218699A1 (en) * 2006-03-16 2007-09-20 Tokyo Electron Limited Plasma etching method and computer-readable storage medium
US20070221332A1 (en) * 2006-03-22 2007-09-27 Tokyo Electron Limited Plasma processing apparatus
US7883632B2 (en) * 2006-03-22 2011-02-08 Tokyo Electron Limited Plasma processing method
JP4935149B2 (en) * 2006-03-30 2012-05-23 東京エレクトロン株式会社 Electrode plate for plasma processing and plasma processing apparatus
JP5064707B2 (en) * 2006-03-30 2012-10-31 東京エレクトロン株式会社 Plasma processing equipment
US8138445B2 (en) 2006-03-30 2012-03-20 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
JP5031252B2 (en) * 2006-03-30 2012-09-19 東京エレクトロン株式会社 Plasma processing equipment
US20070227666A1 (en) * 2006-03-30 2007-10-04 Tokyo Electron Limited Plasma processing apparatus
US8034213B2 (en) * 2006-03-30 2011-10-11 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
US20080006205A1 (en) * 2006-07-10 2008-01-10 Douglas Keil Apparatus and Method for Controlling Plasma Potential
US20080014755A1 (en) * 2006-07-12 2008-01-17 Tokyo Electron Limited Plasma etching method and computer-readable storage medium
JP2008078515A (en) * 2006-09-25 2008-04-03 Tokyo Electron Ltd Plasma treatment method
JP4755963B2 (en) * 2006-10-30 2011-08-24 株式会社東芝 Manufacturing method of semiconductor device
JP2008198659A (en) * 2007-02-08 2008-08-28 Tokyo Electron Ltd Plasma etching method
JP5154124B2 (en) * 2007-03-29 2013-02-27 東京エレクトロン株式会社 Plasma processing equipment
JP5065787B2 (en) * 2007-07-27 2012-11-07 東京エレクトロン株式会社 Plasma etching method, plasma etching apparatus, and storage medium
JP5165993B2 (en) * 2007-10-18 2013-03-21 東京エレクトロン株式会社 Plasma processing equipment
JP2009193989A (en) * 2008-02-12 2009-08-27 Tokyo Electron Ltd Plasma-etching method and apparatus, and computer storage medium
JP5102706B2 (en) * 2008-06-23 2012-12-19 東京エレクトロン株式会社 Baffle plate and substrate processing apparatus
US8298958B2 (en) * 2008-07-17 2012-10-30 Lam Research Corporation Organic line width roughness with H2 plasma treatment
KR101046335B1 (en) * 2008-07-29 2011-07-05 피에스케이 주식회사 Hollow cathode plasma generation method and large area substrate processing method using hollow cathode plasma
US20100081285A1 (en) * 2008-09-30 2010-04-01 Tokyo Electron Limited Apparatus and Method for Improving Photoresist Properties
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5486883B2 (en) * 2009-09-08 2014-05-07 東京エレクトロン株式会社 Processing method of workpiece
US8846451B2 (en) * 2010-07-30 2014-09-30 Applied Materials, Inc. Methods for depositing metal in high aspect ratio features
US20120088371A1 (en) * 2010-10-07 2012-04-12 Applied Materials, Inc. Methods for etching substrates using pulsed dc voltage
JP5759718B2 (en) * 2010-12-27 2015-08-05 東京エレクトロン株式会社 Plasma processing equipment
JP2012204644A (en) * 2011-03-25 2012-10-22 Tokyo Electron Ltd Plasma processing apparatus and plasma processing method
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US20140000810A1 (en) * 2011-12-29 2014-01-02 Mark A. Franklin Plasma Activation System
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
JP2015053384A (en) * 2013-09-06 2015-03-19 東京エレクトロン株式会社 Plasma processing method and plasma processing device
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
KR102071336B1 (en) * 2013-09-30 2020-01-30 에스케이하이닉스 주식회사 Semiconductor Memory Apparatus
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP6151215B2 (en) * 2014-05-15 2017-06-21 東京エレクトロン株式会社 Plasma etching method
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
JP6423706B2 (en) * 2014-12-16 2018-11-14 東京エレクトロン株式会社 Plasma processing equipment
KR102263121B1 (en) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. Semiconductor device and manufacuring method thereof
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6424120B2 (en) 2015-03-23 2018-11-14 東京エレクトロン株式会社 Power supply system, plasma processing apparatus, and power supply control method
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
JP6397805B2 (en) * 2015-08-28 2018-09-26 東芝メモリ株式会社 Semiconductor manufacturing apparatus and operation method thereof
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
KR101800321B1 (en) * 2016-04-18 2017-11-22 최상준 Apparatus for Dry Etching
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (en) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. Method of forming metal interconnection and method of fabricating semiconductor device using the same
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
KR101913684B1 (en) * 2016-10-21 2018-11-01 주식회사 볼트크리에이션 Appratus for dry etching and method for controlling the same
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
KR102550393B1 (en) 2017-10-25 2023-06-30 삼성전자주식회사 Plasma processing apparatus and method of fabricating semiconductor device using the same
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (en) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 Apparatus including a clean mini environment
JP7214724B2 (en) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. Storage device for storing wafer cassettes used in batch furnaces
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (en) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 Deposition method
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
TWI811348B (en) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
KR20190129718A (en) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
US11424106B2 (en) * 2018-05-28 2022-08-23 Hitachi High-Tech Corporation Plasma processing apparatus
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (en) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
JP2021529880A (en) 2018-06-27 2021-11-04 エーエスエム・アイピー・ホールディング・ベー・フェー Periodic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
CN112292478A (en) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
JP7142551B2 (en) * 2018-12-03 2022-09-27 東京エレクトロン株式会社 Plasma processing apparatus and plasma processing method
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (en) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 Method of forming device structure, structure formed by the method and system for performing the method
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
JP2020136678A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Method for filing concave part formed inside front surface of base material, and device
KR20200102357A (en) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for plug fill deposition in 3-d nand applications
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
JP2020136677A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Periodic accumulation method for filing concave part formed inside front surface of base material, and device
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (en) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system including a gas detector
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (en) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 Method of forming topology-controlled amorphous carbon polymer film
TW202113936A (en) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (en) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
KR20210043460A (en) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
JP7296855B2 (en) * 2019-11-07 2023-06-23 東京エレクトロン株式会社 Plasma processing apparatus and plasma processing method
JP7378276B2 (en) * 2019-11-12 2023-11-13 東京エレクトロン株式会社 plasma processing equipment
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210065848A (en) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. Methods for selectivley forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
JP2021097227A (en) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming vanadium nitride layer and structure including vanadium nitride layer
KR20210080214A (en) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
KR20210100010A (en) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Vertical batch furnace assembly comprising a cooling gas supply
KR20210132576A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Method of forming vanadium nitride-containing layer and structure comprising the same
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
TW202219628A (en) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
KR20220027026A (en) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. Method and system for forming metal silicon oxide and metal silicon oxynitride
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
KR20220053482A (en) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3477935A (en) * 1966-06-07 1969-11-11 Union Carbide Corp Method of forming thin film resistors by cathodic sputtering
US4333814A (en) * 1979-12-26 1982-06-08 Western Electric Company, Inc. Methods and apparatus for improving an RF excited reactive gas plasma
US5110438A (en) * 1988-01-13 1992-05-05 Tadahiro Ohmi Reduced pressure surface treatment apparatus
US5451754A (en) * 1993-10-27 1995-09-19 Xerox Corporation Corona generating device
US5661093A (en) * 1996-09-12 1997-08-26 Applied Materials, Inc. Method for the stabilization of halogen-doped films through the use of multiple sealing layers
US5721021A (en) * 1995-10-11 1998-02-24 Anelva Corporation Method of depositing titanium-containing conductive thin film
US5812361A (en) * 1996-03-29 1998-09-22 Lam Research Corporation Dynamic feedback electrostatic wafer chuck
US5968379A (en) * 1995-07-14 1999-10-19 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability and related methods
US6110287A (en) * 1993-03-31 2000-08-29 Tokyo Electron Limited Plasma processing method and plasma processing apparatus
US6187685B1 (en) * 1997-08-01 2001-02-13 Surface Technology Systems Limited Method and apparatus for etching a substrate
US6222321B1 (en) * 1996-05-08 2001-04-24 Advanced Energy Industries, Inc. Plasma generator pulsed direct current supply in a bridge configuration
US6221221B1 (en) * 1998-11-16 2001-04-24 Applied Materials, Inc. Apparatus for providing RF return current path control in a semiconductor wafer processing system
US6287943B1 (en) * 1998-07-31 2001-09-11 Canon Kabushiki Kaisha Deposition of semiconductor layer by plasma process
US20010035132A1 (en) * 2000-04-27 2001-11-01 Tokyo Electron Limited Electrical coupling between chamber parts in electronic device processing equipment
US20020061654A1 (en) * 2000-04-19 2002-05-23 Kenshi Kanegae Etching method, semiconductor and fabricating method for the same
US20020139665A1 (en) * 1996-07-03 2002-10-03 Tegal Corporation Plasma etch reactor and method
US20030029567A1 (en) * 2001-08-08 2003-02-13 Rajinder Dhindsa Dual frequency plasma processor
US20030086840A1 (en) * 2000-04-18 2003-05-08 Shinji Himori Plasma processing apparatus
US20030129835A1 (en) * 2002-01-07 2003-07-10 Applied Materials Inc. Efficient cleaning by secondary in-situ activation of etch precursor from remote plasma source
US20030148611A1 (en) * 2001-11-13 2003-08-07 Lam Research Corporation, A Delaware Corporation Etch rate uniformity
US6663748B2 (en) * 2001-04-17 2003-12-16 Nec Lcd Technologies, Ltd. Method of forming a thin film
US20040025791A1 (en) * 2002-08-09 2004-02-12 Applied Materials, Inc. Etch chamber with dual frequency biasing sources and a single frequency plasma generating source
US20040097079A1 (en) * 2000-09-14 2004-05-20 Takanori Mimura High speed silicon etching method
US6744212B2 (en) * 2002-02-14 2004-06-01 Lam Research Corporation Plasma processing apparatus and method for confining an RF plasma under very high gas flow and RF power density conditions
US20040106293A1 (en) * 2001-03-08 2004-06-03 Yoshiki Igarashi Method for etching organic insulating film and dual damasene process
US20040112536A1 (en) * 2001-05-29 2004-06-17 Tokyo Electron Limited Plasma processing apparatus and method
US6805779B2 (en) * 2003-03-21 2004-10-19 Zond, Inc. Plasma generation using multi-step ionization
US6878234B2 (en) * 2000-11-10 2005-04-12 Tokyo Electron Limited Plasma processing device and exhaust ring
US20050112879A1 (en) * 2002-08-28 2005-05-26 Kiwamu Fujimoto Insulation film etching method
US20050257743A1 (en) * 2002-11-26 2005-11-24 Akira Koshiishi Plasma processing apparatus and method
US20060043067A1 (en) * 2004-08-26 2006-03-02 Lam Research Corporation Yttria insulator ring for use inside a plasma chamber
US20080308041A1 (en) * 1999-05-06 2008-12-18 Akira Koshiishi Plasma processing apparatus

Family Cites Families (83)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4512283A (en) 1982-02-01 1985-04-23 Texas Instruments Incorporated Plasma reactor sidewall shield
US4496448A (en) 1983-10-13 1985-01-29 At&T Bell Laboratories Method for fabricating devices with DC bias-controlled reactive ion etching
JPS60245213A (en) 1984-05-21 1985-12-05 Hitachi Ltd Equipment of plasma treatment
JPS61199078A (en) 1985-02-28 1986-09-03 Anelva Corp Surface treating apparatus
KR910000273B1 (en) 1985-05-09 1991-01-23 마쯔시다덴기산교 가부시기가이샤 Plasma processor
JPS63204726A (en) 1987-02-20 1988-08-24 Anelva Corp Vacuum treatment device
JPS63253628A (en) 1987-04-10 1988-10-20 Hitachi Ltd Plasma treatment apparatus
JP2574838B2 (en) 1988-01-18 1997-01-22 株式会社日立製作所 Al sputter etching equipment
JPH02183533A (en) 1989-01-10 1990-07-18 Fujitsu Ltd Contamination preventing method for plasma vapor growth apparatus
US5102496A (en) 1989-09-26 1992-04-07 Applied Materials, Inc. Particulate contamination prevention using low power plasma
JPH04279044A (en) 1991-01-09 1992-10-05 Sumitomo Metal Ind Ltd Sample-retention device
JPH04315797A (en) 1991-04-12 1992-11-06 Nissin Electric Co Ltd Plasme processing device and method of cleaning plasma source thereof
JPH06124998A (en) 1992-10-12 1994-05-06 Tadahiro Omi Plasma process equipment
JPH06279044A (en) 1993-03-29 1994-10-04 Fujikura Ltd Production of optical fiber matrix
JPH06338476A (en) 1993-03-31 1994-12-06 Tokyo Electron Ltd Plasma processing method
JP3247491B2 (en) 1993-05-19 2002-01-15 東京エレクトロン株式会社 Plasma processing equipment
JP3236724B2 (en) 1993-11-30 2001-12-10 東京エレクトロン株式会社 Vacuum processing equipment
JPH07211489A (en) 1994-01-21 1995-08-11 Sumitomo Metal Ind Ltd Microwave plasma processing device and method for cleaning the device
US5405491A (en) 1994-03-04 1995-04-11 Motorola Inc. Plasma etching process
JPH07254588A (en) 1994-03-16 1995-10-03 Toshiba Corp Plasma surface processing equipment
TW254030B (en) 1994-03-18 1995-08-11 Anelva Corp Mechanic escape mechanism for substrate
JP3438003B2 (en) 1994-04-20 2003-08-18 東京エレクトロン株式会社 Plasma processing equipment
TW299559B (en) 1994-04-20 1997-03-01 Tokyo Electron Co Ltd
JP3210207B2 (en) 1994-04-20 2001-09-17 東京エレクトロン株式会社 Plasma processing equipment
JP3520577B2 (en) 1994-10-25 2004-04-19 株式会社日立製作所 Plasma processing equipment
JPH07207471A (en) 1994-12-05 1995-08-08 Hitachi Ltd Plasma etching device
JP3257328B2 (en) 1995-03-16 2002-02-18 株式会社日立製作所 Plasma processing apparatus and plasma processing method
US5573597A (en) 1995-06-07 1996-11-12 Sony Corporation Plasma processing system with reduced particle contamination
TW434745B (en) 1995-06-07 2001-05-16 Tokyo Electron Ltd Plasma processing apparatus
US6042686A (en) 1995-06-30 2000-03-28 Lam Research Corporation Power segmented electrode
US5891348A (en) 1996-01-26 1999-04-06 Applied Materials, Inc. Process gas focusing apparatus and method
JP3319285B2 (en) 1996-06-05 2002-08-26 株式会社日立製作所 Plasma processing apparatus and plasma processing method
JPH08319588A (en) 1996-06-17 1996-12-03 Hitachi Ltd Plasma etching device
JPH1012597A (en) 1996-06-20 1998-01-16 Hitachi Ltd Plasma-etching equipment and plasma etching method
US6048435A (en) 1996-07-03 2000-04-11 Tegal Corporation Plasma etch reactor and method for emerging films
US6214162B1 (en) 1996-09-27 2001-04-10 Tokyo Electron Limited Plasma processing apparatus
JP4008077B2 (en) 1997-10-01 2007-11-14 キヤノンアネルバ株式会社 Plasma processing apparatus and electrostatic adsorption mechanism
US20010037770A1 (en) 2000-04-27 2001-11-08 Toru Otsubo Plasma processing apparatus and processing method
JP2000164583A (en) 1998-06-24 2000-06-16 Hitachi Ltd Method and system for plasma processing
JP4151749B2 (en) 1998-07-16 2008-09-17 東京エレクトロンAt株式会社 Plasma processing apparatus and method
KR100639841B1 (en) 1998-07-23 2006-10-27 서페이스 테크놀로지 시스템스 피엘씨 Method and apparatus for anisotropic etching
JP4066214B2 (en) 1998-07-24 2008-03-26 財団法人国際科学振興財団 Plasma process equipment
JP4230029B2 (en) 1998-12-02 2009-02-25 東京エレクトロン株式会社 Plasma processing apparatus and etching method
JP2000299198A (en) 1999-02-10 2000-10-24 Tokyo Electron Ltd Plasma processing device
JP2000306891A (en) 1999-04-22 2000-11-02 Hitachi Ltd Plasma treatment apparatus
JP4831853B2 (en) 1999-05-11 2011-12-07 東京エレクトロン株式会社 Capacitively coupled parallel plate plasma etching apparatus and plasma etching method using the same
JP2000328248A (en) 1999-05-12 2000-11-28 Nissin Electric Co Ltd Method for cleaning thin film forming apparatus and thin film forming apparatus
US6232236B1 (en) 1999-08-03 2001-05-15 Applied Materials, Inc. Apparatus and method for controlling plasma uniformity in a semiconductor wafer processing system
US6673198B1 (en) 1999-12-22 2004-01-06 Lam Research Corporation Semiconductor processing equipment having improved process drift control
JP4592856B2 (en) 1999-12-24 2010-12-08 東京エレクトロン株式会社 Baffle plate and gas treatment device
JP2001185542A (en) 1999-12-27 2001-07-06 Hitachi Ltd Plasma processor and plasma processing method using the same
JP3996771B2 (en) 2000-01-12 2007-10-24 東京エレクトロン株式会社 Vacuum processing apparatus and vacuum processing method
US6261408B1 (en) 2000-02-16 2001-07-17 Applied Materials, Inc. Method and apparatus for semiconductor processing chamber pressure control
JP4592916B2 (en) 2000-04-25 2010-12-08 東京エレクトロン株式会社 Placement device for workpiece
US6635117B1 (en) 2000-04-26 2003-10-21 Axcelis Technologies, Inc. Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system
US6554979B2 (en) 2000-06-05 2003-04-29 Applied Materials, Inc. Method and apparatus for bias deposition in a modulating electric field
JP3593492B2 (en) 2000-06-13 2004-11-24 株式会社日立製作所 Plasma processing method
US6872281B1 (en) 2000-09-28 2005-03-29 Lam Research Corporation Chamber configuration for confining a plasma
JP2002110650A (en) 2000-10-03 2002-04-12 Tokyo Electron Ltd Plasma etching method and plasma etching apparatus
US6333272B1 (en) 2000-10-06 2001-12-25 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6504307B1 (en) 2000-11-30 2003-01-07 Advanced Cardiovascular Systems, Inc. Application of variable bias voltage on a cylindrical grid enclosing a target
JP4877884B2 (en) 2001-01-25 2012-02-15 東京エレクトロン株式会社 Plasma processing equipment
JP4213871B2 (en) 2001-02-01 2009-01-21 株式会社日立製作所 Manufacturing method of semiconductor device
JP4819244B2 (en) 2001-05-15 2011-11-24 東京エレクトロン株式会社 Plasma processing equipment
JP2003077896A (en) 2001-08-31 2003-03-14 Tokyo Electron Ltd Etching method
JP4326746B2 (en) 2002-01-07 2009-09-09 東京エレクトロン株式会社 Plasma processing method
US6677167B2 (en) 2002-03-04 2004-01-13 Hitachi High-Technologies Corporation Wafer processing apparatus and a wafer stage and a wafer processing method
JP2004095663A (en) 2002-08-29 2004-03-25 Tokyo Electron Ltd Plasma processing apparatus and method therefor
JP4141234B2 (en) 2002-11-13 2008-08-27 キヤノンアネルバ株式会社 Plasma processing equipment
US20040112540A1 (en) 2002-12-13 2004-06-17 Lam Research Corporation Uniform etch system
CN100418187C (en) 2003-02-07 2008-09-10 东京毅力科创株式会社 Plasma processing device, annular element and plasma processing method
US20040261946A1 (en) 2003-04-24 2004-12-30 Tokyo Electron Limited Plasma processing apparatus, focus ring, and susceptor
JP4418193B2 (en) * 2003-08-22 2010-02-17 東京エレクトロン株式会社 Particle removal apparatus, particle removal method, and plasma processing apparatus
US20050051273A1 (en) 2003-09-04 2005-03-10 Kenji Maeda Plasma processing apparatus
JP4584572B2 (en) 2003-12-22 2010-11-24 株式会社日立ハイテクノロジーズ Plasma processing apparatus and processing method
JP2007529633A (en) 2004-03-22 2007-10-25 オー・ツェー・エリコン・バルザース・アクチェンゲゼルシャフト Sputtering apparatus for producing thin films
US7276135B2 (en) 2004-05-28 2007-10-02 Lam Research Corporation Vacuum plasma processor including control in response to DC bias voltage
US7951262B2 (en) 2004-06-21 2011-05-31 Tokyo Electron Limited Plasma processing apparatus and method
US7988816B2 (en) 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
US7740737B2 (en) 2004-06-21 2010-06-22 Tokyo Electron Limited Plasma processing apparatus and method
US7879409B2 (en) 2004-07-23 2011-02-01 Applied Materials, Inc. Repeatability of CVD film deposition during sequential processing of substrates in a deposition chamber
US20060086458A1 (en) 2004-10-25 2006-04-27 Kim Hong J Ceramic materials in plasma tool environments
JP4827081B2 (en) 2005-12-28 2011-11-30 東京エレクトロン株式会社 Plasma etching method and computer-readable storage medium

Patent Citations (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3477935A (en) * 1966-06-07 1969-11-11 Union Carbide Corp Method of forming thin film resistors by cathodic sputtering
US4333814A (en) * 1979-12-26 1982-06-08 Western Electric Company, Inc. Methods and apparatus for improving an RF excited reactive gas plasma
US5110438A (en) * 1988-01-13 1992-05-05 Tadahiro Ohmi Reduced pressure surface treatment apparatus
US6110287A (en) * 1993-03-31 2000-08-29 Tokyo Electron Limited Plasma processing method and plasma processing apparatus
US5451754A (en) * 1993-10-27 1995-09-19 Xerox Corporation Corona generating device
US5968379A (en) * 1995-07-14 1999-10-19 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability and related methods
US5721021A (en) * 1995-10-11 1998-02-24 Anelva Corporation Method of depositing titanium-containing conductive thin film
US5812361A (en) * 1996-03-29 1998-09-22 Lam Research Corporation Dynamic feedback electrostatic wafer chuck
US6222321B1 (en) * 1996-05-08 2001-04-24 Advanced Energy Industries, Inc. Plasma generator pulsed direct current supply in a bridge configuration
US20020139665A1 (en) * 1996-07-03 2002-10-03 Tegal Corporation Plasma etch reactor and method
US20050164513A1 (en) * 1996-07-03 2005-07-28 Tegal Corporation Plasma etch reactor and method
US5661093A (en) * 1996-09-12 1997-08-26 Applied Materials, Inc. Method for the stabilization of halogen-doped films through the use of multiple sealing layers
US6187685B1 (en) * 1997-08-01 2001-02-13 Surface Technology Systems Limited Method and apparatus for etching a substrate
US6287943B1 (en) * 1998-07-31 2001-09-11 Canon Kabushiki Kaisha Deposition of semiconductor layer by plasma process
US6221221B1 (en) * 1998-11-16 2001-04-24 Applied Materials, Inc. Apparatus for providing RF return current path control in a semiconductor wafer processing system
US8080126B2 (en) * 1999-05-06 2011-12-20 Tokyo Electron Limited Plasma processing apparatus
US7537672B1 (en) * 1999-05-06 2009-05-26 Tokyo Electron Limited Apparatus for plasma processing
US20080308041A1 (en) * 1999-05-06 2008-12-18 Akira Koshiishi Plasma processing apparatus
US20030086840A1 (en) * 2000-04-18 2003-05-08 Shinji Himori Plasma processing apparatus
US20020061654A1 (en) * 2000-04-19 2002-05-23 Kenshi Kanegae Etching method, semiconductor and fabricating method for the same
US20010035132A1 (en) * 2000-04-27 2001-11-01 Tokyo Electron Limited Electrical coupling between chamber parts in electronic device processing equipment
US7022616B2 (en) * 2000-09-14 2006-04-04 Tokyo Electron Limited High speed silicon etching method
US20040097079A1 (en) * 2000-09-14 2004-05-20 Takanori Mimura High speed silicon etching method
US6878234B2 (en) * 2000-11-10 2005-04-12 Tokyo Electron Limited Plasma processing device and exhaust ring
US20040106293A1 (en) * 2001-03-08 2004-06-03 Yoshiki Igarashi Method for etching organic insulating film and dual damasene process
US6663748B2 (en) * 2001-04-17 2003-12-16 Nec Lcd Technologies, Ltd. Method of forming a thin film
US20040112536A1 (en) * 2001-05-29 2004-06-17 Tokyo Electron Limited Plasma processing apparatus and method
US20030029567A1 (en) * 2001-08-08 2003-02-13 Rajinder Dhindsa Dual frequency plasma processor
US20030148611A1 (en) * 2001-11-13 2003-08-07 Lam Research Corporation, A Delaware Corporation Etch rate uniformity
US20030129835A1 (en) * 2002-01-07 2003-07-10 Applied Materials Inc. Efficient cleaning by secondary in-situ activation of etch precursor from remote plasma source
US6744212B2 (en) * 2002-02-14 2004-06-01 Lam Research Corporation Plasma processing apparatus and method for confining an RF plasma under very high gas flow and RF power density conditions
US20040025791A1 (en) * 2002-08-09 2004-02-12 Applied Materials, Inc. Etch chamber with dual frequency biasing sources and a single frequency plasma generating source
US20050112879A1 (en) * 2002-08-28 2005-05-26 Kiwamu Fujimoto Insulation film etching method
US20050257743A1 (en) * 2002-11-26 2005-11-24 Akira Koshiishi Plasma processing apparatus and method
US6805779B2 (en) * 2003-03-21 2004-10-19 Zond, Inc. Plasma generation using multi-step ionization
US20060043067A1 (en) * 2004-08-26 2006-03-02 Lam Research Corporation Yttria insulator ring for use inside a plasma chamber

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9251999B2 (en) 2006-12-29 2016-02-02 Lam Research Corporation Capacitively-coupled plasma processing system having a plasma processing chamber for processing a substrate
US20080241420A1 (en) * 2007-03-30 2008-10-02 Rajinder Dhindsa Method and apparatus for dc voltage control on rf-powered electrode
US9536711B2 (en) 2007-03-30 2017-01-03 Lam Research Corporation Method and apparatus for DC voltage control on RF-powered electrode
CN103681192A (en) * 2012-09-17 2014-03-26 北京北方微电子基地设备工艺研究中心有限责任公司 Plasma etching method and silicon shallow trench isolation method
US20160163515A1 (en) * 2013-08-09 2016-06-09 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
US9685305B2 (en) * 2013-08-09 2017-06-20 Tokyo Electron Limited Plasma processing apparatus and plasma processing method

Also Published As

Publication number Publication date
US7951262B2 (en) 2011-05-31
US20170032936A1 (en) 2017-02-02
US20060037703A1 (en) 2006-02-23
US10529539B2 (en) 2020-01-07

Similar Documents

Publication Publication Date Title
US10529539B2 (en) Plasma processing apparatus and method
US10854431B2 (en) Plasma processing apparatus and method
US8790490B2 (en) Plasma processing apparatus and method
KR100810773B1 (en) Plasma etching method and computer-readable storage medium
EP2479782B1 (en) Plasma processing apparatus and method
EP1708241B1 (en) Capacitively coupled plasma processing apparatus and method
JP5036143B2 (en) Plasma processing apparatus, plasma processing method, and computer-readable storage medium
US20080110859A1 (en) Plasma etching apparatus and method
US7692916B2 (en) Capacitive coupling plasma processing apparatus and method
US20100220081A1 (en) Plasma processing apparatus

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- AFTER EXAMINER'S ANSWER OR BOARD OF APPEALS DECISION